MEMS structure and method of forming the same

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Grates for a comminution machine and methods of forming and assembling the same

Номер патента: US20050061899A1. Автор: Nelson Langworthy. Владелец: Morbark LLC. Дата публикации: 2005-03-24.

Vehicle and method of controlling speed limit for the same

Номер патента: US20220055604A1. Автор: Sang Joon Kim,Ji Won Oh,Lee Hyoung CHO,Seong Ik Park. Владелец: Kia Corp. Дата публикации: 2022-02-24.

Superstrate including a body and layers and methods of forming and using the same

Номер патента: US20230415195A1. Автор: Weijun Liu,Niyaz Khusnatdinov,James W. Irving. Владелец: Canon Inc. Дата публикации: 2023-12-28.

Absorbent and method of making and use of the same

Номер патента: US20240109052A1. Автор: Jason THOR. Владелец: Individual. Дата публикации: 2024-04-04.

Apparatus for controlling lamp for vehicle and method for controlling lamp for vehicle using the same

Номер патента: US20150042801A1. Автор: Jun-Hee Lee. Владелец: Mando Corp. Дата публикации: 2015-02-12.

Flame retardant polycarbonate composition, a method of making and of using the same

Номер патента: EP3353242A1. Автор: Lin Chen,Yun ZHENG,Hongtao Shi,Zhenke WEI. Владелец: SABIC Global Technologies BV. Дата публикации: 2018-08-01.

Chemical mechanical polishing apparatus and method of replacing polishing pad using the same

Номер патента: US20240217057A1. Автор: Chungki MIN,Donghoon Kwon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-04.

Spin chuck jig and method of lifting spin chuck using the same

Номер патента: US20200030842A1. Автор: Michael D. Ybarra,Elijah L. Rhoades. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-01-30.

Hybrid Vehicle and Method of Controlling Gear Shifting for the Same

Номер патента: US20210171011A1. Автор: Joon Young Park. Владелец: Kia Motors Corp. Дата публикации: 2021-06-10.

Dental prosthesis processing device and method of managing processing tool of the same

Номер патента: EP4383027A1. Автор: Yong Han Kim,Weon Joon LEE,Byung Woo Kyon,Young Gil SHIM. Владелец: Ossvis Co Ltd. Дата публикации: 2024-06-12.

Mobile robot and method of calculating moving distance of the same

Номер патента: EP4248827A3. Автор: Jaewon Jang,Youngbin Kim,Seunglok HAM. Владелец: LG ELECTRONICS INC. Дата публикации: 2024-07-17.

Vehicle including electric motor and method of controlling brake lamp for the same

Номер патента: US11628763B2. Автор: Sang Joon Kim,Joo Young Kim,Sung Hoon YU,Kyu Hwan JO,Hui Un Son. Владелец: Kia Motors Corp. Дата публикации: 2023-04-18.

Titanium dioxide containing peroxo titanium complex and methods of manufacturing and application of the same

Номер патента: WO2022212933A1. Автор: Todd W. Hodrinsky,Marcel Janse. Владелец: TiCoat, Inc.. Дата публикации: 2022-10-06.

Relief printing plate and method for producing organic el device using the same

Номер патента: US20130189896A1. Автор: Makoto Nishizawa,Hiroyuki Chinone. Владелец: Toppan Printing Co Ltd. Дата публикации: 2013-07-25.

Metal catalyst, method of c-n coupling using the same and applications of the same

Номер патента: US20210129123A1. Автор: Anindya Ghosh,Andrew L. Brandt,Charlette Parnell. Владелец: University of Arkansas. Дата публикации: 2021-05-06.

Apparatus for conducting oral care experiments and method of forming and using the same

Номер патента: CA2818302A1. Автор: Guofeng Xu,Shyamala Pillai. Владелец: Colgate Palmolive Co. Дата публикации: 2012-06-14.

Sealant curing system and a method of curing a sealant using the same

Номер патента: US20160351858A1. Автор: Yawei Liu,Qingdou YANG. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2016-12-01.

Masterbatch for thermoplastic polyurethane yarn and method for manufacturing thermoplastic polyurethane yarn using the same

Номер патента: US20170369657A1. Автор: Heedae Park. Владелец: Individual. Дата публикации: 2017-12-28.

Ultrasonic generator and method for repelling mosquito in vehicle using the same

Номер патента: US20230380409A1. Автор: Dong Chul Park,Ki Chang Kim. Владелец: Kia Corp. Дата публикации: 2023-11-30.

Flexible nail strip and method of and apparatus for manufacturing the same

Номер патента: US3729885A. Автор: R Mosetich,J Mosetich. Владелец: Duo Fast Corp. Дата публикации: 1973-05-01.

Systems and methods for power generation and aircraft comprising the same

Номер патента: US20240217671A1. Автор: Philip Robinson,Saugata Chakravorty,Ronak Tharakan. Владелец: Honeywell International Inc. Дата публикации: 2024-07-04.

Vehicle and method of controlling speed limit for the same

Номер патента: US11820357B2. Автор: Sang Joon Kim,Ji Won Oh,Lee Hyoung CHO,Seong Ik Park. Владелец: Kia Corp. Дата публикации: 2023-11-21.

Thermal wall panel, building system and methods of use and construction of the same

Номер патента: WO2010144951A1. Автор: Stephen Tyralik. Владелец: Stephen Tyralik. Дата публикации: 2010-12-23.

Gas scrubber and methods of disposing a gas using the same

Номер патента: US5997824A. Автор: Dong Soo Kim. Владелец: Korea MAT Co Ltd. Дата публикации: 1999-12-07.

Apparatus of guiding safe driving at intersections and method of guiding safe driving using the same

Номер патента: US20130342369A1. Автор: Man Bok PARK. Владелец: Individual. Дата публикации: 2013-12-26.

Apparatus for adsorbing solder ball and method of attaching solder ball using the same

Номер патента: US20160016247A1. Автор: Eun-Sun AN. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-01-21.

Electrified vehicle and method of managing power source for the same

Номер патента: US20230294555A1. Автор: Jung Hyun Lee. Владелец: Kia Corp. Дата публикации: 2023-09-21.

Spin chuck jig and method of lifting spin chuck using the same

Номер патента: US11958071B2. Автор: Michael D. Ybarra,Elijah L. Rhoades. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-04-16.

Spin chuck jig and method of lifting spin chuck using the same

Номер патента: US20200398303A1. Автор: Michael D. Ybarra,Elijah L. Rhoades. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-12-24.

Hybrid electric vehicle and method of controlling engine stop for the same

Номер патента: US20240083412A1. Автор: Jae Young Choi,Hyun Jin Park,Kwon Chae Chung,Seo Ho LEE. Владелец: Kia Corp. Дата публикации: 2024-03-14.

Laminating apparatus and method of fabricating display device using the same

Номер патента: US11267235B2. Автор: Sang Hee Choi,Yang Han Son,So Hyun KIM. Владелец: Samsung Display Co Ltd. Дата публикации: 2022-03-08.

Container and method of and apparatus for notching the same

Номер патента: CA1334524C. Автор: Takeshi Shinohara,Yukio Takata. Владелец: Idemitsu Petrochemical Co Ltd. Дата публикации: 1995-02-21.

Method of applying labels and checking the same

Номер патента: US3783058A. Автор: J Davies,W Solomon,C Forward. Владелец: OLIVER MACHINERY CO. Дата публикации: 1974-01-01.

Load gate and method of operating a forwarder using the same

Номер патента: US20020071753A1. Автор: Lars Bjorklund,Timothy Sidles. Владелец: Individual. Дата публикации: 2002-06-13.

Titanium dioxide containing peroxo titanium complex and methods of manufacturing and application of the same

Номер патента: US20220314204A1. Автор: Todd W. Hodrinsky,Marcel Janse. Владелец: Ticoat Inc. Дата публикации: 2022-10-06.

Aluminum alloy for die casting and method for manufacturing aluminum alloy casting using the same

Номер патента: US11807918B2. Автор: Jung Mok Lee,Il HUH,Byong Soo Kim. Владелец: SJ TECH Co Ltd. Дата публикации: 2023-11-07.

Semiconductor manufacturing apparatus and method of manufacturing semiconductor device using the same

Номер патента: US20190067059A1. Автор: Kyung Ho HA. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-02-28.

Vehicle equipped with electric motor and method of controlling regenerative braking for the same

Номер патента: US20220063417A1. Автор: Yong Hoon Lee,Joon Shik Park,Hyun Woo Lim. Владелец: Kia Corp. Дата публикации: 2022-03-03.

Electrified vehicle and method of power source control for the same

Номер патента: US20240100993A1. Автор: Won Jae Lee,Gi Young Kwon. Владелец: Kia Corp. Дата публикации: 2024-03-28.

Coating Composition for Wiper Blade and Method for Coating the Wiper Blade Using the Same

Номер патента: US20200391701A1. Автор: Ki Young Kim,Jong Yuen Kim. Владелец: CAP Corp. Дата публикации: 2020-12-17.

Laminated glass panel assembly and method for detecting hidden index feature on the same

Номер патента: US20190047379A1. Автор: David G. Meller. Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2019-02-14.

Mobile robot and method of calculating moving distance of the same

Номер патента: EP4248827A2. Автор: Jaewon Jang,Youngbin Kim,Seunglok HAM. Владелец: LG ELECTRONICS INC. Дата публикации: 2023-09-27.

Electric tool and method of controlling rotational speed of the same

Номер патента: EP4283859A1. Автор: Chong-Kun HONG,Chun-Min Shih. Владелец: Basso Industry Corp. Дата публикации: 2023-11-29.

Electric tool and method of controlling rotational speed of the same

Номер патента: US20230387837A1. Автор: Chong-Kun HONG,Chun-Min Shih. Владелец: Basso Industry Corp. Дата публикации: 2023-11-30.

Spindle motor and assembling method of sleeve and base in the same

Номер патента: US20150288243A1. Автор: Woo Jin Lee,Hyun Jung Lee,Yeong Hwan SONG,Sang Min BAEK. Владелец: Samsung Electro Mechanics Co Ltd. Дата публикации: 2015-10-08.

Multi-layer articles and method for improving the release life of the same

Номер патента: EP2069444A1. Автор: Thomas J. Blong,Shannon S. Le Blanc,Blake E. Chandler. Владелец: 3M Innovative Properties Co. Дата публикации: 2009-06-17.

Structural and other components, method of manufacture

Номер патента: CA2136190C. Автор: John Kenton Britten. Владелец: Individual. Дата публикации: 1998-08-04.

Syringe for multiple doses and method

Номер патента: RU2592666C2. Автор: Даниэль ПИ. Владелец: ДР. ПИ ИНСТИТЬЮТ ЭлЭлСи. Дата публикации: 2016-07-27.

Grounding system, grounding component, and methods of manufacturing and using the same

Номер патента: US20240145183A1. Автор: Bruce Barney. Владелец: Nike Inc. Дата публикации: 2024-05-02.

Buckle for connector, and method for assembling same

Номер патента: US9655411B2. Автор: Yoshihiko Kawai,Huy Hung HA. Владелец: Sanoh Industrial Co Ltd. Дата публикации: 2017-05-23.

Buckle for Connector, and Method for Assembling Same

Номер патента: US20150296927A1. Автор: Yoshihiko Kawai,Huy Hung HA. Владелец: Sanoh Industrial Co Ltd. Дата публикации: 2015-10-22.

Waste separator scrap oil extraction device and method for producing recycled raw materials using same

Номер патента: EP4066951A1. Автор: Sang Kil PYO. Владелец: Hm Corp. Дата публикации: 2022-10-05.

Inkjet head and method of ejecting ink using the same

Номер патента: US11845276B2. Автор: Myeong Jin KIM,Kyu Yong HAN. Владелец: STI Co Ltd. Дата публикации: 2023-12-19.

Inkjet head and method of ejecting ink using the same

Номер патента: US20220288932A1. Автор: Myeong Jin KIM,Kyu Yong HAN. Владелец: STI Co Ltd. Дата публикации: 2022-09-15.

Layered structure, sensor and method of producing and use of the same

Номер патента: EP1362353A1. Автор: Raimo Korhonen,Tapio MÄKELÄ,Salme Jussila,Mikko Pietila. Владелец: Metso Corp. Дата публикации: 2003-11-19.

Layered structure, sensor and method of producing and use of the same

Номер патента: EP1362353B1. Автор: Raimo Korhonen,Tapio MÄKELÄ,Salme Jussila,Mikko Pietila. Владелец: Avantone Oy. Дата публикации: 2008-06-11.

Vehicle and method of controlling engine start for the same

Номер патента: US11255285B2. Автор: Hyung Sun Jang. Владелец: Kia Motors Corp. Дата публикации: 2022-02-22.

Adornment and method of making adornment

Номер патента: US20050016390A1. Автор: Katherine Waymire. Владелец: Talisman Designs LLC. Дата публикации: 2005-01-27.

Vehicle and method of controlling engine start for the same

Номер патента: US20210381456A1. Автор: Hyung Sun Jang. Владелец: Kia Motors Corp. Дата публикации: 2021-12-09.

MEMS STRUCTURE, CAP SUBSTRATE AND METHOD OF FABRICATING THE SAME

Номер патента: US20150360938A1. Автор: WANG I-Shi,Liu Jen-Hao,LEE Ren-Dou,Chien Ting-Ying,Chen Yu-Jui. Владелец: . Дата публикации: 2015-12-17.

Hybrid electric vehicle and method of controlling engine speed for the same

Номер патента: US20240083410A1. Автор: Jae Young Choi,Hyun Jin Park,Kwon Chae Chung,Seo Ho LEE. Владелец: Kia Corp. Дата публикации: 2024-03-14.

Receptacle and method of forming and stacking the same

Номер патента: US1972007A. Автор: Carew Herman. Владелец: Individual Drinking Cup Co. Дата публикации: 1934-08-28.

Deactivation wipe kit and method of forming and using the same

Номер патента: US20240263107A1. Автор: Michael BALESTRI,Mark J. Compo. Владелец: Veltek Associates Inc. Дата публикации: 2024-08-08.

Adjustable print media cutter system and method of adjusting a print media cutter system

Номер патента: EP2598294A1. Автор: Shao Hwa Lee,Kim Balahan,Wee Hian Khor. Владелец: Brady Worldwide Inc. Дата публикации: 2013-06-05.

Deactivation wipe kit and method of forming and using the same

Номер патента: AU2022241501B2. Автор: Michael BALESTRI,Mark J. Compo. Владелец: Veltek Associates Inc. Дата публикации: 2024-08-01.

Alloy powder composition for connecting rod and method of manufacturing connecting rod using the same

Номер патента: US20170130669A1. Автор: Sung Min Kim,Hak Soo Kim. Владелец: Hyundai Motor Co. Дата публикации: 2017-05-11.

Membrane cleaning solution and method of accelerated membrane cleaning using the same

Номер патента: US20200164316A1. Автор: Harry Kany,Flemming Skou,Sandy Te Poele. Владелец: Diversey Inc. Дата публикации: 2020-05-28.

Airbag and method of producing weaving pattern for the same

Номер патента: US11293122B2. Автор: Takashi Yokoyama,Hiromasa Mori. Владелец: Toyota Boshoku Corp. Дата публикации: 2022-04-05.

Airbag and method of producing weaving pattern for the same

Номер патента: US20190345650A1. Автор: Takashi Yokoyama,Hiromasa Mori. Владелец: Toyota Boshoku Corp. Дата публикации: 2019-11-14.

Liquid ejection apparatus and method of drying recording medium for the same

Номер патента: US20130257959A1. Автор: Yoshihiro ITOGAWA. Владелец: Brother Industries Ltd. Дата публикации: 2013-10-03.

Lamination device and method of manufacturing display device using the same

Номер патента: US20240092072A1. Автор: Jongsuk Lee. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-03-21.

Release film and method of manufacturing semiconductor package using the same

Номер патента: US20230135089A1. Автор: Wonkeun Kim,Hansol YOO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-05-04.

Heat treatment device and method of manufacturing display panel using the same

Номер патента: US20230366627A1. Автор: Minsu Kim,Dong-Wook Song,Hongrok Jang. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-11-16.

Method of producing a carbon fiber reinforced molding compound

Номер патента: EP4273195A2. Автор: VII Christopher Johnston. Владелец: Aerlyte Inc. Дата публикации: 2023-11-08.

Method of producing a carbon fiber reinforced molding compound

Номер патента: EP4273195A3. Автор: VII Christopher Johnston. Владелец: Aerlyte Inc. Дата публикации: 2024-01-17.

Lamination apparatus and method of manufacturing display device using the same

Номер патента: US12010903B2. Автор: Kisang Yoo. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-06-11.

Manufacturing apparatus and method of manufacturing display apparatus using the same

Номер патента: US20240292725A1. Автор: Hyun Ju,Seungbae KANG,Heesung YANG,Youngho JEONG. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-08-29.

Process of producing flexible nondeteriorative insulation and method of and apparatus for applying the same

Номер патента: US1959078A. Автор: Virgil C Eddleman. Владелец: JOSEPH QUINTON SPRADLIN. Дата публикации: 1934-05-15.

Mobile robot and method of calculating moving distance of the same

Номер патента: EP3771394B1. Автор: Jaewon Jang,Youngbin Kim,Seunglok HAM. Владелец: LG ELECTRONICS INC. Дата публикации: 2023-09-27.

Inkjet printing apparatus and method of manufacturing display device using the same

Номер патента: US11707932B2. Автор: Jeong Won Han,Myung Soo Huh. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-07-25.

Inkjet printing apparatus and method of manufacturing display device using the same

Номер патента: US20230356529A1. Автор: Jeong Won Han,Myung Soo Huh. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-11-09.

Light radiation device and method for fabricating display device using the same

Номер патента: US20140374006A1. Автор: Yun Heum PARK. Владелец: Samsung Display Co Ltd. Дата публикации: 2014-12-25.

Imprint apparatus and method of detecting peeling defect in the same

Номер патента: US20180281245A1. Автор: Futoshi Yoshida. Владелец: Samsung Display Co Ltd. Дата публикации: 2018-10-04.

Unit pixel, photo-detection device and method of measuring a distance using the same

Номер патента: TW201232770A. Автор: Yoon-dong Park,Eric R Fossum. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2012-08-01.

Wheel and method of and apparatus for making the same.

Номер патента: US1079030A. Автор: John Slattery. Владелец: Individual. Дата публикации: 1913-11-18.

Gas scrubber and methods of disposing a gas using the same

Номер патента: GB9725535D0. Автор: . Владелец: Korea MAT Co Ltd. Дата публикации: 1998-02-04.

Method of forming fine patterns in semiconductor device and method of forming gate using the same

Номер патента: US20060148226A1. Автор: Ki Kim. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2006-07-06.

Mold cleaning sheet and manufacturing method of a semiconductor device using the same

Номер патента: US20090203173A1. Автор: Kiyoshi Tsuchida. Владелец: Hitachi Yonezawa Electronics Co Ltd. Дата публикации: 2009-08-13.

Fusers, printing apparatuses and methods, and methods of fusing toner on media

Номер патента: US20100119267A1. Автор: David P. Van Bortel,Brendan H. Williamson,Brian J. McNamee. Владелец: Xerox Corp. Дата публикации: 2010-05-13.

Method for evaluating cation-exchange resin and method for controlling water treatment system using the same

Номер патента: US20060032818A1. Автор: Shinichi Ohashi,Yusuke Nagata. Владелец: Organo Corp. Дата публикации: 2006-02-16.

Cooling system, a semiconductor apparatus including the same, and a method of replacing a filter using the same

Номер патента: US20240263831A1. Автор: Moonki KIM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-08.

Vascular/venous access device and method of utilizing and forming the same

Номер патента: US5112312A. Автор: Ronald B. Luther. Владелец: Luther Medical Products Inc. Дата публикации: 1992-05-12.

Composite structures containing finite length tapes and methods for manufacturing and using the same

Номер патента: US11999151B2. Автор: Stephen W. Tsai. Владелец: Leland Stanford Junior University. Дата публикации: 2024-06-04.

Composite structures containing finite length tapes and methods for manufacturing and using the same

Номер патента: EP3983216A1. Автор: Stephen W. Tsai. Владелец: Leland Stanford Junior University. Дата публикации: 2022-04-20.

Composition for manufacturing contact lenses and method for manufacturing contact lenses by using the same

Номер патента: US9527251B2. Автор: Fan-Dan Jan. Владелец: BenQ Materials Corp. Дата публикации: 2016-12-27.

Adjustable print media path system and method

Номер патента: EP2598338A1. Автор: Shao Hwa Lee,Yaw Horng Yap,Soon Ling Tan. Владелец: Brady Worldwide Inc. Дата публикации: 2013-06-05.

Adjustable print media path system and method

Номер патента: WO2012015695A1. Автор: Shao Hwa Lee,Yaw Horng Yap,Soon Ling Tan. Владелец: Brady Worldwide, Inc.. Дата публикации: 2012-02-02.

Image forming apparatus, program for the same, and method for monitoring recording medium conveyance in the same

Номер патента: US20120250038A1. Автор: Shunji UCHINO. Владелец: Brother Industries Ltd. Дата публикации: 2012-10-04.

Composition for manufacturing contact lenses and method for manufacturing contact lenses by using the same

Номер патента: US20170038499A1. Автор: Fan-Dan Jan. Владелец: BenQ Materials Corp. Дата публикации: 2017-02-09.

Composition for manufacturing contact lenses and method for manufacturing contact lenses by using the same

Номер патента: US20150260881A1. Автор: Fan-Dan Jan. Владелец: BenQ Materials Corp. Дата публикации: 2015-09-17.

DROPLET FORMING DEVICE AND METHOD OF FORMING DROPLET USING THE SAME

Номер патента: US20140360288A1. Автор: Ku Bo Sung,LEE Dong Woo,Yi Sang Hyun. Владелец: SAMSUNG ELECTRO-MECHANICS CO., LTD.. Дата публикации: 2014-12-11.

Doped graphitic carbon nitrides, methods of making and uses of the same

Номер патента: US20200269222A1. Автор: Danmeng Shuai,Qinmin ZHENG. Владелец: George Washington University. Дата публикации: 2020-08-27.

Doped graphitic carbon nitrides, methods of making and uses of the same

Номер патента: US11850575B2. Автор: Danmeng Shuai,Qinmin ZHENG. Владелец: George Washington University. Дата публикации: 2023-12-26.

Metal packaging liquid or aerosol jet coating compositions, coated substrates, packaging, and methods

Номер патента: US20240287316A1. Автор: Charles I. Skillman,Boxin Tang. Владелец: SWIMC LLC. Дата публикации: 2024-08-29.

Methods of calculating thicknesses of layers and methods of forming layers using the same

Номер патента: US20100166945A1. Автор: Yong-Jin Kim,Ho-Ki Lee,Sung-ho Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2010-07-01.

Method of forming composite opening and method of dual damascene process using the same

Номер патента: SG145607A1. Автор: Hong Ma. Владелец: United Microelectronics Corp. Дата публикации: 2008-09-29.

Systems and devices including multi-gate transistors and methods of using, making, and operating the same

Номер патента: US20130240967A1. Автор: Werner Juengling. Владелец: Micron Technology Inc. Дата публикации: 2013-09-19.

Method of detecting overlay of patterns and method of forming patterns using the same

Номер патента: US20240258178A1. Автор: Chan Hwang,Minsu Lee,Minsu Kang,Sangho Yun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-01.

Semiconductor device and methods of forming and operating the same

Номер патента: US20100001339A1. Автор: Yoon Kim,Byung-gook Park,Wook-Hyun Kwon,Yun-Heub Song. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2010-01-07.

Ice rink structure and method for constructing an ice rink using the same

Номер патента: US20240245980A1. Автор: Michael Gagnon,Jérôme SÉVIGNY. Владелец: 9248 8071 Quebec Inc. Дата публикации: 2024-07-25.

Navigation system and method of recognizing traffic lane using the same

Номер патента: US20110282577A1. Автор: Eun Sung Lee,Moon Beom HEO,Woo Yong KANG. Владелец: Korea Aerospace Research Institute KARI. Дата публикации: 2011-11-17.

Inverse mixcolumn block device and method of performing multiplication calculation using the same

Номер патента: WO2008069388A3. Автор: Young-Il Kim,Jung-Hoon Oh,Yong-Su Lee. Владелец: Yong-Su Lee. Дата публикации: 2009-07-30.

Sulfoxide compound and method of producing benzothiophene derivatives using the same

Номер патента: US9751852B1. Автор: Ming-Jung Wu,Shih-Ming Wen. Владелец: National Sun Yat Sen University. Дата публикации: 2017-09-05.

Adhesive sheet for temporary fixation and method of manufacturing semiconductor device using the same

Номер патента: US11702571B2. Автор: Kwang Joo Lee,Sera Kim,Ji Ho HAN. Владелец: LG Chem Ltd. Дата публикации: 2023-07-18.

Sulfoxide compound and method of producing benzothiophene derivatives using the same

Номер патента: US9790199B1. Автор: Ming-Jung Wu,Shih-Ming Wen. Владелец: National Sun Yat Sen University. Дата публикации: 2017-10-17.

Vacuum dryer and method of drying semiconductor device using the same

Номер патента: US6112430A. Автор: Jong-jae Lee,Chan-geun Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-09-05.

Traffic light controller and method of controlling traffic light using the same

Номер патента: US11721210B2. Автор: Seung Jae KIM. Владелец: Hyundai Mobis Co Ltd. Дата публикации: 2023-08-08.

Display apparatus and method of driving display panel using the same

Номер патента: US11049432B2. Автор: Mingyu Kim,Hoisik Moon,Juneyoung Lee,Hee Joon KIM. Владелец: Samsung Display Co Ltd. Дата публикации: 2021-06-29.

Feedback device and method of providing thermal feedback using the same

Номер патента: US10533780B2. Автор: Kyoung Soo Yi,Ock Kyun OH,Se Hwan LIM. Владелец: Tegway Co Ltd. Дата публикации: 2020-01-14.

Sodium Pump Antibody Agonists And Methods Of Treating Heart Disease Using The Same

Номер патента: US20170362312A1. Автор: Kai Yuan Xu. Владелец: Individual. Дата публикации: 2017-12-21.

Method of manufacturing patterned substrate and method of manufacturing semiconductor device using the same

Номер патента: US20190006552A1. Автор: Kei Murakami,Yuki Kanagawa. Владелец: Nichia Corp. Дата публикации: 2019-01-03.

Display apparatus and method of driving display panel using the same

Номер патента: EP4421785A1. Автор: Takeshi Kato. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-08-28.

Sodium pump antibody agonists and methods of treating heart disease using the same

Номер патента: US20120195886A1. Автор: Kai Xu. Владелец: University of Maryland at Baltimore. Дата публикации: 2012-08-02.

Sodium Pump Antibody Agonists And Methods Of Treating Heart Disease Using The Same

Номер патента: US20180355029A1. Автор: Kai Yuan Xu. Владелец: Individual. Дата публикации: 2018-12-13.

Sodium Pump Antibody Agonists And Methods Of Treating Heart Disease Using The Same

Номер патента: US20160083463A1. Автор: Kai Yuan Xu. Владелец: Individual. Дата публикации: 2016-03-24.

Sodium pump antibody agonists and methods of treating heart disease using the same

Номер патента: US9790270B2. Автор: Kai Yuan Xu. Владелец: Individual. Дата публикации: 2017-10-17.

Semiconductor integrated circuit device and method of detecting delay error in the same

Номер патента: US20040113670A1. Автор: Minari Arai. Владелец: Elpida Memory Inc. Дата публикации: 2004-06-17.

Programmable dancing figurine and method of conducting a performance using the same

Номер патента: US9248380B1. Автор: Lana Long. Владелец: Individual. Дата публикации: 2016-02-02.

Systems and Devices Including Multi-Gate Transistors and Methods of Using, Making, and Operating the Same

Номер патента: US20120018789A1. Автор: Werner Juengling. Владелец: Micron Technology Inc. Дата публикации: 2012-01-26.

Image forming apparatus and method of displaying multilingual keyboard using the same

Номер патента: US8164572B2. Автор: Chang Min Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2012-04-24.

Mask for crystallizing and method of crystallizing amorphous silicon using the same

Номер патента: US20030219936A1. Автор: Sang-Hyun Kim. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2003-11-27.

Card tile deck and method of playing a game using the same

Номер патента: US20180264350A1. Автор: Jason Schlatter. Владелец: Yellow Bridge Creek LLC. Дата публикации: 2018-09-20.

Liquid crystal display and method of modifying gray signals for the same

Номер патента: US7123224B2. Автор: Baek-woon Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-10-17.

One-way adjustable loop suture constructs and methods of forming and using the same

Номер патента: US11812945B2. Автор: Adam C. Gustafson. Владелец: Medos International Sarl. Дата публикации: 2023-11-14.

Method of operating storage device and method of operating storage system using the same

Номер патента: US11762572B2. Автор: Gururaj MORABAD. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-09-19.

Pattern analysis system and method of manufacturing semiconductor device using the same

Номер патента: US12062164B2. Автор: Min-Cheol Kang,Dong Hoon KUK. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-13.

Semiconductor device and method of fabricating metal gate of the same

Номер патента: US20090057783A1. Автор: Sung-Ho Park,Jin-seo Noh,Joong-S. Jeon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-03-05.

Substrate processing apparatus and method of processing a substrate using the same

Номер патента: US20230400252A1. Автор: Kuntack Lee,Ji Hwan Park,Sangjine Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-12-14.

Setter plates and methods of ceramming glass articles using the same

Номер патента: US12077464B2. Автор: Bin Yang,Jill Marie Hall,John Robert SALTZER, Jr.,Richard Alan Shelleman,Shuo Cui. Владелец: Corning Inc. Дата публикации: 2024-09-03.

Intelligent ball head and method for performing self-photographing by using the same

Номер патента: US10582106B2. Автор: Jie Li,Xiangyu Huang. Владелец: Guangdong Sirui Optical Co Ltd. Дата публикации: 2020-03-03.

Resist composition and method of forming pattern using the same

Номер патента: US20220137511A1. Автор: JINKYUN Lee,Hyuntaek OH,Yejin KU. Владелец: Inha University Research and Business Foundation. Дата публикации: 2022-05-05.

Photoresist composition and method of manufacturing semiconductor device using the same

Номер патента: US20170199456A1. Автор: Jin Park,Hyun Woo Kim,Jin Kyu Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-07-13.

Interlining for suit and method for making the suit by using the same

Номер патента: WO2002060289A1. Автор: Soon-Sun Kim. Владелец: Soon-Sun Kim. Дата публикации: 2002-08-08.

Interlining for suit and method for making the suit by using the same

Номер патента: US20040049833A1. Автор: Soon-Sun Kim. Владелец: Individual. Дата публикации: 2004-03-18.

Media system for providing a user profile using force input and method for providing a personalized service in the same

Номер патента: US20170295393A1. Автор: Sung Heum Park. Владелец: Humax Co Ltd. Дата публикации: 2017-10-12.

Interlining for suit and method for making the suit by using the same

Номер патента: EP1355548A1. Автор: Soon-Sun Kim. Владелец: Individual. Дата публикации: 2003-10-29.

Apparatus for supporting a reading and method for detecting a user input using the same

Номер патента: US20230081867A1. Автор: Jeonguk Park. Владелец: Woongjin Thinkbig Co Ltd. Дата публикации: 2023-03-16.

Metal oxide semiconductor device and method for operating an array structure comprising the same devices

Номер патента: US20080298135A1. Автор: Chia-Hsing Chen. Владелец: Individual. Дата публикации: 2008-12-04.

Metal oxide semiconductor device and method for operating an array structure comprising the same devices

Номер патента: US20100213528A1. Автор: Chia-Hsing Chen. Владелец: Individual. Дата публикации: 2010-08-26.

Photomask layouts and methods of forming patterns using the same

Номер патента: US20180252997A1. Автор: Man-Jong Yu. Владелец: Samsung Display Co Ltd. Дата публикации: 2018-09-06.

Phosphorescent toner and methods of forming and using the same

Номер патента: US20130280648A1. Автор: Kevin L. Heilman,Carrie A. Gilson,Michael R. Rlley. Владелец: Troy Group Inc. Дата публикации: 2013-10-24.

Apparatus for detecting an analyte and method of operating and forming the same

Номер патента: US20180070868A1. Автор: Pao T. Lin. Владелец: TEXAS A&M UNIVERSITY SYSTEM. Дата публикации: 2018-03-15.

Photomask layouts and methods of forming patterns using the same

Номер патента: US20180004080A1. Автор: Man-Jong Yu. Владелец: Samsung Display Co Ltd. Дата публикации: 2018-01-04.

Photomask layouts and methods of forming patterns using the same

Номер патента: US20160233103A1. Автор: Man-Jong Yu. Владелец: Samsung Display Co Ltd. Дата публикации: 2016-08-11.

Photomasks including shading layer and methods of forming patterns using the same

Номер патента: US20190196323A1. Автор: Soo Kyeong Jeong. Владелец: SK hynix Inc. Дата публикации: 2019-06-27.

Laundry treating apparatus and control method of on-line system containing the same

Номер патента: AU2020216025B2. Автор: Yonggyung BAE,Baekeun Kwon. Владелец: LG ELECTRONICS INC. Дата публикации: 2023-09-21.

Handheld surgical instrument, surgical tool system, methods of forming and operating the same

Номер патента: WO2017164818A1. Автор: Wei Tech ANG,Zenan WANG. Владелец: NANYANG TECHNOLOGICAL UNIVERSITY. Дата публикации: 2017-09-28.

SiRNA, medical compositions, and methods for treating type ii diabetes using the same

Номер патента: US11746350B2. Автор: Yi Wang,Liangyi Chen,Wenzhen ZHU. Владелец: PEKING UNIVERSITY. Дата публикации: 2023-09-05.

Artificial Nucleic Acid and Method for Delivery of Nucleic Acid Using the Same

Номер патента: US20240238325A1. Автор: Noriko Miyamoto. Владелец: JAPAN SCIENCE AND TECHNOLOGY AGENCY. Дата публикации: 2024-07-18.

Woven fabric having a bulging zone and method and apparatus of forming same

Номер патента: US6000442A. Автор: Alexander Busgen. Владелец: Individual. Дата публикации: 1999-12-14.

Food product and method of and apparatus for making the same

Номер патента: GB2178291A. Автор: Alan J Benstead. Владелец: AVERHAM FOODS Ltd. Дата публикации: 1987-02-11.

Systems and methods for power generation and aircraft comprising the same

Номер патента: EP4394167A1. Автор: Philip Robinson,Saugata Chakravorty,Ronak Tharakan. Владелец: Honeywell International Inc. Дата публикации: 2024-07-03.

Multiple sensor handover system for tracking moving object and method of providing parking service in the same

Номер патента: CA3200182A1. Автор: Jin Ha Jeong,Moon Soo Ra. Владелец: Individual. Дата публикации: 2022-06-02.

Adaptively plasma source and method of processing semiconductor wafer using the same

Номер патента: EP1800333A1. Автор: Nam-Hun Kim. Владелец: Adaptive Plasma Technology Corp. Дата публикации: 2007-06-27.

Method for forming isolation layer and method for fabricating nonvolatile memory device using the same

Номер патента: US20100203702A1. Автор: Young-Kwang Choi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-08-12.

Display panel and method of measuring life time of the same

Номер патента: US20240038126A1. Автор: Si Jin Sung,Ill Soo Park. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-02-01.

Composite and method of preparing anode slurry including the same

Номер патента: US20140154571A1. Автор: Yoon Ah Kang,Yong Ju Lee,Je Young Kim,Rae Hwan Jo. Владелец: LG Chem Ltd. Дата публикации: 2014-06-05.

Display device and method of generating data signal in the same

Номер патента: US20150356947A1. Автор: Baek-woon Lee,Ji-Hye Eom. Владелец: Samsung Display Co Ltd. Дата публикации: 2015-12-10.

Mixcolumn block device and method of performing multiplication calculation using the same

Номер патента: WO2008069386A3. Автор: Young-Il Kim,Hyun-Jae Kim,Jung-Hoon Oh. Владелец: Jung-Hoon Oh. Дата публикации: 2009-07-30.

High-speed radix-4 butterfly module and method of performing biterbi decoding using the same

Номер патента: US20080162617A1. Автор: Yuan-Hung Hsu,Tsung-Sheng Kuo,Chau-Yun Hsu. Владелец: Tatung Co Ltd. Дата публикации: 2008-07-03.

Hydrogel materials and methods of making and transport using the same

Номер патента: US20240117321A1. Автор: Xing Xie,Wensi CHEN. Владелец: Georgia Tech Research Corp. Дата публикации: 2024-04-11.

Display apparatus and method of driving display panel using the same

Номер патента: US20200175910A1. Автор: Mingyu Kim,Hoisik Moon,Juneyoung Lee,Hee Joon KIM. Владелец: Samsung Display Co Ltd. Дата публикации: 2020-06-04.

Image data test unit, image apparatus having the same, and method of testing image data using the same

Номер патента: US8046654B2. Автор: Hyun-Su JUN. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2011-10-25.

Image data test unit, image apparatus having the same, and method of testing image data using the same

Номер патента: US20090193324A1. Автор: Hyun-Su JUN. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-07-30.

Optical pen mouse capable of magnifying displayed object and method of magnifying displayed object using the same

Номер патента: WO2008096973A1. Автор: Myeong Ho KIM. Владелец: Isv Co., Ltd.. Дата публикации: 2008-08-14.

Optical pen mouse capable of magnifying displayed object and method of magnifying displayed object using the same

Номер патента: EP2115553A1. Автор: Myeong Ho KIM. Владелец: ISV Co Ltd. Дата публикации: 2009-11-11.

Photomasks and methods of fabricating semiconductor devices using the same

Номер патента: US20140220481A1. Автор: Donggun Lee,Seongsue Kim,Mun Ja KIM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2014-08-07.

Distance measuring device and method of measuring distance by using the same

Номер патента: US11808890B2. Автор: TATSUHIRO Otsuka,Jungwoo Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-11-07.

Carrier and method of fabricating semiconductor device using the same

Номер патента: US20150162235A1. Автор: Jisoon Park,Byung Lyul Park,Hyungjun Jeon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-06-11.

System and method to identify low performing parameters of the same content hosted on different platforms

Номер патента: US11810068B2. Автор: Mainak ROY,Rathi Babu. Владелец: Dell Products LP. Дата публикации: 2023-11-07.

Film spacer and method for fabricating liquid crystal cell using the same

Номер патента: US5193021A. Автор: Byunghee Kim. Владелец: Samsung Electron Devices Co Ltd. Дата публикации: 1993-03-09.

Memory device and method of controlling ecc operation in the same

Номер патента: US20180373592A1. Автор: Sang-Hyun Joo,Jae-Woo Im. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-12-27.

Dry castable concrete compositions and methods of preparing and dry casting the same

Номер патента: CA2073952A1. Автор: Neal S. Berke,Samuel F. Heleba,Mario A. Tamez. Владелец: Mario A. Tamez. Дата публикации: 1993-02-01.

Systems and methods for allowing multiple devices to share the same serial lines

Номер патента: US7546397B2. Автор: DONG Zheng,Theodore D. Rees,D. Stuart Smith. Владелец: INTERSIL AMERICAS LLC. Дата публикации: 2009-06-09.

Dielectric filter and method of adjusting central frequency of the same

Номер патента: US5841332A. Автор: Seigo Hino. Владелец: NGK Spark Plug Co Ltd. Дата публикации: 1998-11-24.

Systems and methods of securing items and verifying the same

Номер патента: US20190330884A1. Автор: Andrew C. Reeves. Владелец: Individual. Дата публикации: 2019-10-31.

Solvent for treating polystyrene resin and method of treating polystyrene resin with the same

Номер патента: EP1505106A4. Автор: Shigenobu Hamano. Владелец: Individual. Дата публикации: 2006-06-07.

Liquid crystal display and method of modifying gray signals for the same

Номер патента: US20070001955A1. Автор: Baek-woon Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-01-04.

Lubricant compositions and methods of dry lubricating surface using the same

Номер патента: WO2023203542A1. Автор: Kedar Chaudhari,Uday Chaudhari,Priya Satish SINGH,Pratik HANDE. Владелец: DIVERSEY, INC.. Дата публикации: 2023-10-26.

Head mounted display and method of controlling digital device using the same

Номер патента: US9223402B2. Автор: Eunhyung Cho. Владелец: LG ELECTRONICS INC. Дата публикации: 2015-12-29.

Etch-modeling system and method of manufacturing semiconductor device using the same

Номер патента: US20220207228A1. Автор: Sang-Wook Park,Kang-Min Jung,Kyo Il Koo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-06-30.

Sodium pump antibody agonists and methods of treating heart disease using the same

Номер патента: US9238695B2. Автор: Kai Yuan Xu. Владелец: Individual. Дата публикации: 2016-01-19.

System including data storage device and method of controlling discard operation in the same

Номер патента: US20200201558A1. Автор: Sung-hyun Cho,Kyung-Mun Kang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-06-25.

Solvent for treating polystyrene resin and method of treating polystyrene resin with the same

Номер патента: US20050215651A1. Автор: Shigenobu Hamano. Владелец: Individual. Дата публикации: 2005-09-29.

Sodium Pump Antibody Agonists And Methods Of Treating Heart Disease Using The Same

Номер патента: US20150291700A1. Автор: Kai Yuan Xu. Владелец: Individual. Дата публикации: 2015-10-15.

Liquid crystal display and method of modifying gray signals for the same

Номер патента: WO2003096316A1. Автор: Baek-woon Lee. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2003-11-20.

Method of operating storage device and method of operating storage system using the same

Номер патента: US12032492B2. Автор: SEUNGJAE LEE,Mingon Shin,Seokgi HONG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-09.

Monocyclic,thieno, pyrido and pyrrolo pyrimidine compounds and methods of use and manufacture of the same

Номер патента: GB2560109A. Автор: Gangjee Aleem. Владелец: Duquesne Univ of Holy Spirit. Дата публикации: 2018-08-29.

Circuit arrangement and method of testing and/or diagnosing the same

Номер патента: US20090013230A1. Автор: Friedrich Hapke,Andreas Glowatz,Stefan Otto Eichenberger. Владелец: NXP BV. Дата публикации: 2009-01-08.

Improvements in knitted fabric and in the method of and means for producing the same

Номер патента: GB631806A. Автор: . Владелец: JOHN VICTOR EDWARD HUNT. Дата публикации: 1949-11-10.

Clothes dryer and method of displaying drying time on the same

Номер патента: EP1621663A2. Автор: Young Suk No. 837-1804 Byeokjeokgol Chung. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-02-01.

Method of operating storage device and method of operating storage system using the same

Номер патента: US20220129181A1. Автор: Gururaj MORABAD. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-04-28.

Strip having temperature compensating function and method of measuring blood glucose using the same

Номер патента: US20110132774A1. Автор: Jae-Hack AUM. Владелец: DONG JIN MEDICAL Co Ltd. Дата публикации: 2011-06-09.

Bonding apparatus and method of fabricating display device using the same

Номер патента: US11798912B2. Автор: Hyunwoo Lee,Jun-Hee Lee,Taeyoung Park,Jungseon Park. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-10-24.

Self-defense spray and method of controlling electronic device using the same

Номер патента: US20150133072A1. Автор: InSeong Cho,Hyunmin Lee,SeWon JANG. Владелец: DEIMOS DEFENCE Inc. Дата публикации: 2015-05-14.

Display device and method of measuring skin moisture using the same

Номер патента: US20230042134A1. Автор: Jinwoo Kim,Byeongkyu JEON,Kyounghun Been. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-02-09.

Multiple identity management system and method of managing multiple identities using the same

Номер патента: US20180159687A1. Автор: Yuik Yim,Cheolmin Ju. Владелец: NHN Entertainment Corp. Дата публикации: 2018-06-07.

Pattern analysis system and method of manufacturing semiconductor device using the same

Номер патента: US20220207699A1. Автор: Min-Cheol Kang,Dong Hoon KUK. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-06-30.

Terminal having camera and method of processing an image in the same

Номер патента: US20100141803A1. Автор: Sun Ae Kim,Han Chul JUNG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2010-06-10.

Distance measuring device and method of measuring distance by using the same

Номер патента: US20190187257A1. Автор: TATSUHIRO Otsuka,Jungwoo Kim,Heesun YOON. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-06-20.

Pressure sensitive adhesive and method of synthesizing polymer used for the same

Номер патента: US20140163177A1. Автор: Chang-Chin Wu,Pei-Rong SHIEH. Владелец: Hon Hai Precision Industry Co Ltd. Дата публикации: 2014-06-12.

Silicone composition as an oca-like silicone ocr and method of bonding display panel using the same

Номер патента: WO2024027932A1. Автор: SeungA LEE,GyeongHui LEE,Seungho HAN. Владелец: Wacker Chemie AG. Дата публикации: 2024-02-08.

Recording medium, and method and apparatus for recording data in the same

Номер патента: US7602689B2. Автор: Sung Wan Park. Владелец: LG ELECTRONICS INC. Дата публикации: 2009-10-13.

Novel wheat milling derivative products, methods of making and uses of the same

Номер патента: WO2024123679A1. Автор: Ali Ayoub,Morgan MALM,Matt KALOUPEK. Владелец: Archer-Daniels-Midland Company. Дата публикации: 2024-06-13.

Media system for providing a user profile using force input and method for providing a personalized service in the same

Номер патента: US20170295341A1. Автор: Sung Heum Park. Владелец: Humax Co Ltd. Дата публикации: 2017-10-12.

Systems and Methods for Selling An Ad Space On The Same Computing Device Multiple Times

Номер патента: US20140136344A1. Автор: Dwight Ringdahl. Владелец: Zenovia Digital Exchange Corp. Дата публикации: 2014-05-15.

Fuel cell electrode and method for manufacturing membrane-electrode assembly using the same

Номер патента: US20150030969A1. Автор: Jae Seung Lee. Владелец: Hyundai Motor Co. Дата публикации: 2015-01-29.

Fuel cell electrode and method for manufacturing membrane-electrode assembly using the same

Номер патента: US9356299B2. Автор: Jae Seung Lee. Владелец: Hyundai Motor Co. Дата публикации: 2016-05-31.

Nucleic acids and methods for detecting pathogenic xanthomonas campestris using the same

Номер патента: US6143500A. Автор: Tomoki Nishino. Владелец: Japan Tobacco Inc. Дата публикации: 2000-11-07.

Isopropylmalate synthase variant and a method of producing l-leucine using the same

Номер патента: US20240093252A1. Автор: Ju Eun Kim,Ji Hye Lee,Hayun Lee. Владелец: CJ CHEILJEDANG CORP. Дата публикации: 2024-03-21.

Steaker sheet and method for printing half-cutting line of the same

Номер патента: WO2002033683A1. Автор: Young Soo Lee. Владелец: Daeah Printing Co., Ltd.. Дата публикации: 2002-04-25.

Espirito santo virus and methods for detecting and preventing infection with the same

Номер патента: WO2013059168A3. Автор: Dennis T. Brown,Raquel Hernandez. Владелец: RESEARCH DEVELOPMENT FOUNDATION. Дата публикации: 2013-08-01.

Information storage medium and method and system for recording data on the same

Номер патента: CA2533651C. Автор: Kyung-geun Lee,Wook-Yeon Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2012-02-07.

System and method for simultaneously displaying multiple GUIs via the same display

Номер патента: US11768648B2. Автор: RAJEEV Kumar,Rakesh Kumar. Владелец: App Pop Up Inc. Дата публикации: 2023-09-26.

Refrigerating circuit and method for controlling the oil distribution within the same

Номер патента: EP2417405A1. Автор: Markus Hafkemeyer,Jan Siegert. Владелец: Carrier Corp. Дата публикации: 2012-02-15.

Soil block for pot plant cultivation and a method of cultivating pot plants using the same

Номер патента: US5383943A. Автор: Kinya Ogawa,Yoichi Hirasawa. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 1995-01-24.

Method of manufacturing electronic device and the same

Номер патента: US20190080841A1. Автор: Kenichi Inoue,Masahiro Mori,Kosuke YAZAWA,Norihisa ANDO,Sunao Masuda,Kayou MATSUNAGA. Владелец: TDK Corp. Дата публикации: 2019-03-14.

Page buffer and verify method of flash memory device using the same

Номер патента: US20060114723A1. Автор: Gi Ju. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-06-01.

Digital broadcasting receiver and method for compensating color reproduction error of the same

Номер патента: CA2419702C. Автор: Dong Ho Park. Владелец: LG ELECTRONICS INC. Дата публикации: 2007-10-09.

Fullerene-containing hemicarceplexes and a method of purifying fullerenes by using the same

Номер патента: US20140187800A1. Автор: Sheng-Hsien Chiu,Ming-Jhe Li. Владелец: National Taiwan University NTU. Дата публикации: 2014-07-03.

Modem and method for adjusting data transmission speed of the same

Номер патента: US20020054631A1. Автор: Jung-Ho Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-05-09.

Aminopeptidase GX, and a method of hydrolyzing a protein with the same

Номер патента: USRE37187E1. Автор: Tetsuya Miwa,Noriki Nio,Minao Asano,Misako Kawai. Владелец: Ajinomoto Co Inc. Дата публикации: 2001-05-22.

Electrode assembly including inner and outer baskets and methods of forming same

Номер патента: EP3902493A1. Автор: Troy Tegg,Ted DALE,Rishi MANDA. Владелец: St Jude Medical Cardiology Division Inc. Дата публикации: 2021-11-03.

Tungsten digitlines and methods of forming and operating the same

Номер патента: EP2186130A1. Автор: Jaydeb Goswami. Владелец: Micron Technology Inc. Дата публикации: 2010-05-19.

Sensor-enabled geosynthetic material and method of making and using the same

Номер патента: EP2697621A1. Автор: Brian Grady,Kianoosh Hatami. Владелец: University of Oklahoma . Дата публикации: 2014-02-19.

Etching paste, method of preparing the same, and method of forming pattern using the same

Номер патента: US20140023827A1. Автор: Jae Joon Shim. Владелец: Cheil Industries Inc. Дата публикации: 2014-01-23.

Semiconductor structure and method for manufacturing semiconductor structure

Номер патента: US20240063260A1. Автор: Kai Cheng. Владелец: Enkris Semiconductor Inc. Дата публикации: 2024-02-22.

Method of extracting lithium, method of preparing lithium carbonate and method of preparing lithium hydroxide

Номер патента: US20230322570A1. Автор: Uong Chon. Владелец: Individual. Дата публикации: 2023-10-12.

Device for Conditioning a Glove and Methods of Forming and Using the Same

Номер патента: US20130320050A1. Автор: Christopher Elliott. Владелец: Individual. Дата публикации: 2013-12-05.

Digital video recorder and method of tracking object using the same

Номер патента: US20130129314A1. Автор: Hyoseung LIM. Владелец: LG ELECTRONICS INC. Дата публикации: 2013-05-23.

Digital video recorder and method of tracking object using the same

Номер патента: WO2013077646A1. Автор: Hyoseung LIM. Владелец: LG ELECTRONICS INC.. Дата публикации: 2013-05-30.

Semiconductor structure and manufacturing method of the same

Номер патента: US20150194481A1. Автор: Erh-Kun Lai,Hang-Ting Lue,Guan-Ru Lee,An-Chyi Wei. Владелец: Macronix International Co Ltd. Дата публикации: 2015-07-09.

Pulp regeneration compositions and methods of forming and using the same

Номер патента: US12016938B2. Автор: Ali Khademhosseini,Pamela C. Yelick. Владелец: Brigham and Womens Hospital Inc. Дата публикации: 2024-06-25.

Pile with an extended head and working method of the same

Номер патента: US20060104722A1. Автор: Yoon-Yong Song. Владелец: Individual. Дата публикации: 2006-05-18.

Silicon-etchant composition and method of forming pattern using the same

Номер патента: US20240287383A1. Автор: Hyo Joong YOON,Jin Kyu Roh,Kyu Sang Ahn. Владелец: Dongwoo Fine Chem Co Ltd. Дата публикации: 2024-08-29.

Memory device and method for manufacturing the same

Номер патента: US20240221831A1. Автор: Chih-Hsiung Lee. Владелец: Macronix International Co Ltd. Дата публикации: 2024-07-04.

Oxidized film structure and method of making epitaxial metal oxide structure

Номер патента: US20020102418A1. Автор: Yong Liang,Shupan Gan. Владелец: Individual. Дата публикации: 2002-08-01.

Oxidized film structure and method of making epitaxial metal oxide structure

Номер патента: WO2002059945A2. Автор: Yong Liang,Shupan Gan. Владелец: BATTELLE MEMORIAL INSTITUTE. Дата публикации: 2002-08-01.

Manufacturing method of semiconductor device

Номер патента: US20190088486A1. Автор: Lu-Ping chiang,Chun-Hsu Chen,Chung-Hsien Liu. Владелец: Winbond Electronics Corp. Дата публикации: 2019-03-21.

Radiator coupling and method of forming and bonding the same

Номер патента: US2676819A. Автор: Fred M Young. Владелец: Young Radiator Co. Дата публикации: 1954-04-27.

Resin composition and method of forming pattern using the same

Номер патента: TW201214036A. Автор: Yusuke IIZUKA,Toshiaki Fukuhara,Hidenori Takahashi,Akinori Shibuya,Kousuke Koshijima. Владелец: Fujifilm Corp. Дата публикации: 2012-04-01.

Bacterial detection element, bacteria detection sensor, electronic device and method for detecting bacteria using the same

Номер патента: US20230280273A1. Автор: Yongho Cho. Владелец: Emtake Inc. Дата публикации: 2023-09-07.

Method of fabricating insulation layer and method of fabricating semiconductor device using the same

Номер патента: US7846850B2. Автор: Yang-Han Yoon. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-12-07.

Actinic-ray- or radiation-sensitive resin composition and method of forming pattern using the same

Номер патента: TW201207557A. Автор: Akinori Shibuya. Владелец: Fujifilm Corp. Дата публикации: 2012-02-16.

Adaptive lighting system and method for inspection of complex objects

Номер патента: US20230062347A1. Автор: Soon Wei Wong,Soon Chye Lian,Trung Hieu NGUYEN. Владелец: Emage Equipment Pte Ltd. Дата публикации: 2023-03-02.

Method of fabricating insulation layer and method of fabricating semiconductor device using the same

Номер патента: US20100151668A1. Автор: Yang-Han Yoon. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-06-17.

Systems and devices including fin transistors and methods of using, making, and operating the same

Номер патента: EP2245658A1. Автор: Werner Juengling. Владелец: Micron Technology Inc. Дата публикации: 2010-11-03.

Systems and devices including fin transistors and methods of using, making, and operating the same

Номер патента: WO2009105315A1. Автор: Werner Juengling. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2009-08-27.

LCD and method of improving the brilliance of the same

Номер патента: US20030081165A1. Автор: Ming-Hsuan Chang,Hung-Jen Chu,Chien-Kuo Ho,Nai-Jen Hsiao. Владелец: Chunghwa Picture Tubes Ltd. Дата публикации: 2003-05-01.

Display apparatus and method of driving display panel of the same

Номер патента: US20240153455A1. Автор: Hui Nam,Taehoon Kim,Sangan KWON,Soon-Dong Kim,Junheyung Jung. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-05-09.

Display apparatus and method of compensating gamma value of the same

Номер патента: US20230162702A1. Автор: Jeonkyoo KIM,Myungwoo Lee,Chaehee PARK,Manseung CHO,Deokhwa Woo. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-05-25.

Exposure apparatus and method of manufacturing display device using the same

Номер патента: US20230326784A1. Автор: Dongwon HAN,Seung-Wan Kim,Eunho JUNG,Jaecheol LEE. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-10-12.

Package frame and method of manufacturing semiconductor package using the same

Номер патента: US20160120032A1. Автор: Soojae Park,Geunwoo Kim,Keunho Jang,Younjo Mun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-04-28.

Oligonucleotide fragments and methods of making rnai agents using the same

Номер патента: WO2024137622A1. Автор: Scott May,Eric Moher,Christopher Caleb CULY,Sergey TSUKANOV. Владелец: ELI LILLY AND COMPANY. Дата публикации: 2024-06-27.

Bus bar forming die and method of manufacturing bus bar using the same

Номер патента: WO2013061581A1. Автор: Motofumi Kato. Владелец: Yazaki Corporation. Дата публикации: 2013-05-02.

Display apparatus and method of driving display panel using the same

Номер патента: US20200226980A1. Автор: Eunho LEE,Geunjeong Park. Владелец: Samsung Display Co Ltd. Дата публикации: 2020-07-16.

Shadow mask and method of manufacturing blank mask using the same

Номер патента: US20230408903A1. Автор: Inkyun Shin,Sung Hoon Son,Min Gyo Jeong,GeonGon LEE,Seong Yoon Kim. Владелец: SK Enpulse Co Ltd. Дата публикации: 2023-12-21.

Oligonucleotide fragments and methods of making rnai agents using the same

Номер патента: WO2024137622A9. Автор: Scott May,Eric Moher,Christopher Caleb CULY,Sergey TSUKANOV. Владелец: ELI LILLY AND COMPANY. Дата публикации: 2024-08-22.

Bus bar forming die and method of manufacturing bus bar using the same

Номер патента: US20140306795A1. Автор: Motofumi Kato. Владелец: Yazaki Corp. Дата публикации: 2014-10-16.

Flash memory device having a function for reducing data input error and method of inputting the data in the same

Номер патента: US20070223295A1. Автор: Duck Ju Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-09-27.

Contents index structure, and method and apparatus for demanding contents using the same

Номер патента: WO2005117017A1. Автор: Soon-Hyun Kwon,Dong-Hoon Shin,Jin-hyuk Choi,Il-Ku Kang. Владелец: Bizkiz Corp.. Дата публикации: 2005-12-08.

Contents index structure, and method and apparatus for demanding contents using the same

Номер патента: EP1754226A4. Автор: Soon-Hyun Kwon,Dong-Hoon Shin,Jin-hyuk Choi,Il-Ku Kang. Владелец: Bizkiz Corp. Дата публикации: 2009-01-21.

Semiconductor device and method of manufacturing the same

Номер патента: US20230411512A1. Автор: Masami Sawada,Akihiro Shimomura. Владелец: Renesas Electronics Corp. Дата публикации: 2023-12-21.

Image sensor with embedded light-measuring pixels and method of automatic exposure control using the same

Номер патента: US20200328242A1. Автор: Po-Min Wang,Chi-Hsiang Peng. Владелец: MediaTek Inc. Дата публикации: 2020-10-15.

Neutral beam annealing apparatus and method of manufacturing display apparatus using the same

Номер патента: US20240030043A1. Автор: Takayuki Fukasawa. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-01-25.

Recombinant vector containing MJ1 gene and method of site-specific integration using the same

Номер патента: US20060134788A1. Автор: Hyo Choi,Hyo Chang. Владелец: Individual. Дата публикации: 2006-06-22.

Display apparatus and method of driving display panel using the same

Номер патента: US20210383759A1. Автор: Eunho LEE,Geunjeong Park. Владелец: Samsung Display Co Ltd. Дата публикации: 2021-12-09.

Test pattern of CMOS image sensor and method of measuring process management using the same

Номер патента: US20070080347A1. Автор: Eun CHO,Kee Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-04-12.

Pad unit having a test logic circuit and method of driving a system including the same

Номер патента: US20080133991A1. Автор: Jae-Hoon Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-06-05.

Semiconductor device and methods of forming and operating the same

Номер патента: US20200328217A1. Автор: Nan Wang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2020-10-15.

Display apparatus and method of driving display panel using the same

Номер патента: US20200388203A1. Автор: Sungbae Park,Seongyun LEE,Sangyoon Lee,Soonnyung PARK,Hyeseon CHOI. Владелец: Samsung Display Co Ltd. Дата публикации: 2020-12-10.

Electronic shelf label and method of controlling information display of the same

Номер патента: US12045795B2. Автор: Han Jin Cho,Jeong Ho Yoo,Hyun Hun Cho,Se Uk Jeon. Владелец: Solum Co Ltd. Дата публикации: 2024-07-23.

Reset signal generators for a frequency-phase detector and methods of generating reset signals for the same

Номер патента: US20060022759A1. Автор: Ha-Jun Jeon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-02-02.

Semiconductor photoresist composition and method of forming patterns using the composition

Номер патента: US20240134273A1. Автор: Young Keun Kim,Dong Wan RYU,Kyungsoo MOON. Владелец: Samsung SDI Co Ltd. Дата публикации: 2024-04-25.

Broadcasting receiving apparatus and method of producing reference signal using the same

Номер патента: US20060078057A1. Автор: Kenji Yoshida. Владелец: Toshiba Corp. Дата публикации: 2006-04-13.

Cable broadcast receiver and method of processing service information for the same

Номер патента: EP1635561A3. Автор: Chang Sik Yun,Bong Seok Kim. Владелец: LG ELECTRONICS INC. Дата публикации: 2008-04-02.

Mobile communication terminal equipped with user identification module and method of improving boot speed of the same

Номер патента: HK1087299A1. Автор: . Владелец: Pantech & Curitel Comm Inc. Дата публикации: 2006-10-06.

Buttonhole presser device and method of stitching buttonhole by using the same

Номер патента: US20150090169A1. Автор: Koji Maeda,Eiichi Shomura,Mizuki Okada. Владелец: Janome Sewing Machine Co Ltd. Дата публикации: 2015-04-02.

Spiro-lactam compounds and methods of treating viral infections using the same

Номер патента: WO2021247880A2. Автор: M. Amin Khan. Владелец: Aptinyx Inc.. Дата публикации: 2021-12-09.

Method and device for cutting plate glass preform and method of producing press blank using the same

Номер патента: TW420768B. Автор: Keisuke Yoshikuni,Akigo Tsukada. Владелец: Hoya Corp. Дата публикации: 2001-02-01.

Apparatus and method for predicting a derformed shape of a structure

Номер патента: US20200123891A1. Автор: Junho Choi,Moo-Hyun Kim. Владелец: TEXAS A&M UNIVERSITY SYSTEM. Дата публикации: 2020-04-23.

Carrier and chip package structure, and the manufacturing method of the same

Номер патента: TW564529B. Автор: Ming-Shiang Jeng. Владелец: Advanced Semiconductor Eng. Дата публикации: 2003-12-01.

Phase-shifting mask and method of forming pattern using the same

Номер патента: US6800402B2. Автор: Masashi Fujimoto. Владелец: NEC Electronics Corp. Дата публикации: 2004-10-05.

Seal structure and mounting method of belt cover for internal combustion engine

Номер патента: US12031499B1. Автор: Osamu Yoda,Koichiro Asame. Владелец: Honda Motor Co Ltd. Дата публикации: 2024-07-09.

Mouse device having internet connection function and the method of connecting with internet site using the same

Номер патента: WO2001093007A1. Автор: Eugene Baek. Владелец: Korea Smt Co., Ltd.. Дата публикации: 2001-12-06.

Method for Forming Semiconductor Structure and Semiconductor Structure

Номер патента: US20220293466A1. Автор: Dongxue Zhang,Ge-Wei Lin. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-09-15.

Atomic layer deposition apparatus and method for manufacturing semiconductor device using the same

Номер патента: US20080057738A1. Автор: June Woo Lee. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-03-06.

Drug-inducible promoter and method of inducting gene expression using the same

Номер патента: US10968457B2. Автор: Satoru Nishimura,Shoko Tsuzuki. Владелец: Toyota Motor Corp. Дата публикации: 2021-04-06.

Knitted fabric and method of and apparatus for making the same

Номер патента: US1968061A. Автор: William J Wesseler. Владелец: Individual. Дата публикации: 1934-07-31.

Distance measuring device and method of measuring distance by using the same

Номер патента: EP3798670B1. Автор: TATSUHIRO Otsuka,Jungwoo Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-10.

Photomask blank and method of fabricating a photomask using the same

Номер патента: US10317791B2. Автор: Dong Sik Jang. Владелец: SK hynix Inc. Дата публикации: 2019-06-11.

Drug-inducible promoter and method of inducting gene expression using the same

Номер патента: US20170107528A1. Автор: Satoru Nishimura,Shoko Tsuzuki. Владелец: Toyota Motor Corp. Дата публикации: 2017-04-20.

Structure and manufacturing method of semiconductor device having uneven surface at memory cell capacitor part

Номер патента: US6608344B2. Автор: Tomohiko Higashino. Владелец: NEC Electronics Corp. Дата публикации: 2003-08-19.

Semiconductor integrated circuit device and method of detecting delay error in the same

Номер патента: TW200413736A. Автор: Minari Arai. Владелец: Elpida Memory Inc. Дата публикации: 2004-08-01.

Semiconductor integrated circuit device and method of detecting delay error in the same

Номер патента: TWI261116B. Автор: Minari Arai. Владелец: Elpida Memory Inc. Дата публикации: 2006-09-01.

Photomask blank and method of fabricating a photomask using the same

Номер патента: US20170131628A1. Автор: Dong Sik Jang. Владелец: SK hynix Inc. Дата публикации: 2017-05-11.

Thatched roofing and method of and apparatus for constructing the same

Номер патента: US370984A. Автор: . Владелец: . Дата публикации: 1887-10-04.

Structure and manufacturing method of semiconductor device having uneven surface at memory cell capacitor part

Номер патента: US6514812B2. Автор: Tomohiko Higashino. Владелец: NEC Corp. Дата публикации: 2003-02-04.

Array substrate and method of mounting integrated circuit using the same

Номер патента: US20240234443A1. Автор: Dae Geun LEE. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-07-11.

Structure and manufacturing method of semiconductor device having uneven surface at memory cell capacitor part

Номер патента: US20010008789A1. Автор: Tomohiko Higashino. Владелец: NEC Corp. Дата публикации: 2001-07-19.

Structure and manufacturing method of semiconductor device having uneven surface at memory cell capacitor part

Номер патента: US20020038881A1. Автор: Tomohiko Higashino. Владелец: Individual. Дата публикации: 2002-04-04.

Fiber optic attachment method, structure, and system

Номер патента: US20040264861A1. Автор: Michael Lemmon,Mark Pierson. Владелец: International Business Machines Corp. Дата публикации: 2004-12-30.

ETCHING PASTE, METHOD OF PREPARING THE SAME, AND METHOD OF FORMING PATTERN USING THE SAME

Номер патента: US20140023827A1. Автор: SHIM Jae Joon. Владелец: . Дата публикации: 2014-01-23.

Patterns for forming a mask and methods of forming holes using the same and methods of manufacturing

Номер патента: KR102302704B1. Автор: 김은성,남재우. Владелец: 삼성전자주식회사. Дата публикации: 2021-09-15.

Detector Tube Stack with Integrated Electron Scrub System and Method of Manufacturing the Same

Номер патента: US20120175519A1. Автор: Nathaniel S. Hankel,Ken Jamison. Владелец: Individual. Дата публикации: 2012-07-12.

Method of object recognition, apparatus of the same and recording medium therefor

Номер патента: US7120292B2. Автор: Kenji Nagao,Mihoko Kunii. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2006-10-10.

Laundry treating apparatus and control method of on-line system containing the same

Номер патента: AU2020217289B2. Автор: Yonggyung BAE,Baekeun Kwon. Владелец: LG ELECTRONICS INC. Дата публикации: 2023-09-21.

Semiconductor structure and formation method thereof

Номер патента: US20210280583A1. Автор: Fei Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2021-09-09.

Electronic device and method for slot-die depositing layers of the same

Номер патента: US12069936B2. Автор: Tobias Meyer,Frank NÜESCH,David MARTINEAU,Anand Verma,Jacob HEIER. Владелец: Solaronix SA. Дата публикации: 2024-08-20.

Mask structure and manufacturing method thereof

Номер патента: US20190250502A1. Автор: Yu-Hua Chen,Cheng-Ta Ko,Pu-Ju Lin,Shih-Lian Cheng,Jui-Jung Chien,Wei-Tse Ho. Владелец: Unimicron Technology Corp. Дата публикации: 2019-08-15.

Amplifier and method for controlling common mode voltage of the same

Номер патента: US20230246613A1. Автор: Shih-Hsiung Huang,Wei-Cian Hong. Владелец: Realtek Semiconductor Corp. Дата публикации: 2023-08-03.

Portable computer and automatic setting method of master/slave devices for the same

Номер патента: US20020078281A1. Автор: Hyung-Jun Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-06-20.

Heat-treated biomass, method of making and using of the same

Номер патента: US20230159731A1. Автор: Joseph J. James. Владелец: Agri-Tech Producers LLC. Дата публикации: 2023-05-25.

EARTH-BORING TOOLS HAVING IMPREGNATED CUTTING STRUCTURES AND METHODS OF FORMING AND USING THE SAME

Номер патента: US20180202236A1. Автор: Adams Nathaniel R.,Richert Volker. Владелец: . Дата публикации: 2018-07-19.

Memory devices with isolation structures and methods of forming and programming the same

Номер патента: US20080308855A1. Автор: Leonard Forbes,Badih El-Kareh. Владелец: Micron Technology Inc. Дата публикации: 2008-12-18.

Semiconductor structure and formation method thereof

Номер патента: US11367774B2. Автор: Fei Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2022-06-21.

Plasma processing method and method for fabricating electronic component module using the same

Номер патента: TWI316275B. Автор: Masaru Nonomura,Tasuhiro Mizukami. Владелец: Panasonic Corp. Дата публикации: 2009-10-21.

Vehicle antenna apparatus, method of use and manufacture

Номер патента: EP4005021A1. Автор: Stephen John BOYES. Владелец: UK Secretary of State for Defence. Дата публикации: 2022-06-01.

Semiconductor structure and formation method thereof

Номер патента: US20210280669A1. Автор: Fei Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2021-09-09.

Method of processing data, system of the same and video recording system

Номер патента: US7587123B2. Автор: Yoshiaki Shibata,Mitsutoshi Shinkai. Владелец: Sony Corp. Дата публикации: 2009-09-08.

Membrane multi-layer structure, and actuator element, capacitive element and filter element using the same

Номер патента: US20040253466A1. Автор: Masao Kondo,Kazuaki Kurihara. Владелец: Fujitsu Ltd. Дата публикации: 2004-12-16.

METHODS OF FORMING PATTERNS AND METHODS OF MANUFACTURING SEMICONDUCTOR DEVICES USING THE SAME

Номер патента: US20140264516A1. Автор: LEE Kil-Ho,KIM Ki-Joon,SEO Bum-Seok. Владелец: . Дата публикации: 2014-09-18.

METHODS OF FORMING REDISTRIBUTION LINES AND METHODS OF MANUFACTURING SEMICONDUCTOR DEVICES USING THE SAME

Номер патента: US20190172865A1. Автор: Shim JongBo,Yeon Seunghoon,CHO Yonghoe,LEE WON IL. Владелец: . Дата публикации: 2019-06-06.

METHODS OF FORMING REDISTRIBUTION LINES AND METHODS OF MANUFACTURING SEMICONDUCTOR DEVICES USING THE SAME

Номер патента: US20200203414A1. Автор: Shim JongBo,Yeon Seunghoon,CHO Yonghoe,LEE WON IL. Владелец: . Дата публикации: 2020-06-25.

METHOD OF FORMING MASK PATTERN AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE USING THE SAME

Номер патента: US20200234947A1. Автор: Kang Yool,Kim Chul-Ho,KANG Jaesung,CHOI Jinphil. Владелец: . Дата публикации: 2020-07-23.

METHOD OF FORMING A TEST STRUCTURE FOR DETECTING BAD PATTERNS, AND METHOD OF DETECTING BAD PATTERNS USING THE SAME

Номер патента: US20160307811A1. Автор: Lee Jong-Hyun,KIM CHIN. Владелец: . Дата публикации: 2016-10-20.

METHODS OF FORMING PATTERNS AND METHODS OF MANUFACTURING SEMICONDUCTOR DEVICES USING THE SAME

Номер патента: US20150325625A1. Автор: LEE Kil-Ho,KIM Ki-Joon,SEO Bum-Seok. Владелец: . Дата публикации: 2015-11-12.

Method of forming composite opening and method of dual damascene process using the same

Номер патента: TW200837877A. Автор: Hong Ma. Владелец: United Microelectronics Corp. Дата публикации: 2008-09-16.

Method of forming composite opening and method of dual damascene process using the same

Номер патента: TWI343621B. Автор: Hong Ma. Владелец: United Microelectronics Corp. Дата публикации: 2011-06-11.

Power mosfet and methods of forming and operating the same

Номер патента: AU2001268174A1. Автор: Bantval Jayant Baliga. Владелец: Giant Semiconductor Corp. Дата публикации: 2002-07-08.

Flashlight device and method of forming image using the same

Номер патента: TW473642B. Автор: Yu-Hou Tsau. Владелец: Inventec Multimedia & Telecom. Дата публикации: 2002-01-21.

Improvements in Resilient Tyres for Wheels of Vehicles, and Methods of and Means for Manufacturing the same.

Номер патента: GB190224231A. Автор: Henri Falconnet. Владелец: Individual. Дата публикации: 1903-08-13.

Non-prefix platform-based message service providing system and method of providing message service using the same

Номер патента: PH12014000180A1. Автор: Cheol Won Lee. Владелец: Access Mobile Co Ltd. Дата публикации: 2016-09-14.

METHODS OF FORMING PATTERN STRUCTURES AND METHODS OF FORMING CAPACITORS USING THE SAME

Номер патента: US20130140265A1. Автор: Jin Gyo-young,KIM Cheon-Bae,LEE Kyu-Pil,CHO Chang-Hyun. Владелец: . Дата публикации: 2013-06-06.

Improved Electric Cables, and Method of and Apparatus for Manufacturing the same.

Номер патента: GB189321324A. Автор: Sebastian Ziani De Ferranti. Владелец: Individual. Дата публикации: 1893-12-16.

Improvements in or relating to cotton cord or thread and method of and apparatus for treating the same

Номер патента: AU115886B2. Автор: . Владелец: Cotton Kekeakcm Foiniiatton. Дата публикации: 1942-10-01.

Canal lock and method of and apparatus for regulating the same

Номер патента: CA28286A. Автор: Albert L. Blackman. Владелец: Individual. Дата публикации: 1888-01-03.

Shoelace package and method of and apparatus for producing the same

Номер патента: CA498972A. Автор: H. Andren Karl. Владелец: Artos Engineering Co. Дата публикации: 1954-01-05.

An improved building block or slab and method of and means for manufacturing the same

Номер патента: AU693522A. Автор: Thornley Horrocks Alfred. Владелец: . Дата публикации: 1922-10-10.

Improvements in or relating to cotton cord or thread and method of and apparatus for treating the same

Номер патента: AU352141A. Автор: . Владелец: Cotton Kekeakcm Foiniiatton. Дата публикации: 1942-10-01.

Membrane enzyme reactor and method of producing bioproducts by using the same

Номер патента: TW593676B. Автор: Wen-Chien Lee. Владелец: Nat Science Council. Дата публикации: 2004-06-21.

Buffing element and method of and apparatus for making the same

Номер патента: CA723864A. Автор: R. Churchill George. Владелец: GEORGE R CHURCHILL Co. Дата публикации: 1965-12-21.

Paper bag and method of and apparatus for manufacturing the same

Номер патента: JPS5256680A. Автор: Katsuji Shimada. Владелец: KINKI INSATSU KK. Дата публикации: 1977-05-10.

Improvements in Metal Wheels and in the Method of and Apparatus for Making the Same.

Номер патента: GB190209305A. Автор: William Phillips Thompson. Владелец: Individual. Дата публикации: 1903-01-15.

METHODS OF FORMING A CARBON TYPE HARD MASK LAYER USING INDUCED COUPLED PLASMA AND METHODS OF FORMING PATTERNS USING THE SAME

Номер патента: US20120276743A1. Автор: Won Jai-Hyung,Park Se-Jun. Владелец: . Дата публикации: 2012-11-01.

A tie-rod assembly for a concrete forming structure and a method of constructing concrete walls employing the same

Номер патента: AU2002333093A1. Автор: Bert Petkau. Владелец: Individual. Дата публикации: 2003-04-28.

Gate spacer structure and the manufacturing method of the same

Номер патента: TW434790B. Автор: Da-Cheng Lin,Ji-Shiang Liou,Jiun-Ji Shr. Владелец: United Semiconductor Corp. Дата публикации: 2001-05-16.

Improvements in or relating to Stockings and Socks and to the Method of and Machinery for Producing the same.

Номер патента: GB190614900A. Автор: Herman Wildt. Владелец: Individual. Дата публикации: 1907-03-21.

Improvements in and relating to Portable Railway Tracks and the Method of Laying Down and Dismounting the same.

Номер патента: GB190518755A. Автор: Luigi Manighetti. Владелец: Individual. Дата публикации: 1906-04-19.

INK COMPOSITION, AND METHOD OF FORMING PATTERN, COLOR FILTER AND METHOD OF PREPARING COLOR FILTER USING THE SAME

Номер патента: US20120058273A1. Автор: . Владелец: . Дата публикации: 2012-03-08.

METHODS OF FORMING DIELECTRIC LAYERS AND METHODS OF MANUFACTURING SEMICONDUCTOR DEVICES USING THE SAME

Номер патента: US20120225548A1. Автор: . Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-09-06.