Circuit design point selection method and apparatus

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Interactive method and apparatus for detecting texted metal short circuits

Номер патента: WO2011051786A2. Автор: Chia-Wei Wang,Pamela Liu,Sz-Cheng Huang,Jason Puryear. Владелец: Synopsys, Inc.. Дата публикации: 2011-05-05.

Interactive method and apparatus for detecting texted metal short circuits

Номер патента: WO2011051786A3. Автор: Chia-Wei Wang,Pamela Liu,Sz-Cheng Huang,Jason Puryear. Владелец: Synopsys, Inc.. Дата публикации: 2011-08-04.

Method and system of automatically identifying level shifter circuits

Номер патента: US20120266122A1. Автор: Jesse Conrad Newcomb,Govinda Keshavdas. Владелец: Insight EDA Inc. Дата публикации: 2012-10-18.

Device, method, and computer-readable medium for formal verification of a circuit design

Номер патента: US20240220703A1. Автор: George Constantinides,Theo Drane,Samuel COWARD. Владелец: Individual. Дата публикации: 2024-07-04.

Formal verification of bit-serial division and bit-serial square-root circuit designs

Номер патента: US20140033150A1. Автор: Himanshu Jain,Carl P. Pixley. Владелец: Synopsys Inc. Дата публикации: 2014-01-30.

Clock gating verification during RTL stage of integrated circuit design

Номер патента: US09934342B1. Автор: Lei Ji,Song Huang,Yifeng Liu. Владелец: NXP USA Inc. Дата публикации: 2018-04-03.

Method and Apparatus for Performing Formal Verification of Polynomial Datapath

Номер патента: US20130152030A1. Автор: Theo Alan Drane,Freddie Rupert Exall. Владелец: Imagination Technologies Ltd. Дата публикации: 2013-06-13.

Method and apparatus for performing formal verification of polynomial datapath

Номер патента: US8527924B2. Автор: Theo Alan Drane,Freddie Rupert Exall. Владелец: Imagination Technologies Ltd. Дата публикации: 2013-09-03.

Model correspondence method and device

Номер патента: US20070277133A1. Автор: Magdy S. Abadir,Jayanta Bhadra,Himyanshu Anand,M. Alper Sen. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2007-11-29.

Circuit design updates using reinforced learning loop

Номер патента: US20240184963A1. Автор: Gi-Joon Nam,Alexey Y. Lvov,Victor N. Kravets,Ashish Jaitly. Владелец: International Business Machines Corp. Дата публикации: 2024-06-06.

Method and mechanism for using systematic local search for SAT solving

Номер патента: US7610570B1. Автор: Evgueni Goldberg. Владелец: Cadence Design Systems Inc. Дата публикации: 2009-10-27.

Method and system to verify a circuit design

Номер патента: US20050071147A1. Автор: Edmund Clarke,Daniel Kroening,Karen Yorav. Владелец: CARNEGIE MELLON UNIVERSITY. Дата публикации: 2005-03-31.

Event scheduler for an electrical circuit design to account for hold time violations

Номер патента: US20120240089A1. Автор: TONG XIAO. Владелец: Oracle International Corp. Дата публикации: 2012-09-20.

Method and apparatus for estimating state-dependent gate leakage in an integrated circuit

Номер патента: US6718524B1. Автор: Benjamin Mbouombouo. Владелец: LSI Logic Corp. Дата публикации: 2004-04-06.

Methods and apparatus to simulate metastability for circuit design verification

Номер патента: US20220269845A1. Автор: Venkatraman Ramakrishnan,Sudhakar Surendran. Владелец: Texas Instruments Inc. Дата публикации: 2022-08-25.

Methods and apparatus to simulate metastability for circuit design verification

Номер патента: US11531798B2. Автор: Venkatraman Ramakrishnan,Sudhakar Surendran. Владелец: Texas Instruments Inc. Дата публикации: 2022-12-20.

Methods and apparatus for probing signals from a circuit after register retiming

Номер патента: US09552456B2. Автор: Gordon Raymond Chiu. Владелец: Altera Corp. Дата публикации: 2017-01-24.

Integrated circuit design optimization

Номер патента: US09454636B1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-09-27.

Method and apparatus for merging eda coverage logs of coverage data

Номер патента: WO2010030449A2. Автор: Manoj Bist,Sandeep Mehrotra. Владелец: Synopsys, Inc.. Дата публикации: 2010-03-18.

Equivalence checking between two or more circuit designs that include square root circuits

Номер патента: US20160012177A1. Автор: Himanshu Jain,Carl P. Pixley. Владелец: Synopsys Inc. Дата публикации: 2016-01-14.

Method and Apparatus for Merging EDA Coverage Logs of Coverage Data

Номер патента: US20110283246A1. Автор: Manoj Bist,Sandeep Mehrotra. Владелец: Synopsys Inc. Дата публикации: 2011-11-17.

Equivalence checking between two or more circuit designs that include square root circuits

Номер патента: US09870442B2. Автор: Himanshu Jain,Carl P. Pixley. Владелец: Synopsys Inc. Дата публикации: 2018-01-16.

Method and apparatus for concurrent emulation of multiple circuit designs on an emulation system

Номер патента: US20030055622A1. Автор: Frederic Reblewski. Владелец: Individual. Дата публикации: 2003-03-20.

Method and apparatus for concurrent emulation of multiple circuit designs on an emulation system

Номер патента: EP1135736A1. Автор: Frederic Reblewski. Владелец: Mentor Graphics Corp. Дата публикации: 2001-09-26.

Method and apparatus for concurrent emulation of multiple circuit designs on an emulation system

Номер патента: WO2001024065A1. Автор: Frederic Reblewski. Владелец: MENTOR GRAPHICS CORPORATION. Дата публикации: 2001-04-05.

Method and apparatus for master-clone optimization during circuit analysis

Номер патента: US09639644B1. Автор: DENG Pan,Dongzi Liu. Владелец: Cadence Design Systems Inc. Дата публикации: 2017-05-02.

Method and apparatus for word-level netlist reduction and verification using same

Номер патента: US09489477B2. Автор: Per M. Bjesse. Владелец: Synopsys Inc. Дата публикации: 2016-11-08.

Methods and circuits for testing partial circuit designs

Номер патента: US09581643B1. Автор: Paul R. Schumacher,Graham F. Schelle,Patrick Lysaght,Yi-Hua E. Yang. Владелец: Xilinx Inc. Дата публикации: 2017-02-28.

Scaling of integrated circuit design including logic and memory components

Номер патента: US20160292315A1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-06.

Scaling Logic Components of Integrated Circuit Design

Номер патента: US20160292313A1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-06.

Scaling of integrated circuit design including high-level logic components

Номер патента: US20160292317A1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-06.

Integrated circuit design scaling for recommending design point

Номер патента: US20160292316A1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-06.

Integrated Circuit Design Optimization

Номер патента: US20160292343A1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-06.

Scaling of integrated circuit design including logic and memory components

Номер патента: US9460255B1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-04.

Integrated circuit design scaling for recommending design point

Номер патента: US9460256B1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-04.

Scaling logic components of integrated circuit design

Номер патента: US9460254B1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-04.

Scaling of integrated circuit design including high-level logic components

Номер патента: US9460257B1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-04.

Scaling memory components of integrated circuit design

Номер патента: US20160292314A1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-06.

Scaling memory components of integrated circuit design

Номер патента: US9454628B1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-09-27.

Scaling of Integrated Circuit Design Including High-Level Logic Components

Номер патента: US20160371411A1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-12-22.

Method and apparatus for calculating delay timing values for an integrated circuit design

Номер патента: US09607117B2. Автор: Sergey Sofer,Michael Priel,Asher BERKOVITZ. Владелец: NXP USA Inc. Дата публикации: 2017-03-28.

Method and apparatus for performing fully visible tracing of an emulation

Номер патента: EP1306685A2. Автор: Frederic Reblewski,Olivier LePape,Jean Barbier. Владелец: Mentor Graphics Corp. Дата публикации: 2003-05-02.

Verification apparatus, design verification method, and computer aided design apparatus

Номер патента: US20080005708A1. Автор: Mitsuru Sato,Takehiro Yamazaki. Владелец: Fujitsu Ltd. Дата публикации: 2008-01-03.

Methods and systems for performing timing sign-off of an integrated circuit design

Номер патента: US20120089383A1. Автор: Rajkumar Agrawal. Владелец: Individual. Дата публикации: 2012-04-12.

Integrated circuit design system and method

Номер патента: WO2018118078A1. Автор: Evan Jeffrey,Joshua Yousouf MUTUS,Julian Shaw Kelly. Владелец: GOOGLE INC.. Дата публикации: 2018-06-28.

Method and apparatus for accelerating project start and tape-out

Номер патента: WO2010104776A2. Автор: Andrew Stanley Potemski,John Scott Tyson. Владелец: Synopsys, Inc.. Дата публикации: 2010-09-16.

Method and system for building hardware images from heterogeneous designs for electronic systems

Номер патента: US12073155B2. Автор: Michael Gill,Ali Behboodian,Anindita Patra. Владелец: Xilinx Inc. Дата публикации: 2024-08-27.

Digital circuit design method and associated computer program product

Номер патента: US09569575B2. Автор: Chi-Shun Weng,Shun-Te Tseng. Владелец: Realtek Semiconductor Corp. Дата публикации: 2017-02-14.

Apparatus and method of optimizing an integrtted circuit design

Номер патента: US20230281366A1. Автор: FU Chin-Ming,Chih-Hsien Chang,Tsung-Che Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-07.

Method and system for analyzing a VLSI circuit design

Номер патента: US20020112214A1. Автор: S Keller,Gregory Rogers,Charles Lelm. Владелец: Hewlett Packard Co. Дата публикации: 2002-08-15.

Methods and circuits for debugging circuit designs

Номер патента: US20170115348A1. Автор: Philip B. James-Roxby,Paul R. Schumacher,Graham F. Schelle,Patrick Lysaght,Yi-Hua E. Yang. Владелец: Xilinx Inc. Дата публикации: 2017-04-27.

Methods and circuits for debugging circuit designs

Номер патента: US09678150B2. Автор: Philip B. James-Roxby,Paul R. Schumacher,Graham F. Schelle,Patrick Lysaght,Yi-Hua E. Yang. Владелец: Xilinx Inc. Дата публикации: 2017-06-13.

Method and apparatus for automated circuit design

Номер патента: EP1634209A2. Автор: Kenneth S. McElvain,Champaka Ramachandran,Andrew Crews. Владелец: Synplicity LLC. Дата публикации: 2006-03-15.

Methods and apparatus to simulate metastability for circuit design verification

Номер патента: US11775718B2. Автор: Venkatraman Ramakrishnan,Sudhakar Surendran. Владелец: Texas Instruments Inc. Дата публикации: 2023-10-03.

Incremental register retiming of an integrated circuit design

Номер патента: US09996652B2. Автор: Gordon Raymond Chiu,Nishanth Sinnadurai. Владелец: Altera Corp. Дата публикации: 2018-06-12.

Methods and apparatus for probing signals from a circuit after register retiming

Номер патента: US20160350468A1. Автор: Gordon Raymond Chiu. Владелец: Altera Corp. Дата публикации: 2016-12-01.

Block-level code coverage in simulation of circuit designs

Номер патента: US09600613B1. Автор: Kyle Corbett. Владелец: Xilinx Inc. Дата публикации: 2017-03-21.

Speculative circuit design component graphical user interface

Номер патента: US09529952B1. Автор: Gordon Raymond Chiu,Benjamin Michael Joshua Gamsa. Владелец: Altera Corp. Дата публикации: 2016-12-27.

Register retiming and verification of an integrated circuit design

Номер патента: US09529947B1. Автор: Gordon Raymond Chiu. Владелец: Altera Corp. Дата публикации: 2016-12-27.

Variation aware adjustments to superconducting electronic circuit designs

Номер патента: US20240289524A1. Автор: Aaron John Barker. Владелец: Synopsys Inc. Дата публикации: 2024-08-29.

Method and apparatus to analyze noise in a pulse logic digital circuit design

Номер патента: US20040255255A1. Автор: Puneet Singh. Владелец: Intel Corp. Дата публикации: 2004-12-16.

Adaptable framework for circuit design simulation verification

Номер патента: US20240232482A9. Автор: Rajvinder S. Klair,Saikat Bandyopadhyay. Владелец: Xilinx Inc. Дата публикации: 2024-07-11.

Test point insertion in analog circuit design testing

Номер патента: US20240232485A1. Автор: Peilin Jiang,Mayukh Bhattacharya. Владелец: Synopsys Inc. Дата публикации: 2024-07-11.

Statistical timing characterization of superconducting electronic circuit designs

Номер патента: US20240281582A1. Автор: Aaron John Barker. Владелец: Synopsys Inc. Дата публикации: 2024-08-22.

Dynamic control of circuit design emulation

Номер патента: US20240330548A1. Автор: Mikhail Bershteyn,Etienne Lepercq,Marc-Andre Daigneault. Владелец: Synopsys Inc. Дата публикации: 2024-10-03.

Integrated circuit design systems and methods

Номер патента: US09959380B2. Автор: Ji xU,Bharath Rangarajan,Vito Dai,Edward Kah Ching Teoh. Владелец: Motivo Inc. Дата публикации: 2018-05-01.

Circuit design instrumentation for state visualization

Номер патента: US09798842B1. Автор: Michael Hutton. Владелец: Altera Corp. Дата публикации: 2017-10-24.

Testing critical paths of a circuit design

Номер патента: US09501604B1. Автор: Nagaraj Savithri,Srinivasan Dasasathyan,Geetesh More. Владелец: Xilinx Inc. Дата публикации: 2016-11-22.

Methods and apparatus for performing timing driven hardware emulation

Номер патента: US20190005174A1. Автор: Mohamed Farag. Владелец: Intel Corp. Дата публикации: 2019-01-03.

Machine for circuit design

Номер патента: WO1990009639A1. Автор: David Latham Grundy,Glenn William Birchby,Denzil John Broadhurst. Владелец: Plessey Overseas Limited. Дата публикации: 1990-08-23.

Circuit design simulation and clock event reduction

Номер патента: US12086521B2. Автор: Hemant Kashyap,Tharun Kumar Ksheerasagar,Rohit Bhadana,Pratyush Ranjan. Владелец: Xilinx Inc. Дата публикации: 2024-09-10.

Circuit design-specific failure in time rate for single event upsets

Номер патента: US09483599B1. Автор: James Karp,Praful Jain. Владелец: Xilinx Inc. Дата публикации: 2016-11-01.

Selecting predefined circuit implementations in a circuit design system

Номер патента: US09460253B1. Автор: Elliott Delaye,Ashish Sirasao,Bing Tian,Krishna Garlapati. Владелец: Xilinx Inc. Дата публикации: 2016-10-04.

Method and apparatus for timing characterization of integrated circuit designs

Номер патента: US7143378B1. Автор: Sudip K. Nag. Владелец: Xilinx Inc. Дата публикации: 2006-11-28.

Method and system for trace compaction during emulation of a circuit design

Номер патента: US09646120B1. Автор: Mitchell G. Poplack,Beshara Elmufdi,Viktor Salitrennik. Владелец: Cadence Design Systems Inc. Дата публикации: 2017-05-09.

Circuit design visibility in integrated circuit devices

Номер патента: US20240303406A1. Автор: Yi Peng,Brandon Lewis Gordon. Владелец: Intel Corp. Дата публикации: 2024-09-12.

Circuit design modification using timing-based yield calculation

Номер патента: US12086529B1. Автор: Yang Gao,Eric K. Anderson,Igor Keller. Владелец: Cadence Design Systems Inc. Дата публикации: 2024-09-10.

Increasing operating frequency of circuit designs using dynamically modified timing constraints

Номер патента: US09372953B1. Автор: Ilya K. Ganusov,Shant Chandrakar. Владелец: Xilinx Inc. Дата публикации: 2016-06-21.

Methods and apparatus for profile-guided optimization of integrated circuits

Номер патента: US20230342531A1. Автор: John Freeman,Byron Sinclair. Владелец: Intel Corp. Дата публикации: 2023-10-26.

Method and apparatus for calculating delay timing values for an integrated circuit design

Номер патента: US9977849B2. Автор: Sergey Sofer,Michael Priel,Asher BERKOVITZ. Владелец: NXP USA Inc. Дата публикации: 2018-05-22.

Circuit design device for conducting failure analysis facilitating design

Номер патента: US8365124B2. Автор: Junpei Nonaka. Владелец: Renesas Electronics Corp. Дата публикации: 2013-01-29.

Opportunistic candidate path selection during physical optimization of a circuit design for an IC

Номер патента: US09483597B1. Автор: Zhiyong Wang,Ruibing Lu,Sabyasachi Das. Владелец: Xilinx Inc. Дата публикации: 2016-11-01.

Side channel leakage source identification in an electronic circuit design

Номер патента: US20230237229A1. Автор: Yao Yuan,Baris EGE,Tarun KATHURIA,Robert Patrick SCHAUMONT. Владелец: Riscure Beheer BV. Дата публикации: 2023-07-27.

Side channel leakage source identification in an electronic circuit design

Номер патента: WO2021255019A1. Автор: Yao Yuan,Baris EGE,Patrick Robert SCHAUMONT,Tarun KATHURIA. Владелец: Riscure Beheer B.V.. Дата публикации: 2021-12-23.

Test functionality integrity verification for integrated circuit design

Номер патента: WO2013044122A1. Автор: Steven M. Millendorf. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2013-03-28.

Logic simulation of circuit designs using on-the-fly bit reduction for constraint solving

Номер патента: US20210089695A1. Автор: In-Ho Moon. Владелец: Synopsys Inc. Дата публикации: 2021-03-25.

Integrated circuit design verification with module swapping

Номер патента: WO2023158530A1. Автор: Adam Moshe IZRAELEVITZ,Albert Pengju CHEN. Владелец: SiFive, Inc.. Дата публикации: 2023-08-24.

System for making circuit design changes

Номер патента: US12147748B2. Автор: Tao Lin,Tao Huang,Min Pan,Tobias Bjerregaard,Zuo Dai,Konstantinos TSIROGIANNIS,JaeHan JEON. Владелец: Synopsys Inc. Дата публикации: 2024-11-19.

Integrated circuit design system and method

Номер патента: US20240126970A1. Автор: Evan Jeffrey,Joshua Yousouf MUTUS,Julian Shaw Kelly. Владелец: Google LLC. Дата публикации: 2024-04-18.

Method and apparatus for word-level netlist preprocessing and analysis using same

Номер патента: US20170011140A1. Автор: Per M. Bjesse. Владелец: Synopsys Inc. Дата публикации: 2017-01-12.

Integrated circuit design system and method

Номер патента: EP3542285A1. Автор: Evan Jeffrey,Joshua Yousouf MUTUS,Julian Shaw Kelly. Владелец: Google LLC. Дата публикации: 2019-09-25.

Integrated circuit design system and method

Номер патента: US20190370430A1. Автор: Evan Jeffrey,Joshua Yousouf MUTUS,Julian Shaw Kelly. Владелец: Google LLC. Дата публикации: 2019-12-05.

Incremental register retiming of an integrated circuit design

Номер патента: EP3139291A3. Автор: Gordon Raymond Chiu,Nishanth Sinnadurai. Владелец: Altera Corp. Дата публикации: 2017-03-15.

Timing analysis method for digital circuit design and system thereof

Номер патента: US09710580B2. Автор: Hsin-Hsiung Liao,Min-Hsiu Tsai,Cheng-Hong Tsai,Teng-Nan Liao,Te-Hsun Fu. Владелец: Global Unichip Corp. Дата публикации: 2017-07-18.

Incremental register retiming of an integrated circuit design

Номер патента: US20180293343A1. Автор: Gordon Raymond Chiu,Nishanth Sinnadurai. Владелец: Altera Corp. Дата публикации: 2018-10-11.

Stochastic analysis process optimization for integrated circuit design and manufacture

Номер патента: WO2006063359A3. Автор: Jun Li,Meiling Wang,Hsien-Yen Chiu. Владелец: Anova Solutions Inc. Дата публикации: 2007-04-12.

Integrated circuit design method

Номер патента: US09330219B2. Автор: Ching-Shun Yang,Steven Shen,Wan-Ru Lin,Chau-Wen Wei,W. R. Lien. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-05-03.

Method and system for indicating a status of a circuit design

Номер патента: US5629857A. Автор: Thomas C. Brennan. Владелец: International Business Machines Corp. Дата публикации: 1997-05-13.

Event-driven tracing in static timing analysis of digital circuit designs

Номер патента: WO2024118329A1. Автор: Paul Gross,Clayton Mcdonald,Jacob Philip THOMAS,Norbert HEINDL. Владелец: Synopsys, Inc.. Дата публикации: 2024-06-06.

Circuit design validation tool for radiation-hardened design

Номер патента: US20220245314A1. Автор: Lawrence James Gewax,Timothy Paul Duryea. Владелец: Texas Instruments Inc. Дата публикации: 2022-08-04.

Method and system for building hardware images from heterogeneous designs for eletronic systems

Номер патента: US20230289500A1. Автор: Michael Gill,Ali Behboodian,Anindita Patra. Владелец: Xilinx Inc. Дата публикации: 2023-09-14.

Circuit design approximation

Номер патента: US20120192130A1. Автор: Eli Arbel,Oleg Rokhlenko. Владелец: International Business Machines Corp. Дата публикации: 2012-07-26.

Clock alias for timing analysis of an integrated circuit design

Номер патента: US20120204138A1. Автор: Craig M. Darsow,Michael D. Amundson. Владелец: International Business Machines Corp. Дата публикации: 2012-08-09.

Clock alias for timing analysis of an integrated circuit design

Номер патента: US8438514B2. Автор: Craig M. Darsow,Michael D. Amundson. Владелец: International Business Machines Corp. Дата публикации: 2013-05-07.

Clock alias for timing analysis of an integrated circuit design

Номер патента: US20130074022A1. Автор: Craig M. Darsow,Michael D. Amundson. Владелец: International Business Machines Corp. Дата публикации: 2013-03-21.

Clock alias for timing analysis of an integrated circuit design

Номер патента: US20130074021A1. Автор: Craig M. Darsow,Michael D. Amundson. Владелец: International Business Machines Corp. Дата публикации: 2013-03-21.

Analyzing timing requirements of a hierarchical integrated circuit design

Номер патента: US20140282320A1. Автор: Timothy D. Helvey. Владелец: International Business Machines Corp. Дата публикации: 2014-09-18.

Library scaling for circuit design analysis

Номер патента: US20240281581A1. Автор: Peivand Tehrani,Jianquan Zheng. Владелец: Synopsys Inc. Дата публикации: 2024-08-22.

Virtual Platforms of Integrated Circuit Designs

Номер патента: US20240037305A1. Автор: Kalen Brunham,Jakob ENGBLOM. Владелец: Intel Corp. Дата публикации: 2024-02-01.

Post-routing structural netlist optimization for circuit designs

Номер патента: US09646126B1. Автор: Zhiyong Wang,Aaron Ng,Ruibing Lu,Sabyasachi Das. Владелец: Xilinx Inc. Дата публикации: 2017-05-09.

Static timing analysis in circuit design

Номер патента: US09633148B2. Автор: Yang Liu,Hongwei Dai,Peng Ou,Jia Niu. Владелец: International Business Machines Corp. Дата публикации: 2017-04-25.

Determination of path delays in circuit designs

Номер патента: US09405871B1. Автор: Sudip K. Nag,Nagaraj Savithri,Atul Srinivasan,Vinod K. Nakkala. Владелец: Xilinx Inc. Дата публикации: 2016-08-02.

Integrated circuit design systems and methods

Номер патента: US20170277818A1. Автор: Ji xU,Bharath Rangarajan,Vito Dai,Edward Kah Ching Teoh. Владелец: Motivo Inc. Дата публикации: 2017-09-28.

Method and apparatus with circuit design parameter generation

Номер патента: US20240078361A1. Автор: Yongwoo Lee,Hyung-Dal Kwon,Doyun Kim,Youngmin OH,Bosun HWANG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-03-07.

Third party component debugging for integrated circuit design

Номер патента: US20150149973A1. Автор: Krishnamurthy Suresh,Sanjay Gupta,Charles W. Selvidge. Владелец: Mentor Graphics Corp. Дата публикации: 2015-05-28.

Third Party Component Debugging For Integrated Circuit Design

Номер патента: US20130318484A1. Автор: Charles Selvidge. Владелец: Mentor Graphics Corp. Дата публикации: 2013-11-28.

Third party component debugging for integrated circuit design

Номер патента: US09619600B2. Автор: Krishnamurthy Suresh,Sanjay Gupta,Charles W. Selvidge. Владелец: Mentor Graphics Corp. Дата публикации: 2017-04-11.

Integrated circuit design system, integrated circuit design program, and integrated circuit design method

Номер патента: US20050204317A1. Автор: Masahide Yamagata. Владелец: Sony Corp. Дата публикации: 2005-09-15.

Methods and circuits for debugging circuit designs

Номер патента: WO2017074568A1. Автор: Paul R. Schumacher,Graham F. Schelle,Patrick Lysaght,Yi-Hua E. Yang,Philip B JAMES-ROXBY. Владелец: XILINX, INC.. Дата публикации: 2017-05-04.

Method and system for robust distributed circuit synthesis

Номер патента: US20010020290A1. Автор: LIANG Chen,William K Lam. Владелец: Sun Microsystems Inc. Дата публикации: 2001-09-06.

Method and system for implementing circuit simulators

Номер патента: US20110270556A1. Автор: Kenneth S. Kundert. Владелец: Cadence Design Systems Inc. Дата публикации: 2011-11-03.

Application generator for use in verifying a hierarchical circuit design

Номер патента: US5497334A. Автор: Philip J. Russell,Glenwood S. Weinert. Владелец: International Business Machines Corp. Дата публикации: 1996-03-05.

Integrated circuit design verification with signal forcing

Номер патента: WO2023158531A1. Автор: Adam Moshe IZRAELEVITZ,Albert Pengju CHEN. Владелец: SiFive, Inc.. Дата публикации: 2023-08-24.

Detecting instability in combinational loops in electronic circuit designs

Номер патента: US20230351085A1. Автор: Mikhail Bershteyn,Srivatsan Raghavan,Vinod CHANDRASEKARAN. Владелец: Synopsys Inc. Дата публикации: 2023-11-02.

Circuit design method and associated computer program product

Номер патента: US20190205497A1. Автор: Shih-Hsiang Tai. Владелец: Silicon Motion Inc. Дата публикации: 2019-07-04.

Circuit design method and associated computer program product

Номер патента: US10635850B2. Автор: Shih-Hsiang Tai. Владелец: Silicon Motion Inc. Дата публикации: 2020-04-28.

Method and computer program for estimating speed-up and slow-down net delays for an integrated circuit design

Номер патента: US20060294482A1. Автор: Alexander Tetelbaum. Владелец: LSI Logic Corp. Дата публикации: 2006-12-28.

Method and computer program for estimating speed-up and slow-down net delays for an integrated circuit design

Номер патента: US7178121B2. Автор: Alexander Tetelbaum. Владелец: LSI Logic Corp. Дата публикации: 2007-02-13.

Circuit design visibility in integrated circuit devices

Номер патента: US12014129B2. Автор: Yi Peng,Brandon Lewis Gordon. Владелец: Intel Corp. Дата публикации: 2024-06-18.

Circuit design having an improved clock tree

Номер патента: US20230376670A1. Автор: Tao Lin,Tao Huang,Min Pan,Zuo Dai,JaeHan JEON. Владелец: Synopsys Inc. Дата публикации: 2023-11-23.

Real-time connection error checking method and process

Номер патента: US20030046644A1. Автор: William Wheeler,Matthew Adiletta. Владелец: Intel Corp. Дата публикации: 2003-03-06.

Method and system for incorporating via redundancy in timing analysis

Номер патента: US20070256042A1. Автор: Madhur Kashyap,Arijit Dutta. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2007-11-01.

Activity coverage assessment of circuit designs under test stimuli

Номер патента: US11455447B2. Автор: Stephen Kenneth Sunter. Владелец: Siemens Industry Software Inc. Дата публикации: 2022-09-27.

Method and apparatus for accelerating project start and tape-out

Номер патента: WO2010104776A3. Автор: Andrew Stanley Potemski,John Scott Tyson. Владелец: Synopsys, Inc.. Дата публикации: 2011-01-13.

Real-time connection error checking method and process

Номер патента: EP1421525A2. Автор: William Wheeler,Matthew Adiletta. Владелец: Intel Corp. Дата публикации: 2004-05-26.

Activity coverage assessment of circuit designs under test stimuli

Номер патента: WO2018026696A1. Автор: Stephen Kenneth Sunter. Владелец: MENTOR GRAPHICS CORPORATION. Дата публикации: 2018-02-08.

System for making circuit design changes

Номер патента: US20230334211A1. Автор: Tao Lin,Tao Huang,Min Pan,Tobias Bjerregaard,Zuo Dai,Konstantinos TSIROGIANNIS,JaeHan JEON. Владелец: Synopsys Inc. Дата публикации: 2023-10-19.

Logic simulation of circuit designs using on-the-fly bit reduction for constraint solving

Номер патента: US11615225B2. Автор: In-Ho Moon. Владелец: Synopsys Inc. Дата публикации: 2023-03-28.

Circuit design method and associated computer program product

Номер патента: US20200218846A1. Автор: Shih-Hsiang Tai. Владелец: Silicon Motion Inc. Дата публикации: 2020-07-09.

Determining aging effects for a circuit design

Номер патента: US20230359796A1. Автор: Li Ding,Wei-kai Shih,Hsien-Han CHENG. Владелец: Synopsys Inc. Дата публикации: 2023-11-09.

Data traffic injection for simulation of circuit designs

Номер патента: US20230113197A1. Автор: Amit Kasat,Madhusudana Reddy,Hemant Kashyap,Tharun Kumar Ksheerasagar,Rohit Bhadana. Владелец: Xilinx Inc. Дата публикации: 2023-04-13.

Method of modeling the crossover current component in submicron CMOS integrated circuits designs

Номер патента: US20030177460A1. Автор: Thomas Chen. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2003-09-18.

Method and System for Performing Ternary Verification

Номер патента: US20080201128A1. Автор: Viresh Paruthi,Jason R. Baumgartner,Hari Mony,Matyas A. Sustik. Владелец: Individual. Дата публикации: 2008-08-21.

Simulation of a circuit design block using pattern matching

Номер патента: US09582619B1. Автор: Feng Cai,Saikat Bandyopadhyay,David K. Liddell. Владелец: Xilinx Inc. Дата публикации: 2017-02-28.

Stochastic analysis process optimization for integrated circuit design and manufacture

Номер патента: EP1836626A2. Автор: Jun Li,Meiling Wang,Hsien-Yen Chiu. Владелец: Anova Solutions Inc. Дата публикации: 2007-09-26.

Boundary assertion-based power recovery in integrated circuit design

Номер патента: US20200089828A1. Автор: Alexander J. Suess,Cindy S. Washburn. Владелец: International Business Machines Corp. Дата публикации: 2020-03-19.

Interconnect flow graph for integrated circuit design

Номер патента: US11768990B1. Автор: Guy Nakibly,Uri Leder,Ori Ariel,Max Chvalevsky,Benzi Denkberg. Владелец: Amazon Technologies Inc. Дата публикации: 2023-09-26.

Circuit design verification using checkpointing

Номер патента: EP1932090A1. Автор: Jesse Ethan Craig,Jason Michael Norman. Владелец: International Business Machines Corp. Дата публикации: 2008-06-18.

Implementing a circuit design with re-convergence

Номер патента: US10990736B1. Автор: Ashish Sirasao,Krishna Garlapati,Chaithanya Dudha,Satyaprakash Pareek. Владелец: Xilinx Inc. Дата публикации: 2021-04-27.

Circuit design verification using checkpointing

Номер патента: WO2007039387A1. Автор: Jesse Ethan Craig,Jason Michael Norman. Владелец: Ibm United Kingdom Limited. Дата публикации: 2007-04-12.

Integrated Circuit Design Verification Through Forced Clock Glitches

Номер патента: US20140325463A1. Автор: Xiao Sun,Jayanta Bahadra,Xiushan Feng. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2014-10-30.

Method and apparatus for placement and routing of circuit designs

Номер патента: US09864830B1. Автор: Pradip K. Jha,Steven Banks,Nicholas A. Mezei,Atul Srinivasan. Владелец: Xilinx Inc. Дата публикации: 2018-01-09.

Circuit component migration method and apparatus

Номер патента: US09582624B2. Автор: Kazuhiro Matsuzaki. Владелец: Fujitsu Ltd. Дата публикации: 2017-02-28.

Method and apparatus for designing integrated circuit

Номер патента: US20120023470A1. Автор: Koichi Nakagawa. Владелец: Fujitsu Ltd. Дата публикации: 2012-01-26.

Method and apparatus for circuit design and retiming

Номер патента: WO2004102429A2. Автор: Levent Oktem. Владелец: Synplicity, Inc.. Дата публикации: 2004-11-25.

Method and apparatus for facilitating circuit design

Номер патента: US20030061586A1. Автор: Andrew Wallace. Владелец: Broadcom Corp. Дата публикации: 2003-03-27.

Flip-flop insertion in a circuit design

Номер патента: US20040153984A1. Автор: Nataraj Akkiraju. Владелец: Intel Corp. Дата публикации: 2004-08-05.

Method and apparatus for selecting ip blocks

Номер патента: WO1999062009A8. Автор: Manuel Hernandez,Sherman Lee,Martha Amram,Adriana Chiocchi,Robert Pindyck. Владелец: Robert Pindyck. Дата публикации: 2000-04-20.

Methods and apparatus to implement localized context configuration for electronic design automation

Номер патента: US20240273269A1. Автор: Sourav Saha,Sagarkumar Ashokbhai Rana. Владелец: Intel Corp. Дата публикации: 2024-08-15.

Method and apparatus for determining common node logical connectivity

Номер патента: US09436796B2. Автор: Stephen Dixon. Владелец: Avago Technologies General IP Singapore Pte Ltd. Дата публикации: 2016-09-06.

Methods and apparatus for facilitating physical synthesis of an integrated circuit design

Номер патента: WO2004017367A3. Автор: Qing Wu,Andrew Lines,Peter Beerel. Владелец: Fulcrum Microsystems Inc. Дата публикации: 2004-05-21.

Methods and apparatus for facilitating physical synthesis of an integrated circuit design

Номер патента: WO2004017367A2. Автор: Qing Wu,Andrew Lines,Peter Beerel. Владелец: FULCRUM MICROSYSTEMS, INC.. Дата публикации: 2004-02-26.

Method and apparatus for localized planning in an integrated circuit

Номер патента: US20080235642A1. Автор: Ravikanth Tangirala. Владелец: Legend Silicon Corp. Дата публикации: 2008-09-25.

Method and Apparatus for Verifying Debugging of Integrated Circuit Designs

Номер патента: US20140173541A1. Автор: David Guoqing Zhang. Владелец: Cadence Design Systems Inc. Дата публикации: 2014-06-19.

Design system of integrated circuit and its design method and program

Номер патента: US20030061585A1. Автор: Sho Matsumoto. Владелец: Fujitsu Ltd. Дата публикации: 2003-03-27.

Quantum circuit design program, quantum circuit design method, and quantum circuit design device

Номер патента: EP4459513A1. Автор: Masatoshi Ishii. Владелец: Fujitsu Ltd. Дата публикации: 2024-11-06.

Apparatus and method for integrated circuit design for circuit edit

Номер патента: WO2009048979A1. Автор: Hitesh Suri,Theodore R. Lundquist,Tahir Malik. Владелец: DCG SYSTEMS, INC.. Дата публикации: 2009-04-16.

Method and apparatus for scalable interconnect solution

Номер патента: EP1235164A3. Автор: Jing Chen,Limin He,So-Zen Yao,Wenyong Deng,Liang-Jih Chao. Владелец: Cadence Design Systems Inc. Дата публикации: 2005-09-28.

Integrated circuit design using dynamic voltage scaling

Номер патента: US20150095863A1. Автор: Michael Scott,Raed Moughabghab,Branislav Petrovic. Владелец: Entropic Communications LLC. Дата публикации: 2015-04-02.

Method and apparatus for performing logic synthesis

Номер патента: US20150339413A1. Автор: Michael Priel,Asher BERKOVITZ,Eliya Babitsky,Vladimir Nusimovich. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2015-11-26.

Method and apparatus for performing logic synthesis

Номер патента: US09652572B2. Автор: Michael Priel,Asher BERKOVITZ,Eliya Babitsky,Vladimir Nusimovich. Владелец: NXP USA Inc. Дата публикации: 2017-05-16.

Systems and methods for top level integrated circuit design

Номер патента: US10474778B2. Автор: Alexander Martfeld. Владелец: MELLANOX TECHNOLOGIES LTD. Дата публикации: 2019-11-12.

Analogue circuit design

Номер патента: EP4118555A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2023-01-18.

Systems and methods for top level integrated circuit design

Номер патента: US20190171783A1. Автор: Alexander Martfeld. Владелец: MELLANOX TECHNOLOGIES LTD. Дата публикации: 2019-06-06.

Integrated Circuit Design Using Dynamic Voltage Scaling

Номер патента: US20160125123A1. Автор: Michael Scott,Raed Moughabghab,Branislav Petrovic. Владелец: Entropic Communications LLC. Дата публикации: 2016-05-05.

Method and system for displaying waveform results directly on a schematic

Номер патента: US09858374B1. Автор: Ian Gebbie,John Purchase. Владелец: Cadence Design Systems Inc. Дата публикации: 2018-01-02.

Integrated circuit design using dynamic voltage scaling

Номер патента: US9501610B2. Автор: Michael Scott,Raed Moughabghab,Branislav Petrovic. Владелец: Entropic Communications LLC. Дата публикации: 2016-11-22.

Integrated circuit design using dynamic voltage scaling

Номер патента: US09501610B2. Автор: Michael Scott,Raed Moughabghab,Branislav Petrovic. Владелец: Entropic Communications LLC. Дата публикации: 2016-11-22.

Circuit design device and circuit design program

Номер патента: US20070006111A1. Автор: Yasuo Otsuka. Владелец: NEC Electronics Corp. Дата публикации: 2007-01-04.

Analogue circuit design

Номер патента: EP4118556A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2023-01-18.

Analogue circuit design

Номер патента: GB2602291A. Автор: HULSE MICHAEL. Владелец: Agile Analog Ltd. Дата публикации: 2022-06-29.

Analogue circuit design

Номер патента: US20230116699A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2023-04-13.

Analogue circuit design

Номер патента: US20230111448A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2023-04-13.

Apparatus, Device, Method and Computer Program for Generating a Circuit Design of Polynomial Interpolation Hardware

Номер патента: US20220222413A1. Автор: Theo Drane,Bryce ORLOSKI. Владелец: Intel Corp. Дата публикации: 2022-07-14.

Method and apparatus for automatic relative placement generation for clock trees

Номер патента: US09792396B2. Автор: Anand Arunachalam. Владелец: Synopsys Inc. Дата публикации: 2017-10-17.

Integrated circuit design using pre-marked circuit element object library

Номер патента: US09652577B2. Автор: Edward O. Travis,Ertugrul Demircan,Michael A. Stockinger,Douglas M. Reber. Владелец: NXP USA Inc. Дата публикации: 2017-05-16.

Method and apparatus for automatic relative placement generation for clock trees

Номер патента: US09430601B2. Автор: Anand Arunachalam. Владелец: Synopsys Inc. Дата публикации: 2016-08-30.

Analogue circuit design

Номер патента: US20240061985A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2024-02-22.

Analogue circuit design

Номер патента: EP4268113A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2023-11-01.

Machine learning-based prediction of metrics at early-stage circuit design

Номер патента: WO2021188429A1. Автор: Wei-Ting Chan,Siddhartha Nath,Vishal Khandelwal,Ravi Mamidi. Владелец: Synopsys, Inc.. Дата публикации: 2021-09-23.

Analogue circuit design

Номер патента: WO2022136822A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2022-06-30.

Analogue circuit design

Номер патента: EP4118554A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2023-01-18.

Analogue circuit design

Номер патента: US20230111082A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2023-04-13.

Photonic circuit design systems

Номер патента: EP3268782A1. Автор: Jason Pelc,Thomas VAN VAERENBERGH. Владелец: HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP. Дата публикации: 2018-01-17.

Circuit design implementations in secure partitions of an integrated circuit

Номер патента: US09946826B1. Автор: Herman Schmit,Ting Lu,Dana How,Sean Atsatt. Владелец: Altera Corp. Дата публикации: 2018-04-17.

Methods of design rule checking of circuit designs

Номер патента: US09798852B2. Автор: Lei Yuan,Harry J. Levinson,Jongwook Kye. Владелец: Globalfoundries Inc. Дата публикации: 2017-10-24.

Alternative hierarchical views of a circuit design

Номер патента: US10248751B2. Автор: Glenn B. Graham,Ajay Guleria,Jeffrey J. Loescher. Владелец: Synopsys Inc. Дата публикации: 2019-04-02.

Management system, method and apparatus for licensed delivery and accounting of electronic circuits

Номер патента: EP1483712A2. Автор: Christopher J. Clark,Michael Ricchetti. Владелец: Intellitech Corp. Дата публикации: 2004-12-08.

Thermal analysis based circuit design

Номер патента: US20140053124A1. Автор: Kenneth S. McElvain,Khalid Rahmat. Владелец: Synopsys Inc. Дата публикации: 2014-02-20.

Context-aware circuit design layout construct

Номер патента: US11868698B1. Автор: RWIK Sengupta,Jonathan R. Fales,Joshua David Tygert,Timothy H. Pylant. Владелец: Cadence Design Systems Inc. Дата публикации: 2024-01-09.

Circuit design apparatus, circuit design program, and circuit design method

Номер патента: US20070143726A1. Автор: Ryo Mizutani,Hiromichi Makishima,Seiji Shigihara,Yasutomo Honma. Владелец: Fujitsu Ltd. Дата публикации: 2007-06-21.

Method and circuit for via pillar optimization

Номер патента: US09977857B1. Автор: Wen-Hao Chen,Ming-Tao Yu,Hung-Chih Ou,Chun-Yao Ku,Shao-Huan Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-22.

Recognizing and utilizing circuit topology in an electronic circuit design

Номер патента: US09817932B2. Автор: Alan Sherman. Владелец: Mentor Graphics Corp. Дата публикации: 2017-11-14.

Systems And Methods For Generating Redacted Circuit Designs For Integrated Circuits

Номер патента: US20240311537A1. Автор: Nij Dorairaj,David Kehlet,Shuanghong SUN. Владелец: Intel Corp. Дата публикации: 2024-09-19.

Predictive circuit design for integrated circuits

Номер патента: US09881117B1. Автор: Nabeel Shirazi,Anindita Patra. Владелец: Xilinx Inc. Дата публикации: 2018-01-30.

Multithreaded scheduling for placement of circuit designs using connectivity and utilization dependencies

Номер патента: US09529957B1. Автор: Xiao Dong,Marvin Tom,Grigor S. Gasparyan. Владелец: Xilinx Inc. Дата публикации: 2016-12-27.

Relative Positioning of Circuit Elements in Circuit Design

Номер патента: US20120284682A1. Автор: Anand Arunachalam. Владелец: Synopsys Inc. Дата публикации: 2012-11-08.

Thermal analysis based circuit design

Номер патента: US8572535B2. Автор: Kenneth S. McElvain,Khalid Rahmat. Владелец: Synopsys Inc. Дата публикации: 2013-10-29.

Circuit design method, apparatus, and program

Номер патента: US20040098675A1. Автор: Makiko Kan. Владелец: Sony Corp. Дата публикации: 2004-05-20.

Computer-readable recording medium, design supporting method, and design supporting apparatus

Номер патента: US20240202422A1. Автор: Keisuke Nishida. Владелец: Fujitsu Ltd. Дата публикации: 2024-06-20.

Implementing integrated circuit designs using depopulation and repopulation operations

Номер патента: US09811621B2. Автор: Kimberly Anne Bozman,David Ian Milton,Nishanth Sinnadurai. Владелец: Altera Corp. Дата публикации: 2017-11-07.

Partitioning method and system for 3D IC

Номер патента: US09514261B2. Автор: Yi-Ting Lin,Hui Yu Lee,Ya Yun Liu,Heng Kai LIU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-12-06.

Method and apparatus for determining electro-migration in integrated circuit designs

Номер патента: US7752582B2. Автор: Palkesh Jain,Ajoy Mandal. Владелец: Texas Instruments Inc. Дата публикации: 2010-07-06.

Methods and Apparatuses for Automated Circuit Design

Номер патента: US20130042215A1. Автор: Kenneth S. McElvain,Bing Tian. Владелец: Synopsys Inc. Дата публикации: 2013-02-14.

Method and apparatus for circuit design and retiming

Номер патента: EP1623350A2. Автор: Levent Oktem. Владелец: Synplicity LLC. Дата публикации: 2006-02-08.

Method and apparatus for circuit design and retiming

Номер патента: WO2004102429A3. Автор: Levent Oktem. Владелец: Synplicity Inc. Дата публикации: 2005-11-17.

System and method for text based placement engine for custom circuit design

Номер патента: US7895561B2. Автор: Gaurav Mittal,Sanjay Dubey. Владелец: International Business Machines Corp. Дата публикации: 2011-02-22.

Cell placement in circuit design

Номер патента: US20070234259A1. Автор: Ruchir Puri,Anthony Drumm,Louise Trevillyan,Pooja Kotecha. Владелец: International Business Machines Corp. Дата публикации: 2007-10-04.

System and method for text based placement engine for custom circuit design

Номер патента: US20080098343A1. Автор: Gaurav Mittal,Sanjay Dubey. Владелец: Individual. Дата публикации: 2008-04-24.

Fanout optimization to facilitate timing improvement in circuit designs

Номер патента: US09965581B1. Автор: Zhiyong Wang,Aaron Ng,Ruibing Lu,Sabyasachi Das,Niyati Shah. Владелец: Xilinx Inc. Дата публикации: 2018-05-08.

Post-placement and pre-routing processing of critical paths in a circuit design

Номер патента: US09773083B1. Автор: Zhiyong Wang,Sabyasachi Das. Владелец: Xilinx Inc. Дата публикации: 2017-09-26.

Analogue circuit design

Номер патента: GB2620947A. Автор: HULSE MICHAEL. Владелец: Agile Analog Ltd. Дата публикации: 2024-01-31.

Timing closure of circuit designs for integrated circuits

Номер патента: US10366201B1. Автор: Sridhar Krishnamurthy,Aaron Ng,Grigor S. Gasparyan. Владелец: Xilinx Inc. Дата публикации: 2019-07-30.

Partitioning circuit designs for implementation within multi-die integrated circuits

Номер патента: US10108773B1. Автор: Xiao Dong,Xiaojian Yang,Grigor S. Gasparyan. Владелец: Xilinx Inc. Дата публикации: 2018-10-23.

System and method for modeling electronic circuit designs

Номер патента: US09864827B1. Автор: Jian Liu,Jian Chen,An-Yu Kuo,Tiejun Yu,Jilin Tan. Владелец: Cadence Design Systems Inc. Дата публикации: 2018-01-09.

Delivering circuit designs for programmable integrated circuits

Номер патента: US09710582B1. Автор: Siddharth Rele,Sumit Nagpal,Avdhesh Palliwal. Владелец: Xilinx Inc. Дата публикации: 2017-07-18.

Method and apparatus for synthesizing pipelined input/output in a circuit design from high level synthesis

Номер патента: US20120084067A1. Автор: Mustafa Ispir. Владелец: Individual. Дата публикации: 2012-04-05.

Method and apparatus for using entropy in ant colony optimization circuit design from high level systhesis

Номер патента: EP2622549A1. Автор: Levent Oktem,Mustafa Ispir. Владелец: Synopsys Inc. Дата публикации: 2013-08-07.

Machine learning-based down selection of candidate hotspot locations of circuit designs

Номер патента: US20240232495A1. Автор: Le Hong,Yuansheng Ma. Владелец: Siemens Industry Software Inc. Дата публикации: 2024-07-11.

Analogue circuit design

Номер патента: WO2024023496A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2024-02-01.

Method and end cell library for avoiding substrate noise in an integrated circuit

Номер патента: US20070157145A1. Автор: XIANG Song,Chih-Ju Hung,Kai Lai,Hsiao-Hui Wu,Fredrick Jen. Владелец: Individual. Дата публикации: 2007-07-05.

Design method and system for generating behavioral description model

Номер патента: US20070143730A1. Автор: Shingo Kakui. Владелец: Toshiba Corp. Дата публикации: 2007-06-21.

Symmetry verifications for differential signal vias of an electronic circuit design

Номер патента: US09971864B2. Автор: Karl J. Bois,Elene Chobanyan. Владелец: HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP. Дата публикации: 2018-05-15.

Folding duplicate instances of modules in a circuit design

Номер патента: US09875330B2. Автор: Henri Fraisse,Ashish Sirasao,Alireza S. Kaviani,Ilya K. Ganusov. Владелец: Xilinx Inc. Дата публикации: 2018-01-23.

Method and apparatus for emulation and prototyping with variable cycle speed

Номер патента: US10380310B2. Автор: Alexander Rabinovitch,Cedric ALQUIER. Владелец: Synopsys Inc. Дата публикации: 2019-08-13.

Method and apparatus for emulation and prototyping with variable cycle speed

Номер патента: US20160103947A1. Автор: Alexander Rabinovitch,Cedric ALQUIER. Владелец: Synopsys Inc. Дата публикации: 2016-04-14.

Method and apparatus for emulation and prototyping with variable cycle speed

Номер патента: US20150121138A1. Автор: Alexander Rabinovitch,Cedric ALQUIER. Владелец: Synopsys Inc. Дата публикации: 2015-04-30.

Automated circuit design

Номер патента: US8640061B2. Автор: Kenneth S. McElvain,Bing Tian. Владелец: Synopsys Inc. Дата публикации: 2014-01-28.

Methods and apparatus for reducing reliability degradation on an integrated circuit

Номер патента: US20190095571A1. Автор: Ning Cheng,Xiangyong Wang,Mahesh A. Iyer. Владелец: Intel Corp. Дата публикации: 2019-03-28.

Electronic circuit designs adaptable for applications having different binary data formats

Номер патента: US6477699B1. Автор: Goran Bilski. Владелец: Xilinx Inc. Дата публикации: 2002-11-05.

Memory utilization in a circuit design

Номер патента: US09792395B1. Автор: Jayaram Pvss,Khang K. Dao,Robert Bellarmin Susai. Владелец: Xilinx Inc. Дата публикации: 2017-10-17.

Method and apparatus for assigning nets to metal layers during circuit routing

Номер патента: US6738959B2. Автор: Dae Suk Jung,Yet-Ping Pai,Seong Rai Cho. Владелец: Sun Microsystems Inc. Дата публикации: 2004-05-18.

Method and apparatus for assigning nets to metal layers during circuit routing

Номер патента: US20040025134A1. Автор: Seong Cho,Dae Jung,Yet-Ping Pai. Владелец: Sun Microsystems Inc. Дата публикации: 2004-02-05.

Reduction of metal fill insertion time in integrated circuit design process

Номер патента: US20140149953A1. Автор: Fulvio Pugliese,Goran Davidovic,Rupert Kleeberger,Juergen Inderst. Владелец: LSI Corp. Дата публикации: 2014-05-29.

3d circuit design method

Номер патента: US20160140276A1. Автор: Fabien Clermidy,Olivier Billoint,Sebastien Thuries,Hossam Sarhan. Владелец: Commissariat a lEnergie Atomique et aux Energies Alternatives CEA. Дата публикации: 2016-05-19.

3D circuit design method

Номер патента: US09922151B2. Автор: Fabien Clermidy,Olivier Billoint,Sebastien Thuries,Hossam Sarhan. Владелец: Commissariat a lEnergie Atomique et aux Energies Alternatives CEA. Дата публикации: 2018-03-20.

Circuit design employing stamp patterns

Номер патента: US09773082B1. Автор: Gerard Tarroux,Fabien Campana,Fabrice Raymond Morlat. Владелец: Cadence Design Systems Inc. Дата публикации: 2017-09-26.

Semiconductor circuit design and unit pin placement

Номер патента: GB2611691A. Автор: Daellenbach Lukas,Richter Ralf. Владелец: International Business Machines Corp. Дата публикации: 2023-04-12.

Semiconductor circuit design and unit pin placement

Номер патента: US20220004691A1. Автор: Ralf Richter,Lukas Daellenbach. Владелец: International Business Machines Corp. Дата публикации: 2022-01-06.

Semiconductor circuit design and unit pin placement

Номер патента: WO2022003438A1. Автор: Ralf Richter,Lukas Daellenbach. Владелец: Ibm (China) Investment Company Ltd.. Дата публикации: 2022-01-06.

Integrated circuit design using fuzzy machine learning

Номер патента: US11748552B2. Автор: Chao TONG,Qingwen Deng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-05.

Circuit design data obfuscation

Номер патента: WO2024158426A1. Автор: Manish Sharma,Wu-Tung Cheng,Douglas GEHRINGER. Владелец: Siemens Industry Software Inc.. Дата публикации: 2024-08-02.

Routing nets over circuit blocks in a hierarchical circuit design

Номер патента: US20100325600A1. Автор: YI WU,Dajen Huang,Kalon S. Holdbrook. Владелец: Sun Microsystems Inc. Дата публикации: 2010-12-23.

Electrostatics-based global placement of circuit designs having overlapping region constraints

Номер патента: US20240265182A1. Автор: Mehrdad Eslami Dehkordi,Wuxi Li. Владелец: Xilinx Inc. Дата публикации: 2024-08-08.

Integrated circuit design using fuzzy machine learning

Номер патента: US12050853B2. Автор: Chao TONG,Qingwen Deng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-30.

Creating a standard cell circuit design from a programmable logic device circuit design

Номер патента: US8667437B2. Автор: Salil Ravindra Raje,Dinesh D. Gaitonde. Владелец: Xilinx Inc. Дата публикации: 2014-03-04.

Statistical graph circuit component probability model for an integrated circuit design

Номер патента: US20240037313A1. Автор: XIANG Gao,Manish Sharma,Hursh NAIK,Bryan Charles WALSH. Владелец: Synopsys Inc. Дата публикации: 2024-02-01.

Machine learning-based prediction of metrics at early-stage circuit design

Номер патента: US11836641B2. Автор: Wei-Ting Chan,Siddhartha Nath,Vishal Khandelwal,Ravi Mamidi. Владелец: Synopsys Inc. Дата публикации: 2023-12-05.

Circuit designing method and circuit designing system

Номер патента: US20120284681A1. Автор: Yasuo Amano,Sumiko Makino. Владелец: Fujitsu Ltd. Дата публикации: 2012-11-08.

Generation method and device of circuit design, computer equipment and storage medium

Номер патента: CA3180242A1. Автор: Chao Tang,Kai GU,Jiale Chen,Jianchang Cao,Enzhao Fu. Владелец: 10353744 Canada Ltd. Дата публикации: 2023-04-28.

Method of leakage optimization in integrated circuit design

Номер патента: US7448009B2. Автор: Shrikrishna Pundoor. Владелец: Texas Instruments Inc. Дата публикации: 2008-11-04.

Creating a standard cell circuit design from a programmable logic device circuit design

Номер патента: WO2009117203A3. Автор: Salil Ravindra Raje,Dinesh D. Gaitonde. Владелец: XILINX, INC.. Дата публикации: 2009-12-10.

Adaptable framework for circuit design simulation verification

Номер патента: US20240135074A1. Автор: Rajvinder S. Klair,Saikat Bandyopadhyay. Владелец: Xilinx Inc. Дата публикации: 2024-04-25.

Yield process for analog circuit design optimization

Номер патента: US20160232266A1. Автор: Christopher M. Yates,Sowmyan Rajagopalan. Владелец: Thalia Design Automation Ltd. Дата публикации: 2016-08-11.

Circuit design method and related device

Номер патента: EP4325391A1. Автор: Ding Li,Zhichao LI,Xianglong Meng,Yisheng HU,Chongjun Ding,Shangxia Fang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-02-21.

Method and computer program for incremental placement and routing with nested shells

Номер патента: US20070079273A1. Автор: Balamurugan Balasubramanian,Randall Fry,Juergen Lahner. Владелец: LSI Logic Corp. Дата публикации: 2007-04-05.

Physical verification workflow for semiconductor circuit designs

Номер патента: EP4217819A1. Автор: Nikolay GRUDANOV,Valery BOBOVSKY,Igor LOPANENKO,Yuri LEVSKY,Alexander GRUDANOV. Владелец: Silvaco Inc. Дата публикации: 2023-08-02.

Circuit design method to control access pointers of different memory

Номер патента: US6971075B2. Автор: Che-Sheng Lin. Владелец: Destiny Technology Corp. Дата публикации: 2005-11-29.

Integrated-circuit design methods

Номер патента: US20240211674A1. Автор: Ciro Corcelli,Johnny PIHL. Владелец: NORDIC SEMICONDUCTOR ASA. Дата публикации: 2024-06-27.

Circuit design method to control access pointers of different memory

Номер патента: US20050018491A1. Автор: Che-Sheng Lin. Владелец: Destiny Technology Corp. Дата публикации: 2005-01-27.

Identification Of High Impedance Nodes In A Circuit Design

Номер патента: US20160210394A1. Автор: Pole Shang Lin,Kuei Shan Wen. Владелец: Mentor Graphics Corp. Дата публикации: 2016-07-21.

Computer implemented system and method for reducing failure in time soft errors of a circuit design

Номер патента: US09922152B2. Автор: Vikas Chandra,Liangzhen Lai. Владелец: ARM LTD. Дата публикации: 2018-03-20.

Circuit design generator

Номер патента: US09916408B2. Автор: Seyed Mohammadali Eslami,Khodor Fawaz. Владелец: Individual. Дата публикации: 2018-03-13.

Look-up table restructuring for timing closure in circuit designs

Номер патента: US09767247B1. Автор: Ruibing Lu,Sabyasachi Das. Владелец: Xilinx Inc. Дата публикации: 2017-09-19.

Identification of high impedance nodes in a circuit design

Номер патента: US09703917B2. Автор: Pole Shang Lin,Kuei Shan Wen. Владелец: Mentor Graphics Corp. Дата публикации: 2017-07-11.

Method and apparatus for sizing buffers to provide minimal skew

Номер патента: US5798935A. Автор: Aleksandar Pance,Manjunath Doreswamy,Yuan-Jung Lin. Владелец: Sun Microsystems Inc. Дата публикации: 1998-08-25.

Sub-circuit pattern recognition in integrated circuit design

Номер патента: US20100131908A1. Автор: Sandeep Shylaja Krishnan. Владелец: Texas Instruments Inc. Дата публикации: 2010-05-27.

Methods and Apparatus for Transforming the Function of an Integrated Circuit

Номер патента: US20190243930A1. Автор: Yu-Liang Wu. Владелец: Yu-Liang Wu. Дата публикации: 2019-08-08.

System for optimizing buffers in integrated circuit design timing fixes

Номер патента: US20040261046A1. Автор: Umesh Nair. Владелец: Sun Microsystems Inc. Дата публикации: 2004-12-23.

Algorithmic circuit design automation

Номер патента: US20240095435A1. Автор: Xin Zhang,Jing Li,Shun Zhang,Chuang GAN,Xiaoxiao Guo,Shaoze Fan,Ningyuan Cao. Владелец: International Business Machines Corp. Дата публикации: 2024-03-21.

Computer product, circuit design method and apparatus

Номер патента: US20130132921A1. Автор: Takahiko Orita. Владелец: Fujitsu Ltd. Дата публикации: 2013-05-23.

Method and apparatus for schematic verification of electronic circuits

Номер патента: US20200192995A1. Автор: Yizhak Bot,Alex Gonorovsky,Isaac Rosenstein. Владелец: BQR Reliability Engineering Ltd. Дата публикации: 2020-06-18.

Runtime efficient multi-stage router flow for circuit designs

Номер патента: US20240202423A1. Автор: Dinesh D. Gaitonde,Chirag Ravishankar,Stefan Nikolic. Владелец: Xilinx Inc. Дата публикации: 2024-06-20.

Apparatus and method with circuit designing

Номер патента: US20240232498A1. Автор: Hyung-Dal Kwon,Youngmin OH,Bosun HWANG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-11.

An interconnect-aware methodology for integrated circuit design

Номер патента: WO2003075189A2. Автор: Amir Alon,David Goren,Michael Zelikson,Rachel Gordin,Betty Livshitz,Anatoly Sherman. Владелец: Ibm (Schweiz). Дата публикации: 2003-09-12.

Routing interconnect of integrated circuit designs

Номер патента: US8365128B2. Автор: Jing Chen,Limin He,So-Zen Yao,Wenyong Deng,Liang-Jih Chao. Владелец: Cadence Design Systems Inc. Дата публикации: 2013-01-29.

Method and apparatus for physical budgeting during rtl floorplanning

Номер патента: WO2002037343A8. Автор: Arnold Ginetti. Владелец: Cadence Design Systems Inc. Дата публикации: 2002-07-11.

Optimizing a circuit design for delay using load-and-slew-independent numerical delay models

Номер патента: US20140040851A1. Автор: Mahesh A. Iyer,Amir H. Mottaez. Владелец: Synopsys Inc. Дата публикации: 2014-02-06.

Circuit design device, circuit design method, and storage medium

Номер патента: US20210073457A1. Автор: Jiro Hayakawa,Naohito Kojima. Владелец: Toshiba Electronic Devices and Storage Corp. Дата публикации: 2021-03-11.

Application-or algorithm-specific quantum circuit design

Номер патента: EP3853782A1. Автор: Markus BRINK,Dongbing Shao,Martin Sandberg. Владелец: International Business Machines Corp. Дата публикации: 2021-07-28.

Application-or algorithm-specific quantum circuit design

Номер патента: WO2020057927A1. Автор: Markus BRINK,Dongbing Shao,Martin Sandberg. Владелец: Ibm (China) Investment Company Limited. Дата публикации: 2020-03-26.

Integrated circuit design

Номер патента: EP1150222A3. Автор: Robert J. Gluss,Nicholas A. Fiduccia. Владелец: Hewlett Packard Co. Дата публикации: 2003-04-02.

Method and apparatus for detecting devices that can latchup

Номер патента: US6848089B2. Автор: Steven E. Washburn,Peter A. Habitz,Micah S. Galland. Владелец: International Business Machines Corp. Дата публикации: 2005-01-25.

Prediction and optimization of multi-kernel circuit design performance using a programmable overlay

Номер патента: US20230065842A1. Автор: Lucian Petrica,Mario Daniel Ruiz Noguera. Владелец: Xilinx Inc. Дата публикации: 2023-03-02.

Circuit design support apparatus, circuit design support method, and computer product

Номер патента: US20140289691A1. Автор: Akiko KASAI. Владелец: Fujitsu Ltd. Дата публикации: 2014-09-25.

Determining the location of safety mechanism within a circuit design

Номер патента: US20230325570A1. Автор: Shivakumar Shankar Chonnad. Владелец: Synopsys Inc. Дата публикации: 2023-10-12.

Visualization of data buses in circuit designs

Номер патента: US11586791B1. Автор: Aman Gayasen,Anup Hosangadi,Srinivasan Dasasathyan,Padmini Gopalakrishnan. Владелец: Xilinx Inc. Дата публикации: 2023-02-21.

Methods and apparatuses for automated circuit design

Номер патента: WO2006041802A3. Автор: Bing Tian,Kenneth S Mcelvain. Владелец: Synplicity Inc. Дата публикации: 2006-07-27.

Methods and Apparatuses for Automated Circuit Design

Номер патента: US20100138804A1. Автор: Kenneth S. McElvain,Bing Tian. Владелец: Individual. Дата публикации: 2010-06-03.

Methods and apparatuses for automated circuit design

Номер патента: WO2006041802A2. Автор: Kenneth S. McElvain,Bing Tian. Владелец: Synplicity, Inc.. Дата публикации: 2006-04-20.

Methods and apparatuses for automated circuit design

Номер патента: US8291356B2. Автор: Kenneth S. McElvain,Bing Tian. Владелец: Synopsys Inc. Дата публикации: 2012-10-16.

Data-driven pattern matching in synthesis of circuit designs

Номер патента: US8938700B1. Автор: Elliott Delaye,Ashish Sirasao,Alireza S. Kaviani,Yinyi Wang. Владелец: Xilinx Inc. Дата публикации: 2015-01-20.

Method and system thereof for optimization of power consumption of scan chains of an integrated circuit for test

Номер патента: US20130212545A1. Автор: David Allen. Владелец: Atrenta Inc. Дата публикации: 2013-08-15.

Method and system for generating design constraints

Номер патента: US7962886B1. Автор: Andy Lin,Manish Pandey,Marcelo Glusman,Angela Krstic,Yee-Wing Hsieh. Владелец: Cadence Design Systems Inc. Дата публикации: 2011-06-14.

Integrated circuits design

Номер патента: US20110119646A1. Автор: David Murray,Sean Boylan. Владелец: Duolog Research Ltd. Дата публикации: 2011-05-19.

Model-based simulation result predictor for circuit design

Номер патента: US11842130B1. Автор: Roland Ruehl,Saleha Khatun,David VARGHESE. Владелец: Cadence Design Systems Inc. Дата публикации: 2023-12-12.

Folding duplicate instances of modules in a circuit design

Номер патента: US20170161419A1. Автор: Henri Fraisse,Ashish Sirasao,Alireza S. Kaviani,Ilya K. Ganusov. Владелец: Xilinx Inc. Дата публикации: 2017-06-08.

Folding duplicate instances of modules in a circuit design

Номер патента: WO2017095627A1. Автор: Henri Fraisse,Ashish Sirasao,Alireza S. Kaviani,Ilya K. Ganusov. Владелец: XILINX, INC.. Дата публикации: 2017-06-08.

Integrated circuit design tool apparatus and method of designing an integrated circuit

Номер патента: WO2011101698A1. Автор: Pascal CAUNEGRE. Владелец: Freescale Semiconductor, Inc.. Дата публикации: 2011-08-25.

Circuit design method and related device

Номер патента: US20240135075A1. Автор: Ding Li,Zhichao LI,Xianglong Meng,Yisheng HU,Chongjun Ding,Shangxia Fang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-04-25.

Integrated circuit design using metadata

Номер патента: WO2023163814A1. Автор: Adam Moshe IZRAELEVITZ,Albert Pengju CHEN. Владелец: SiFive, Inc.. Дата публикации: 2023-08-31.

Machine learning-based down selection of candidate hotspot locations of circuit designs

Номер патента: EP4179452A1. Автор: Le Hong,Yuansheng Ma. Владелец: Siemens Industry Software Inc. Дата публикации: 2023-05-17.

Database-aided circuit design system and method therefor

Номер патента: US7559045B2. Автор: Po-Cheng Chiu,Ying-Chun Chen,Che-Ming Chen,Chin-Tien Tseng,Cheng-Hsun Ho. Владелец: Inventec Corp. Дата публикации: 2009-07-07.

Process and device for circuit design by means of high-level synthesis

Номер патента: US7181720B2. Автор: Ansgar Stammermann. Владелец: ChipVision Design Systems AG. Дата публикации: 2007-02-20.

Method and System for Routing of Integrated Circuit Design

Номер патента: US20080301618A1. Автор: Lukas Daellenbach. Владелец: International Business Machines Corp. Дата публикации: 2008-12-04.

File managing method and system thereof for integrated circuit design

Номер патента: US20180246900A1. Автор: Jye-Yuan Lee,Hsien-Ming LIU,Yen-Hsiu HUANG. Владелец: Global Unichip Corp. Дата публикации: 2018-08-30.

DC path checking in a hierarchical circuit design

Номер патента: US7412681B1. Автор: Xiaodong Zhang,Bruce W. McGaughy,Jun Kong. Владелец: Cadence Design Systems Inc. Дата публикации: 2008-08-12.

Method of compacting layouts of semiconductor integrated circuit designed in a hierarchy

Номер патента: US5663892A. Автор: Sachio Hayashi,Tyusei Ogawa. Владелец: Toshiba Corp. Дата публикации: 1997-09-02.

Cross functional block partitioning and placement of a circuit design onto reconfigurable logic devices

Номер патента: WO2003007196A3. Автор: Eric G F Hochapfel. Владелец: Mentor Graphics Corp. Дата публикации: 2003-12-24.

Filling vacant areas of an integrated circuit design

Номер патента: US09767242B1. Автор: Graham Balsdon. Владелец: Pulsic Ltd. Дата публикации: 2017-09-19.

Layout overlap detection with selective flattening in computer implemented integrated circuit design

Номер патента: US6011911A. Автор: Hongbo Tang,Wai-Yan Ho. Владелец: Synopsys Inc. Дата публикации: 2000-01-04.

Integrated circuit design using fuzzy machine learning

Номер патента: US20230359806A1. Автор: Chao TONG,Qingwen Deng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-09.

Timing in a circuit design having finite state machines

Номер патента: US8990748B1. Автор: Reed P. Tidwell. Владелец: Xilinx Inc. Дата публикации: 2015-03-24.

Integrated circuit design using fuzzy machine learning

Номер патента: US20220350951A1. Автор: Chao TONG,Qingwen Deng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-03.

Row formation during datapath placement in circuit design

Номер патента: US9177091B2. Автор: GUO Yu,Wonjoon Choi,Akshay Sharma,Huy Tran Ba Vo. Владелец: Oracle International Corp. Дата публикации: 2015-11-03.

Circuit design apparatus and method

Номер патента: US20010021991A1. Автор: Hiroki Narita. Владелец: NEC Corp. Дата публикации: 2001-09-13.

Method and apparatus for electromigration evaluation

Номер патента: US20220215148A1. Автор: Wei-Ming Chen,Hsien YU TSENG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-07-07.

Computer Implemented System and Method for Reducing Failure in Time Soft Errors of a Circuit Design

Номер патента: US20170277817A1. Автор: Vikas Chandra,Liangzhen Lai. Владелец: ARM LTD. Дата публикации: 2017-09-28.

Physical verification workflow for semiconductor circuit designs

Номер патента: US20230334216A1. Автор: Nikolay GRUDANOV,Valery BOBOVSKY,Igor LOPANENKO,Yuri LEVSKY,Alexander GRUDANOV. Владелец: Silvaco Inc. Дата публикации: 2023-10-19.

Method and System for Repartitioning a Hierarchical Circuit Design

Номер патента: US20110035711A1. Автор: Wilhelm Haller,Friedhelm Kessler,Elmar Gaugler. Владелец: International Business Machines Corp. Дата публикации: 2011-02-10.

Integrated circuit design simulation matrix interpolation

Номер патента: US20130085726A1. Автор: Peter A. Habitz,Amol A. Joshi. Владелец: International Business Machines Corp. Дата публикации: 2013-04-04.

Circuit design watermarking

Номер патента: US11977614B2. Автор: Carl Radens,Hsueh-Chung Chen,Lawrence A. Clevenger,Daniel James Dechene. Владелец: International Business Machines Corp. Дата публикации: 2024-05-07.

Circuit design watermarking

Номер патента: US20230090521A1. Автор: Carl Radens,Hsueh-Chung Chen,Lawrence A. Clevenger,Daniel James Dechene. Владелец: International Business Machines Corp. Дата публикации: 2023-03-23.

Methods and apparatus for synthesizing multi-port memory circuits

Номер патента: US09390212B2. Автор: Sundar Iyer,Sanjeev Joshi,Thu Nguyen,Adam Kablanian,Shang-Tse Chuang. Владелец: Cisco Technology Inc. Дата публикации: 2016-07-12.

Methods and apparatus for embedding an error correction code in memory cells

Номер патента: US09450609B1. Автор: Michael David Hutton,Herman Henry Schmit. Владелец: Altera Corp. Дата публикации: 2016-09-20.

Marketing Strategy Processing Method of EDA Tools in the Integrated Circuit Design Industry and System Thereof

Номер патента: NL2032048A. Автор: Chang Chao. Владелец: Chang Chao. Дата публикации: 2023-11-07.

Marketing Strategy Processing Method of EDA Tools in the Integrated Circuit Design Industry and System Thereof

Номер патента: NL2032048B1. Автор: Chang Chao. Владелец: Chang Chao. Дата публикации: 2023-12-08.

Method and program product for protecting information in eda tool design views

Номер патента: EP1958107A2. Автор: Michael W. Deur,John W. Woolsey. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2008-08-20.

Method and system for triple patterning technology (TPT) violation detection and visualization

Номер патента: US09740814B1. Автор: Sanjib Ghosh. Владелец: Cadence Design Systems Inc. Дата публикации: 2017-08-22.

Method and apparatus for an asynchronous pulse logic circuit

Номер патента: US20050007151A1. Автор: ALAIN Martin,Mika NYSTRÖM. Владелец: Individual. Дата публикации: 2005-01-13.

Method and apparatus for an asynchronous pulse logic circuit

Номер патента: US20030233622A1. Автор: ALAIN Martin,Mika NYSTRÖM. Владелец: Individual. Дата публикации: 2003-12-18.

Methods and apparatus for embedding an error correction code in storage circuits

Номер патента: US20160378599A1. Автор: Michael David Hutton,Herman Henry Schmit. Владелец: Altera Corp. Дата публикации: 2016-12-29.

Methods and apparatus for embedding an error correction code in memory cells

Номер патента: US20160294413A1. Автор: Michael David Hutton,Herman Henry Schmit. Владелец: Altera Corp. Дата публикации: 2016-10-06.

Integrated circuit design utilizing array of functionally interchangeable dynamic logic cells

Номер патента: US20060259887A1. Автор: Christophe Tretz. Владелец: International Business Machines Corp. Дата публикации: 2006-11-16.

Systems and methods for integrated circuit design

Номер патента: US09436790B1. Автор: Jun Wang,Jun CHAO. Владелец: Marvell International Ltd. Дата публикации: 2016-09-06.

Circuit design method and associated circuit

Номер патента: US11914706B2. Автор: Tzung-Juei WU. Владелец: Realtek Semiconductor Corp. Дата публикации: 2024-02-27.

Method for ai-based circuit design and implementation system thereof

Номер патента: US20230316049A1. Автор: ZHEN Li. Владелец: Batelab Co Ltd. Дата публикации: 2023-10-05.

Method and a prototype electronic circuit for designing an electronic circuit

Номер патента: US20240184969A1. Автор: Mackenzie Brian COOK. Владелец: Skyworks Solutions Inc. Дата публикации: 2024-06-06.

Template selection method and apparatus, and electronic device and storage medium

Номер патента: AU2023206684A1. Автор: Feng Xu,Yifan Wu. Владелец: Beijing ByteDance Network Technology Co Ltd. Дата публикации: 2024-07-25.

Idiom blank-filling question answer selection method and apparatus, and computer device

Номер патента: EP4209956A1. Автор: XIANG Liu,Xiuling Chen. Владелец: Ping An Technology Shenzhen Co Ltd. Дата публикации: 2023-07-12.

Template selection method and apparatus, and electronic device and storage medium

Номер патента: EP4451143A1. Автор: Feng Xu,Yifan Wu. Владелец: Beijing ByteDance Network Technology Co Ltd. Дата публикации: 2024-10-23.

Automated color selection method and apparatus

Номер патента: US09530163B2. Автор: Damien Reynolds,Doug Milsom,Donna Une,Marc Webb,John Buzyn,Bernadette Irizarry. Владелец: Behr Process Corp. Дата публикации: 2016-12-27.

Fir filter circuit design method using approximate computing

Номер патента: US20180226953A1. Автор: Seokhyeong Kang,Yesung KANG. Владелец: UNIST Academy Industry Research Corp. Дата публикации: 2018-08-09.

Text content selection method and apparatus

Номер патента: EP4400952A1. Автор: Peng KONG. Владелец: Vivo Mobile Communication Co Ltd. Дата публикации: 2024-07-17.

Audio channel selection method and apparatus, storage medium and vehicle

Номер патента: EP4414827A1. Автор: Jiaqi Huang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-08-14.

Multimedia presentation method and apparatus

Номер патента: US09953221B2. Автор: Fei Long,Zhijun CHEN,Wendi Hou. Владелец: Xiaomi Inc. Дата публикации: 2018-04-24.

Microfluidic design automation method and system

Номер патента: US6829753B2. Автор: Michael Lee,Gajus Worthington,Gregory Harris,James Montgomery. Владелец: Fluidigm Corp. Дата публикации: 2004-12-07.

Predicting local layout effects in circuit design patterns

Номер патента: US20200380088A1. Автор: ZHENG Xu,Dongbing Shao,Jing Sha,Yufei Wu. Владелец: International Business Machines Corp. Дата публикации: 2020-12-03.

Method for AI-based circuit design and implementation system thereof

Номер патента: US11836602B2. Автор: ZHEN Li. Владелец: Batelab Co Ltd. Дата публикации: 2023-12-05.

Integrated circuit design

Номер патента: US20170076033A1. Автор: Robert John Harrison,Ramnath Bommu Sabbiah SWAMY. Владелец: ARM LTD. Дата публикации: 2017-03-16.

Virtual object selection method and apparatus, device, and storage medium

Номер патента: US12064693B2. Автор: Yu Lin WAN,Shan Dong Su. Владелец: Tencent Technology Shenzhen Co Ltd. Дата публикации: 2024-08-20.

Integrated circuit design

Номер патента: US09984194B2. Автор: Robert John Harrison,Ramnath Bommu Sabbiah SWAMY. Владелец: ARM LTD. Дата публикации: 2018-05-29.

Circuit design layout in multiple synchronous representations

Номер патента: US20160171143A1. Автор: Edwin Smith,Henry Potts,Gerald Suiter. Владелец: Mentor Graphics Corp. Дата публикации: 2016-06-16.

Phase shifting circuit manufacture method and apparatus

Номер патента: US5858580A. Автор: Yao-Ting Wang,Yagyensh C. Pati. Владелец: Numerical Technologies Inc. Дата публикации: 1999-01-12.

Information processing apparatus, information processing method, and non-transitory computer readable medium

Номер патента: US09582107B2. Автор: Takashi Hirai. Владелец: Fuji Xerox Co Ltd. Дата публикации: 2017-02-28.

Method and System for Overlay Control

Номер патента: US20180329313A1. Автор: Chih-Ming Ke,Kai-Hsiung Chen,Yang-Hung Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-11-15.

side channel leakage source identification in an electronic circuit design

Номер патента: NL2025907B1. Автор: Yao Yuan,Robert Schaumont Patrick,Kathuria Tarun,Ege Baris. Владелец: Riscure Beheer B V. Дата публикации: 2022-02-22.

Version tracking and control for integrated circuit design

Номер патента: US11720728B1. Автор: Joseph Cascioli. Владелец: Architecture Technology Corp. Дата публикации: 2023-08-08.

Image processing system, portable terminal, image processing apparatus identifying method, and recording medium

Номер патента: US20160004485A1. Автор: Naoko Sasase. Владелец: KONICA MINOLTA INC. Дата публикации: 2016-01-07.

Method and system for interlingua-based machine translation

Номер патента: WO2010002286A3. Автор: Mihu Mircea Toma. Владелец: Mihu Mircea Toma. Дата публикации: 2010-07-01.

Method and system for interlingua-based machine translation

Номер патента: WO2010002286A4. Автор: Mihu Mircea Toma. Владелец: Mihu Mircea Toma. Дата публикации: 2010-07-29.

Method and apparatus for issuing a notification

Номер патента: RU2769974C2. Автор: Дзонг-хиун РИУ,Хан-дзоо ЧАЕ. Владелец: САМСУНГ ЭЛЕКТРОНИКС КО., ЛТД.. Дата публикации: 2022-04-12.

Method and apparatus for verifying a well model

Номер патента: RU2683608C2. Автор: Йенс БАРФОЕД,НИЛЬСЕН Малене УЛЛЕРИКС. Владелец: Веллтек А/С. Дата публикации: 2019-03-29.

Methods and apparatus for secure data processing and transmission

Номер патента: WO2006052017A2. Автор: Masakazu Suzuoki,Akiyuki Hatakeyama. Владелец: SONY COMPUTER ENTERTAINMENT INC.. Дата публикации: 2006-05-18.

Defect inspection method and its system

Номер патента: US20090206252A1. Автор: Shinji Okazaki,Yoshinori Nakayama,Yasunari Sohda,Shoji Hotta. Владелец: Hitachi High Technologies Corp. Дата публикации: 2009-08-20.

Quantum circuit design device, quantum circuit design program, and quantum circuit design method

Номер патента: EP4390777A1. Автор: Masatoshi Ishii. Владелец: Fujitsu Ltd. Дата публикации: 2024-06-26.

Method and apparatus for measuring geometric parameter of object, and terminal

Номер патента: US12117284B2. Автор: Jian Deng. Владелец: GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP LTD. Дата публикации: 2024-10-15.

Distribution channel selection method and apparatus

Номер патента: WO2024128968A1. Автор: Yong Liu,Hendra Teja WIRAWAN,Albert VINSENSIUS,Kaican KANG. Владелец: GRABTAXI HOLDINGS PTE. LTD.. Дата публикации: 2024-06-20.

Method for trading and trial running integrated circuit design code

Номер патента: US20120303473A1. Автор: Chia-Fen Huang,Yu-Ju Yeh,Chiao-Leng Wang. Владелец: Individual. Дата публикации: 2012-11-29.

Method and device for selecting addresses of warehouse

Номер патента: CA3184567A1. Автор: Kun Liu,Xiaoyu Li,Dacheng Liu. Владелец: 10353744 Canada Ltd. Дата публикации: 2023-06-24.

Method and apparatus for calibrating camera and inertial measurement unit, and computer device

Номер патента: US20240282006A1. Автор: JI Jiao,Haichuan Wang. Владелец: Tencent Technology Shenzhen Co Ltd. Дата публикации: 2024-08-22.

System, method and computer readable medium for encoding curves

Номер патента: US20080008390A1. Автор: Naoto Morikawa. Владелец: Individual. Дата публикации: 2008-01-10.

System, method and computer readable medium for encoding curves

Номер патента: US7925094B2. Автор: Naoto Morikawa. Владелец: Individual. Дата публикации: 2011-04-12.

Apparatus, method, and computer program for allowing an authenticator to authenticate a supplicant

Номер патента: GB2628405A. Автор: De Vleeschauwer Danny. Владелец: NOKIA TECHNOLOGIES OY. Дата публикации: 2024-09-25.

Method and apparatus for creating a panorama

Номер патента: RU2664674C2. Автор: Тао ЧЭНЬ,Хуаицзюнь ЛЮ,Минюн ТАН. Владелец: Сяоми Инк.. Дата публикации: 2018-08-21.

Method and apparatus for solving an optimization problem using an analog circuit

Номер патента: US10423808B2. Автор: Francesco Borrelli,Sergey VICHIK. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2019-09-24.

Product positioning method and device, apparatus, and storage medium

Номер патента: EP3779840A1. Автор: YANG Zhao,Min Liang,QI JIANG,Jun Chen,Shiguo HOU. Владелец: Zhejiang Hanshow Tech Co Ltd. Дата публикации: 2021-02-17.

Measurement method and measurement device

Номер патента: US20220375066A1. Автор: Akihiro Noda,Hiroya Kusaka,Taro Imagawa,Yuki Maruyama. Владелец: Panasonic Intellectual Property Management Co Ltd. Дата публикации: 2022-11-24.

Method and apparatus for hardware rotation

Номер патента: US8780129B2. Автор: Frido Garritsen. Владелец: Silicon Motion Inc. Дата публикации: 2014-07-15.

Method and apparatus for hardware rotation

Номер патента: US20100214287A1. Автор: Frido Garritsen. Владелец: Individual. Дата публикации: 2010-08-26.

Method and apparatus for hardware rotation

Номер патента: US7719541B2. Автор: Frido Garritsen. Владелец: Silicon Motion Inc. Дата публикации: 2010-05-18.

Method and apparatus for selecting initial point for industrial robot commissioning

Номер патента: EP3585568A1. Автор: Cheng Li,Hao Gu. Владелец: ABB Schweiz AG. Дата публикации: 2020-01-01.

Method and apparatus for selecting initial point for industrial robot commissioning

Номер патента: US20190351560A1. Автор: Cheng Li,Hao Gu. Владелец: ABB Schweiz AG. Дата публикации: 2019-11-21.

Method and and apparatus for measuring a physical parameter in mammal soft tissues by propagating shear waves

Номер патента: IL217726A0. Автор: . Владелец: Univ Paris Diderot Paris 7. Дата публикации: 2012-03-29.

Method and system for logic design for cell projection particle beam lithography

Номер патента: EP2095279A1. Автор: Kenji Yoshida,Akira Fujimura,Takashi Mitsuhashi. Владелец: D2S Inc. Дата публикации: 2009-09-02.

Method and system for logic design for cell projection particle beam lithography

Номер патента: WO2008094343A1. Автор: Kenji Yoshida,Akira Fujimura,Takashi Mitsuhashi. Владелец: D2S, INC.. Дата публикации: 2008-08-07.

Method and apparatus for predicting system noise

Номер патента: US7454301B1. Автор: Peter Boyle,Iliya G. Zamek,Nafira Daud. Владелец: Altera Corp. Дата публикации: 2008-11-18.

Test method and test device for identifying critical points of a circuit design in a post-silicon stage

Номер патента: US20240345157A1. Автор: Harry Hai Chen,Jeng-Yu Liao. Владелец: MediaTek Inc. Дата публикации: 2024-10-17.

Detection method and apparatus for battery system

Номер патента: EP4283320A1. Автор: Wencheng WANG. Владелец: Huawei Digital Power Technologies Co Ltd. Дата публикации: 2023-11-29.

Method and system for encryption-based design obfuscation for an integrated circuit

Номер патента: WO2007011507A2. Автор: John Fagan. Владелец: ATMEL CORPORATION. Дата публикации: 2007-01-25.

Optical circuit apparatus, method, and application

Номер патента: US09753349B2. Автор: Hui Wu,Shang Wang. Владелец: UNIVERSITY OF ROCHESTER. Дата публикации: 2017-09-05.

Method for insertion of test points into integrated logic circuit designs

Номер патента: US20020116690A1. Автор: David Lackey. Владелец: International Business Machines Corp. Дата публикации: 2002-08-22.

Methods and devices for high-sensitivity memory interface receiver

Номер патента: US09767888B1. Автор: Thomas Evan Wilson,Hari Anand Ravi,Balbeer Singh Rathor. Владелец: Cadence Design Systems Inc. Дата публикации: 2017-09-19.

A method and structure for performing integrated circuit wafer testing and assembly

Номер патента: CA2268572A1. Автор: Ralph Dickson Mason. Владелец: Individual. Дата публикации: 2000-10-12.

Method and system for encryption-based design obfuscation for an integrated circuit

Номер патента: WO2007011507A3. Автор: John Fagan. Владелец: John Fagan. Дата публикации: 2007-11-29.

Method and system for automated path delay test vector generation from functional tests

Номер патента: US7640476B2. Автор: Daniel Watkins,Liang-Chi Chen. Владелец: Sun Microsystems Inc. Дата публикации: 2009-12-29.

Device of the ternary circuit design on current mirrors

Номер патента: RU2648565C1. Автор: Сергей Петрович Маслов. Владелец: Сергей Петрович Маслов. Дата публикации: 2018-03-26.

Method and apparatus for the correction of geometric and depth of field problems during image taking

Номер патента: US20210344840A1. Автор: Giovanni Sacco. Владелец: Individual. Дата публикации: 2021-11-04.

Method and apparatus for reducing positive pressure of actuating cylinder of universal hinged support

Номер патента: EP4389580A1. Автор: Fangyuan HAN. Владелец: Individual. Дата публикации: 2024-06-26.

Method and apparatus for digitising impedance

Номер патента: RU2499226C2. Автор: Дэвид Кеннет ТОМАС. Владелец: РЕНИШОУ ПиэЛСи. Дата публикации: 2013-11-20.

Comparator circuit, mismatch correction method, and memory

Номер патента: EP4280461A1. Автор: Ling Zhu,Kai Tian. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-11-22.

Information Transmission Method and Apparatus

Номер патента: US20200162934A1. Автор: Tao Wu,Teyan CHEN. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2020-05-21.

Method and apparatus for detecting and measuring thickness of ice on aircraft

Номер патента: EP1336564A3. Автор: Raymond Vopat. Владелец: Lockheed Corp. Дата публикации: 2004-04-21.

Method and apparatus for detecting and measuring thickness of ice on aircraft

Номер патента: US20030169186A1. Автор: Raymond Vopat. Владелец: Lockheed Martin Corp. Дата публикации: 2003-09-11.

System, method and apparatus for intelligent device grouping

Номер патента: RU2662362C2. Автор: Хун ЧЭНЬ,Эньсин ХОУ,Вэйгуан ЦЗЯ. Владелец: Сяоми Инк.. Дата публикации: 2018-07-25.

Communication method and apparatus

Номер патента: US20230366972A1. Автор: Jia He,Xianfeng DU,Oupeng LI. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-11-16.

Method and apparatus for entertainment comprising rfid tags

Номер патента: WO2006136168A3. Автор: Jan Oechsle Hansen. Владелец: Jan Oechsle Hansen. Дата публикации: 2007-02-15.

Improvements in building structures and in methods and and apparatus for constructing same

Номер патента: GB644890A. Автор: . Владелец: Individual. Дата публикации: 1950-10-18.

METHOD AND AND APPARATUS FOR TRANSMITTING CONTROL INFORMATION IN WIRELESS COMMUNICATION SYSTEM

Номер патента: US20130100919A1. Автор: Chung Jae Hoon,Lee Hyun Woo,Han Seung Hee. Владелец: . Дата публикации: 2013-04-25.

Method and and apparatus for recycling livestock excretions using reverse osmosis

Номер патента: KR102029623B1. Автор: 이준행,박노섭,김귀봉. Владелец: 김귀봉. Дата публикации: 2019-10-08.

Methods and devices relating to time-variable signal processing

Номер патента: US20130300483A1. Автор: Gordon Roberts,Mohammad Ali Bakhshian. Владелец: Royal Institution for the Advancement of Learning. Дата публикации: 2013-11-14.

Sha-256 quantum circuit design apparatus and method

Номер патента: US20230163949A1. Автор: Jong Heon Lee. Владелец: Electronics and Telecommunications Research Institute ETRI. Дата публикации: 2023-05-25.

Resource selection method and apparatus, resource indication method and apparatus, and terminals and storage medium

Номер патента: EP4195562A1. Автор: Jie Chen,Youxiong Lu,Haigang HE. Владелец: ZTE Corp. Дата публикации: 2023-06-14.

Methods and apparatus for mimo detection

Номер патента: US20130077721A1. Автор: Zhouyue Pi,Chaiman Lim,Ankit Gupta. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2013-03-28.

Metamaterial substrate for circuit design

Номер патента: US09748663B2. Автор: Chih Wei Wong. Владелец: Transsip Inc. Дата публикации: 2017-08-29.

Method and system for reducing hazards in a flip-flop

Номер патента: US20030062940A1. Автор: Vojin Oklobdzija,William Walker,Nikola Nedovic. Владелец: Fujitsu Ltd. Дата публикации: 2003-04-03.

Circuit and circuit design method

Номер патента: US20090187874A1. Автор: Hua Wu,Shih-Hung Lin,Sheng-Ming Chang,Ching-Chih Li,Mao-Lin Wu,Che Yuan Jao. Владелец: MediaTek Inc. Дата публикации: 2009-07-23.

Method and apparatus for configuring communication parameters on a wireless device

Номер патента: US09369872B2. Автор: Tzahi Efrati. Владелец: Vonage Business Inc. Дата публикации: 2016-06-14.

Path selection method and apparatus for direct link, and storage medium, ue and relay

Номер патента: EP4135406A1. Автор: Xing Liu. Владелец: Spreadtrum Communications Shanghai Co Ltd. Дата публикации: 2023-02-15.

Scanning electron microscope device, evaluation point generating method, and program

Номер патента: US20120104251A1. Автор: Takahiro Kawasaki. Владелец: Hitachi High Technologies Corp. Дата публикации: 2012-05-03.

System for providing powerline communication over flexible mesh for circuit design used in biometric monitoring

Номер патента: AU2021214552A1. Автор: Phillip Bogdanovich. Владелец: Cipher Skin. Дата публикации: 2022-09-22.

Method and system for use of cellular infrastructure to manage small cell access

Номер патента: US09801099B2. Автор: Biswaroop Mukherjee,William Anthony Gage. Владелец: BlackBerry Ltd. Дата публикации: 2017-10-24.

Channel selection and configuration methods and apparatus

Номер патента: EP4050961A1. Автор: Shaohui Sun,Bin Ren,Deshan Miao. Владелец: Datang Mobile Communications Equipment Co Ltd. Дата публикации: 2022-08-31.

Network selection method and apparatus, device, and storage medium

Номер патента: EP4161157A1. Автор: Fei Lu,Chenho Chin. Владелец: GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP LTD. Дата публикации: 2023-04-05.

Resource selection method and apparatus, and terminal

Номер патента: US20240224239A1. Автор: Huan WANG,Zichao JI. Владелец: Vivo Mobile Communication Co Ltd. Дата публикации: 2024-07-04.

Called domain selection method and apparatus

Номер патента: EP4135407A1. Автор: Jing Chen,Xiayun CHEN. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-02-15.

Resource selection method and apparatus, and user equipment

Номер патента: EP4404659A1. Автор: Huan WANG,Zichao JI. Владелец: Vivo Mobile Communication Co Ltd. Дата публикации: 2024-07-24.

Network selection method and apparatus, terminal, and network side device

Номер патента: EP4404633A1. Автор: Zhenhua Xie,Xiaowan KE,Huan LI. Владелец: Vivo Mobile Communication Co Ltd. Дата публикации: 2024-07-24.

Sidelink resource selection method and apparatus, and user equipment

Номер патента: EP4366343A1. Автор: Rui Zhao,Chenxin LI. Владелец: Cict Connected And Intelligent Technologies Co Ltd. Дата публикации: 2024-05-08.

Rapid selection method for video intra prediction mode and apparatus thereof

Номер патента: US10440390B2. Автор: CAO Shen,Haohui Xu,Chang Zhou,Kaiyan Chu,Guibin LU. Владелец: Alibaba Group Holding Ltd. Дата публикации: 2019-10-08.

Voice fallback target cell selection method and apparatus, storage medium and electronic device

Номер патента: US20240276311A1. Автор: Tengfei Li. Владелец: ZTE Corp. Дата публикации: 2024-08-15.

Antenna selection method and apparatus, and baseband processing unit, base station and storage medium

Номер патента: EP4407885A1. Автор: Junli YANG,Hongshuai HAN. Владелец: ZTE Corp. Дата публикации: 2024-07-31.

Voice fallback target cell selection method and apparatus, storage medium and electronic device

Номер патента: EP4412293A1. Автор: Tengfei Li. Владелец: ZTE Corp. Дата публикации: 2024-08-07.

Resource selection method and apparatus

Номер патента: EP4432592A1. Автор: Yue Wu,Xueru Li. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-09-18.

Wireless communication method and apparatus, and device and storage medium

Номер патента: EP4451764A1. Автор: Zheng Zhao,Ling LYU. Владелец: Quectel Wireless Solutions Co Ltd. Дата публикации: 2024-10-23.

Channel selection method and apparatus

Номер патента: US09510325B2. Автор: Jin Shuai,Pei Liu,Weixia Zou. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2016-11-29.

Nwdaf network element selection method and apparatus, electronic device, and readable storage medium

Номер патента: EP3989485A1. Автор: Zhuoyun ZHANG. Владелец: Tencent Technology Shenzhen Co Ltd. Дата публикации: 2022-04-27.

Service carrier frequency selecting method and apparatus

Номер патента: US12035383B2. Автор: SERGIO Parolari,Bo Dai,Jianxun Ai,Zhongda Du,Xiubin Sha,Ting Lu,Qian Dai,YuanFang Yu,Lianbin Xiao. Владелец: ZTE Corp. Дата публикации: 2024-07-09.

Homogeneous Dual-Rail Logic for DPA Attack Resistive Secure Circuit Design

Номер патента: US20120105099A1. Автор: Nikil Dutt,Kazuyuki Tanimura. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2012-05-03.

Radio resource measurement method, radio resource selection method, and apparatus

Номер патента: US12003993B2. Автор: Qinghai Zeng,Tingting GENG. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-06-04.

Method and apparatus for selecting reference frame, device, and medium

Номер патента: EP4395310A1. Автор: Hongshun ZHANG. Владелец: Tencent Technology Shenzhen Co Ltd. Дата публикации: 2024-07-03.

Resource selection method and apparatus, and terminal

Номер патента: EP4395423A1. Автор: Rui Zhao,Chenxin LI,Xiaoran WEN. Владелец: Cict Connected And Intelligent Technologies Co Ltd. Дата публикации: 2024-07-03.

Homogeneous dual-rail logic for dpa attack resistive secure circuit design

Номер патента: US20130293259A1. Автор: Nikil Dutt,Kazuyuki Tanimura. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2013-11-07.

Homogeneous dual-rail logic for DPA attack resistive secure circuit design

Номер патента: US9240786B2. Автор: Nikil Dutt,Kazuyuki Tanimura. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2016-01-19.

Relay Selection Method and Apparatus and System

Номер патента: US20230188969A1. Автор: Hua Zhou,Haibo Xu,Lianhai WU. Владелец: Fujitsu Ltd. Дата публикации: 2023-06-15.

Relay selection method and apparatus and system

Номер патента: US11601796B2. Автор: Hua Zhou,Haibo Xu,Lianhai WU. Владелец: Fujitsu Ltd. Дата публикации: 2023-03-07.

NWDAF network element selection method and apparatus, electronic device, and readable storage medium

Номер патента: US11716399B2. Автор: Zhuoyun ZHANG. Владелец: Tencent Technology Shenzhen Co Ltd. Дата публикации: 2023-08-01.

Method and apparatus for selecting antennas in wireless communication system

Номер патента: EP3292637A1. Автор: Ikbeom LEE. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-03-14.

Device selection method and apparatus

Номер патента: AU2023213913A1. Автор: Yanmei Yang,Cuili GE,Yajie HU. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-08-29.

Equipment selection method and apparatus

Номер патента: EP4456584A1. Автор: Yanmei Yang,Cuili GE,Yajie HU. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-10-30.

Resource selection method and apparatus, and terminal

Номер патента: US20240236950A1. Автор: Rui Zhao,Chenxin LI,Xiaoran WEN. Владелец: Cict Connected And Intelligent Technologies Co Ltd. Дата публикации: 2024-07-11.

Resource selection method and apparatus, and terminal

Номер патента: EP4395411A1. Автор: Huan WANG,Yuming Yang,Zichao JI,Shuyan PENG. Владелец: Vivo Mobile Communication Co Ltd. Дата публикации: 2024-07-03.

Sidelink resource selection method and apparatus

Номер патента: EP4236516A1. Автор: Jian Zhang,Lei Zhang,XIN Wang,Zhe Chen,Pengyu JI,Qinyan Jiang. Владелец: Fujitsu Ltd. Дата публикации: 2023-08-30.

Automatic network selection methods and apparatus using a steered plmn

Номер патента: US20110287766A1. Автор: Paul Marcus Carpenter. Владелец: Research in Motion Ltd. Дата публикации: 2011-11-24.

Device selection method and apparatus

Номер патента: AU2023212898A1. Автор: Yanmei Yang,Yajie HU. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-08-29.

Cell selection method and apparatus, electronic device, and storage medium

Номер патента: EP4354956A1. Автор: Minggang Gao,Chao LEI,Mingye WEI,Xuemei DING. Владелец: ZTE Corp. Дата публикации: 2024-04-17.

Buck converter system with an asymmetric threshold voltage gate drive circuit design

Номер патента: US20230299673A1. Автор: Weidong Zhu,Wenkai Wu,Jialun Du. Владелец: Individual. Дата публикации: 2023-09-21.

Network node selection method and apparatus

Номер патента: EP3913975A1. Автор: Wanqiang Zhang,HUI Ni,Yizhuang WU. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2021-11-24.

Network node selection method and apparatus

Номер патента: US12063595B2. Автор: Wanqiang Zhang,HUI Ni,Yizhuang WU. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-08-13.

Method for transmitting channel quality information, and apparatus for same

Номер патента: US09461718B2. Автор: Eun Sun Kim,Ki Jun Kim,Hak Seong Kim,Han Byul SEO. Владелец: LG ELECTRONICS INC. Дата публикации: 2016-10-04.

Terminating access domain selection method and apparatus

Номер патента: US20230067838A1. Автор: Jing Chen,Xiayun CHEN. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-03-02.

Coding mode selection method and apparatus, and electronic device and computer-readable medium

Номер патента: US12069249B2. Автор: Hong Shun ZHANG. Владелец: Tencent Technology Shenzhen Co Ltd. Дата публикации: 2024-08-20.

Beam selection method and apparatus, and storage medium

Номер патента: CA3104373C. Автор: Pengcheng Zhang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-01-09.

Network selection method and apparatus

Номер патента: EP4096293A1. Автор: Hui Jin,Rui Yang,Fenghui DOU. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2022-11-30.

Method and apparatus for producing urea

Номер патента: RU2412163C2. Автор: Федерико ЗАРДИ,Паоло СТИКЧИ,Паоло БРУНЕНГО. Владелец: Уреа Касале С.А.. Дата публикации: 2011-02-20.

Method and apparatus for conditioning sludge before drying

Номер патента: RU2449955C2. Автор: Клод ПРЕВО,Марсель ЛЕЗУАЛЛЬ,Андрэ ОБРИ. Владелец: Дегремон. Дата публикации: 2012-05-10.

Methods and apparatus for resistive loads imitation

Номер патента: RU2476040C2. Автор: Игорь А. ЛИЗ. Владелец: Филипс Солид-Стейт Лайтинг Солюшнз, Инк. Дата публикации: 2013-02-20.

Method and apparatus for processing black liquor of pulp mill

Номер патента: RU2553882C2. Автор: Тимо ХОНКОЛА. Владелец: Валмет Пауэр Ой. Дата публикации: 2015-06-20.

Method for moving logs in cutting-off machine tools and apparatus for performing the same

Номер патента: RU2307732C2. Автор: Фабио ПЕРИНИ. Владелец: Фабио ПЕРИНИ. Дата публикации: 2007-10-10.

Method and device for acquiring access authority

Номер патента: RU2674328C2. Автор: Эньсин ХОУ,Яньлу ЧЖАН,Дэго МЭН. Владелец: Сяоми Инк.. Дата публикации: 2018-12-06.

Method and apparatus for identifying the telephone call

Номер патента: RU2594755C1. Автор: Юйсян ЛЮ,Чжунлян ЦЯО,Чжиюн БАЙ,Ботянь ВАН. Владелец: Сяоми Инк.. Дата публикации: 2016-08-20.

Device connection method and apparatus, and device and storage medium

Номер патента: EP4322693A1. Автор: Ling Huang,Jialiang HUANG,Jingzhi Ye,Jianlei Chen. Владелец: Guangzhou Shirui Electronics Co Ltd. Дата публикации: 2024-02-14.

Resource selection method and apparatus, and terminal

Номер патента: US20240283617A1. Автор: Qian Zheng,Jing Liang,Jiamin Liu,Jianming Wu,Zichao JI. Владелец: Vivo Mobile Communication Co Ltd. Дата публикации: 2024-08-22.

Method and apparatus for determining interaction mode

Номер патента: RU2529191C2. Автор: Филлип ЛИНДБЕРГ,Йонан ФРОССЕН. Владелец: Нокиа Корпорейшн. Дата публикации: 2014-09-27.

Method and apparatus for enzymatic hydrolysis

Номер патента: RU2745988C2. Автор: Сами ТУРУНЕН,Юха ТАМПЕР. Владелец: ЮПМ-Кюммене Корпорейшн. Дата публикации: 2021-04-05.

System for providing powerline communication over flexible mesh for circuit design used in biometric monitoring

Номер патента: EP4097819A1. Автор: Phillip Bogdanovich. Владелец: Cipher Skin. Дата публикации: 2022-12-07.

Cooktop, cooktop system, control method and apparatus, and storage medium

Номер патента: EP4022225A1. Автор: YE Lu,Lei Zhang,Tong Xie,Mingzhi Wang. Владелец: BSH HAUSGERAETE GMBH. Дата публикации: 2022-07-06.

Cooktop, cooktop system, control method and apparatus, and storage medium

Номер патента: WO2021037821A1. Автор: YE Lu,Lei Zhang,Tong Xie,Mingzhi Wang. Владелец: BSH HAUSGERÄTE GMBH. Дата публикации: 2021-03-04.

Data transmission method and apparatus

Номер патента: EP4195570A1. Автор: Lei Wang,Lei Zhang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-06-14.

Resource determining method and apparatus

Номер патента: US20240205886A1. Автор: Lei Lu,Ting Wang,Hongjia SU. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-06-20.

Clot sensing methods and apparatuses

Номер патента: WO2024145533A2. Автор: Vahid Saadat,William Jason Fox,Michael Pare,Neekon Saadat,Eyal AKLIVANH. Владелец: Inquis Medical, Inc.. Дата публикации: 2024-07-04.

Communication method and apparatus

Номер патента: EP4152633A1. Автор: JIANMIN Lu,Gaoning HE,JIanbiao XU. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-03-22.

Method and apparatus for percutaneous valve repair

Номер патента: WO2005112831A3. Автор: Fidel Realyvasquez. Владелец: Fidel Realyvasquez. Дата публикации: 2006-06-29.

Method and apparatus for receiving CPRI data stream, method and apparatus for receiving ethernet frame, and system

Номер патента: US11736978B2. Автор: Fan Zhang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-08-22.

Clot sensing methods and apparatuses

Номер патента: WO2024145533A3. Автор: Vahid Saadat,William Jason Fox,Michael Pare,Neekon Saadat,Eyal AKLIVANH. Владелец: Inquis Medical, Inc.. Дата публикации: 2024-08-02.

Data transmission method and apparatus

Номер патента: US20220014319A1. Автор: Yiming Wang,Chenji HUANG,Luyao Hu,Wangsheng Zhao. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2022-01-13.

Wireless communication method and apparatus

Номер патента: EP4418763A1. Автор: Rong Li,Jun Wang,Hejia LUO,Xiaolu Wang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-08-21.

Deskewing method and apparatus, and data reception apparatus using the deskewing method and apparatus

Номер патента: US20100260299A1. Автор: Young-don Choi. Владелец: Individual. Дата публикации: 2010-10-14.

Resource sharing method and apparatus

Номер патента: US12114300B2. Автор: BO Lin,Kun Yang,Haifeng Yu. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-10-08.

Apparatus, method, and computer program

Номер патента: EP4447340A1. Автор: Aliye KAYA,Faris Mismar. Владелец: NOKIA SOLUTIONS AND NETWORKS OY. Дата публикации: 2024-10-16.

Apparatus, Method, and Computer Program

Номер патента: US20240348326A1. Автор: Aliye KAYA,Faris Mismar. Владелец: NOKIA SOLUTIONS AND NETWORKS OY. Дата публикации: 2024-10-17.

Carrier selection method and apparatus

Номер патента: US09510241B2. Автор: Haiyan Luo,Tianle Deng,Binsong Tang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2016-11-29.

Method and apparatus for thermal hydrolysis of organic material

Номер патента: RU2504521C2. Автор: Марианна БУХМЮЛЛЕР. Владелец: Штульц Х+Е Гмбх. Дата публикации: 2014-01-20.

Method and apparatus for producing biogas

Номер патента: RU2561460C2. Автор: Кай РОШТАЛЬСКИ,Преер ШПРИНГЕР. Владелец: КСБ Акциенгезельшафт. Дата публикации: 2015-08-27.

Method and apparatus for automatic charging of meat products into doubled film-netted casing

Номер патента: RU2293695C2. Автор: ЛОПЕС Хуан АРИАС. Владелец: Вискофан С.А.. Дата публикации: 2007-02-20.

Method and apparatus for producing sulphuric acid

Номер патента: RU2406691C2. Автор: Карл-Хайнц Даум. Владелец: Оутотек Ойй. Дата публикации: 2010-12-20.

Traffic adjustment method and apparatus

Номер патента: US9602429B2. Автор: Zhonghua Li. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2017-03-21.

Cleaning method and apparatus, and base station and storage medium

Номер патента: EP4397223A1. Автор: PAN Cheng. Владелец: Beijing Rockrobo Technology Co Ltd. Дата публикации: 2024-07-10.

Signal scrambling method and apparatus, and signal descrambling method and apparatus

Номер патента: CA3072679C. Автор: Ting Wang,Hao Tang,Zhenfei Tang,Yuanjie Li. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-10-03.

Method and apparatus for molding an interlocking tab in a single molding and formatting step

Номер патента: WO2007050226A3. Автор: Wayne Moore,Monty Cochran. Владелец: Monty Cochran. Дата публикации: 2007-06-21.

Communication method and apparatus

Номер патента: EP4033852A1. Автор: Lei Lu,Zhengzheng XIANG,Pu YUAN,Chunxu JIAO. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2022-07-27.

Signal transmitting method and device

Номер патента: EP3672271A1. Автор: Peng Dong,Guanghao SHAO. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2020-06-24.

Feedback method, and apparatus

Номер патента: CA3222956A1. Автор: Xiao Han,Xun Yang,Yunbo Li,Rui Du,Yi Lv,Chenchen LIU,Mengshi HU. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2022-12-15.

Feedback method and apparatus

Номер патента: AU2022291191A1. Автор: Xiao Han,Xun Yang,Yunbo Li,Rui Du,Yi Lv,Chenchen LIU,Mengshi HU. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-12-21.

Antenna Pattern Selection Method and Apparatus

Номер патента: US20220345905A1. Автор: YANG Ji,BING Feng,Xiaofei BAI. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2022-10-27.

Information feedback method and apparatus, and information receiving method and apparatus

Номер патента: US20240260053A1. Автор: Lei Zhang,Qinyan Jiang. Владелец: Fujitsu Ltd. Дата публикации: 2024-08-01.

Method and apparatus for controlling intra-refreshing in a video telephony communication system

Номер патента: EP2100458A1. Автор: Kyung-Hun Jung. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-09-16.

Communication method and apparatus

Номер патента: EP4401488A1. Автор: YING Chen,Yue Zhou,Hejia LUO. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-07-17.

Communication method and apparatus

Номер патента: US20230098191A1. Автор: JIANMIN Lu,Gaoning HE,JIanbiao XU. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-03-30.

A threaded connector port cleaning system, method, and apparatus

Номер патента: EP3291874A1. Автор: Jeffrey S. Nordquist,Crystal KOELPER,Shawn Purnell,Robert McVey. Владелец: Corpak Medsystems Inc. Дата публикации: 2018-03-14.

Threaded connector port cleaning system, method, and apparatus

Номер патента: US20160325088A1. Автор: Jeffrey S. Nordquist,Crystal KOELPER,Shawn Purnell,Robert McVey. Владелец: Corpak Medsystems Inc. Дата публикации: 2016-11-10.

Threaded Connector Port Cleaning System, Method, and Apparatus

Номер патента: US20220096814A1. Автор: Jeffrey S. Nordquist,Crystal KOELPER,Shawn Purnell,Robert McVey. Владелец: Avent Inc. Дата публикации: 2022-03-31.

Method and apparatus for molding an interlocking tab in a single molding and formatting step

Номер патента: CA2638161A1. Автор: Wayne Moore,Monty Cochran. Владелец: Individual. Дата публикации: 2007-05-03.

Method and apparatus for molding an interlocking tab in a single molding and formatting step

Номер патента: WO2007050226A2. Автор: Wayne Moore,Monty Cochran. Владелец: Wilbert, Inc.. Дата публикации: 2007-05-03.

Method of and apparatus for scattered resisted yarns dyeing method and and apparatus for hand bundles

Номер патента: JPS5249386A. Автор: Masaji Hirai,Manzou Makita. Владелец: HIRAI SENSHIYOKU KK. Дата публикации: 1977-04-20.

Method and Apparatus For Inspecting Defect Of Pattern Formed On Semiconductor Device

Номер патента: US20120002861A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

MINITURIZATION TECHNIQUES, SYSTEMS, AND APPARATUS RELATNG TO POWER SUPPLIES, MEMORY, INTERCONNECTIONS, AND LEDS

Номер патента: US20120002455A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method and Apparatus for Codebook-Based Precoding in MIMO Systems

Номер патента: US20120002750A1. Автор: Tiirola Esa Tapani,Pajukoski Kari Pekka,Hooli Kari Juhani. Владелец: . Дата публикации: 2012-01-05.

METHOD AND SYSTEM FOR ALIGNMENT OF INTEGRATED CIRCUITS

Номер патента: US20120001340A1. Автор: . Владелец: GENERAL ELECTRIC COMPANY. Дата публикации: 2012-01-05.

Information Storage Medium, Reproducing Method, And Recording Method

Номер патента: US20120002529A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

INFORMATION STORAGE MEDIUM, REPRODUCING METHOD, AND RECORDING METHOD

Номер патента: US20120002530A1. Автор: Morita Seiji,Takazawa Koji,Ando Hideo. Владелец: . Дата публикации: 2012-01-05.

INFORMATION STORAGE MEDIUM, REPRODUCING METHOD, AND RECORDING METHOD

Номер патента: US20120002531A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR BLOCK BASED IMAGE COMPRESSION WITH MULTIPLE NON-UNIFORM BLOCK ENCODINGS

Номер патента: US20120002873A1. Автор: . Владелец: ATI TECHNOLOGIES ULC. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR CELL SELECTION IN WIRELESS COMMUNICATION SYSTEM

Номер патента: US20120003978A1. Автор: LIM Chi-Woo,Lee Mi-Hyun. Владелец: SAMSUNG ELECTRONICS CO. LTD.. Дата публикации: 2012-01-05.

Ternary circuit design unit and decoder-switches based thereon

Номер патента: RU2461122C1. Автор: Сергей Петрович Маслов. Владелец: Сергей Петрович Маслов. Дата публикации: 2012-09-10.

METHOD AND APPARATUS FOR SELECTING VIDEO CODEC TO BE USED BETWEEN STATIONS

Номер патента: US20120002718A1. Автор: . Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING PASTE-TYPE ELECTRODE OF LEAD-ACID BATTERY AND APPARATUS THEREFOR

Номер патента: US20120000070A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR DISPENSING MOIST SMOKELESS TOBACCO

Номер патента: US20120000165A1. Автор: Williams Dwight D.. Владелец: ALTRIA CLIENT SERVICES, INC.. Дата публикации: 2012-01-05.

Method and an Apparatus for Grouping Discrete Laminar Articles Into Batches of Predetermined Count

Номер патента: US20120000748A1. Автор: Chauhan Vijay. Владелец: . Дата публикации: 2012-01-05.

METHODS AND APPARATUS FOR RADIO FREQUENCY (RF) PLASMA PROCESSING

Номер патента: US20120000888A1. Автор: . Владелец: Applied Materials, Inc.. Дата публикации: 2012-01-05.

METHODS AND APPARATUS FOR APPLYING METALLIC CLADDING TO INTERIOR SURFACES OF PIPE ELBOWS

Номер патента: US20120000966A1. Автор: GREENWALL Norman Alexander. Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR ENERGY GENERATION

Номер патента: US20120001431A1. Автор: SMITH George. Владелец: . Дата публикации: 2012-01-05.

Method and apparatus for obtaining spatial information and measuring the dielectric constant of an object

Номер патента: US20120001628A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method and Apparatus for Implementing a Multiple Display Mode

Номер патента: US20120001829A1. Автор: JUNG Younghee,Anttila Akseli,Tanaka Yumiko. Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR CALCULATING A POWER CONSUMPTION SEGMENT AND DISPLAYING A POWER CONSUMPTION INDICATOR

Номер патента: US20120001883A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR TRANSMITTING AND RECEIVING HARQ BURST

Номер патента: US20120002619A1. Автор: . Владелец: SAMSUNG ELECTRONICS CO. LTD.. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR BREATHING ADAPTED IMAGING

Номер патента: US20120002780A1. Автор: . Владелец: KONINKLIJKE PHILIPS ELECTRONICS N.V.. Дата публикации: 2012-01-05.

Method and apparatus for encoding/decoding graphic data

Номер патента: US20120002891A1. Автор: Ahn Jeong-hwan,Han Mahn-jin. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-01-05.

Immunohistochemical staining method and immunohistochemical staining apparatus

Номер патента: US20120003669A1. Автор: OGAWA Jun-ichi,MINAMIYA Yoshihiro,Toda Hiroshi,Akagami Yoichi,Kagaya Masami. Владелец: . Дата публикации: 2012-01-05.

SYSTEMS, METHODS AND APPARATUSES FOR MAGNETIC PROCESSING OF SOLAR MODULES

Номер патента: US20120003777A1. Автор: . Владелец: MIASOLE. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR VERIFICATION OF A TELEPHONE NUMBER

Номер патента: US20120003957A1. Автор: Agevik Niklas,Idren Bjorn. Владелец: TELEFONAKTIEBOLAGET L M ERICSSON (PUBL). Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR SUSPENDING AND SPINNING A SPHERICAL OBJECT

Номер патента: US20120004054A1. Автор: McKendrick Jason S.,Jewkes Rodney R.. Владелец: . Дата публикации: 2012-01-05.

Method and Apparatus for Prolapse Repair

Номер патента: US20120004501A1. Автор: Beyer Roger D.. Владелец: AMS Research Corporation. Дата публикации: 2012-01-05.

Methods and Apparatuses for Full-Thickness Hollow Organ Biopsy

Номер патента: US20120004573A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR TESTING ON-LINE SERVICES

Номер патента: US20120004895A1. Автор: . Владелец: Nokia Corporation. Дата публикации: 2012-01-05.

Method and Device for Drying Goods

Номер патента: US20120000090A1. Автор: Mühlherr Christian,Lieberherr Kurt. Владелец: Buhler AG. Дата публикации: 2012-01-05.

Method and apparatus for reducing tin oxide in float glass production

Номер патента: US20120000248A1. Автор: Nelson Douglas M.. Владелец: Pilkington Group Limited. Дата публикации: 2012-01-05.

INNER ELECTRODE FOR BARRIER FILM FORMATION AND APPARATUS FOR FILM FORMATION

Номер патента: US20120000771A1. Автор: UEDA Atsushi,YAMAKOSHI Hideo,GOTO Seiji,Okamoto Kenichi,Asahara Yuji,Danno Minoru. Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR GENERATION OF FREE-SURFACE WAVES USING CAVITY RESONATOR

Номер патента: US20120001434A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method And Apparatus For Measuring The Thickness Of A Metal Layer Provided On A Metal Object

Номер патента: US20120001624A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method and Apparatus for Generating Mood-Based Haptic Feedback

Номер патента: US20120001749A1. Автор: . Владелец: IMMERSION CORPORATION. Дата публикации: 2012-01-05.

SYSTEM, METHOD, AND APPARATUS FOR TRIGGERING AN ALARM

Номер патента: US20120001756A1. Автор: Eckert Lee H.,MERCIER MICHAEL,SHAFER Gary Mark. Владелец: . Дата публикации: 2012-01-05.

Method and Apparatus Using Volume Holographic Wavelength Blockers

Номер патента: US20120002197A1. Автор: MOSER Christophe,HAVERMEYER Frank. Владелец: . Дата публикации: 2012-01-05.

RECEIVING METHOD AND DEVICE FOR DOWNLINK SERVICE IN LONG TERM EVOLUTION SYSTEM

Номер патента: US20120002595A1. Автор: . Владелец: ZTE CORPORATION. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR PREVENTING SIGNAL INTERFERENCE IN WIRELESS RELAY NETWORK BASED ON SYNCHRONOUS HARQ

Номер патента: US20120002597A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR TRANSMITTING DATA ON RELAY COMMUNICATION SYSTEM

Номер патента: US20120002598A1. Автор: Kim Byoung-Hoon,Seo Han-Byul. Владелец: LG ELECTRONICS INC.. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR COEXISTENCE OF MULTIPLE OPERATING ENTITY SYSTEMS

Номер патента: US20120002662A1. Автор: LI YANG,Lv Yongxia,HOU Yunzhe,Wan Lei,Ren Xiaotao. Владелец: Huawei Technologies Co., Ltd.. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR COMPRESSING FRAME

Номер патента: US20120002683A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR REGION-BASED FILTER PARAMETER SELECTION FOR DE-ARTIFACT FILTERING

Номер патента: US20120002722A1. Автор: Zheng Yunfei,Yin Peng,Sole Joel,Xu Qian,Lu Xiaoan. Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR PRODUCING A VISIBLE HYDROGEN FLAME

Номер патента: US20120003593A1. Автор: Conrad Wayne Ernest. Владелец: G.B.D. CORP. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR FOCUSED RESONANCE NANOPERMEABILIZATION (FORN)

Номер патента: US20120004591A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR CONTROL BATTERY AND SPECIFICATION DETERMINING METHOD OF BATTERY

Номер патента: US20120004787A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHODS AND APPARATUS FOR IMPROVED CEMENT PLUG PLACEMENT

Номер патента: US20120000650A1. Автор: Rondeau Joel,Daccord Gerard,Samuel Mathew,Montgomery Michael,James Simon,Piot Bernard,Rolovic Radovan. Владелец: . Дата публикации: 2012-01-05.

HIGH SOLIDS CONTENT SLURRY METHODS AND SYSTEMS

Номер патента: US20120000653A1. Автор: . Владелец: SCHLUMBERGER TECHNOLOGY CORPORATION. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR ENCODING/DECODING VIDEO DATA TO IMPLEMENT LOCAL THREE-DIMENSIONAL VIDEO

Номер патента: US20120002006A1. Автор: . Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-01-05.

PRINT SHOP MANAGEMENT METHOD AND PROGRAM FOR PRINTING MIXED COLOR AND BLACK AND WHITE DOCUMENTS

Номер патента: US20120002219A1. Автор: . Владелец: KONICA MINOLTA SYSTEMS LABORATORY, INC.. Дата публикации: 2012-01-05.

Method and Apparatus for Improving the Reliability of Solder Joints

Номер патента: US20120002386A1. Автор: Pykari Lasse Juhani,Lu David L.. Владелец: Nokia Corporation. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR ESTABLISHING AND MAINTAINING A SPECTRALLY EFFICIENT MULTICAST GROUP CALL

Номер патента: US20120002581A1. Автор: . Владелец: MOTOROLA, INC.. Дата публикации: 2012-01-05.

CONTROL SIGNAL TRANSMITTING METHOD AND APPARATUS IN RELAY STATION

Номер патента: US20120002593A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR TRANSMITTING CONTROL SIGNAL TO RELAY NODE AT BASE STATION IN MIMO WIRELESS COMMUNICATION SYSTEM AND APPARATUS THEREFOR

Номер патента: US20120002596A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR GENERATING ENCODED CONTENT USING DYNAMICALLY OPTIMIZED CONVERSION

Номер патента: US20120002716A1. Автор: Gasparri Massimiliano,Antonellis Darcy. Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS TO SUPPORT SCALABILITY IN A MULTICARRIER NETWORK

Номер патента: US20120002738A1. Автор: Jacobsen Eric A.,Foerster Jeff,Dahle Dan. Владелец: . Дата публикации: 2012-01-05.

Method and Apparatus for Providing a Copy-Protected Video Signal

Номер патента: US20120002950A1. Автор: Tan Baolin,Abdin Mazen. Владелец: DCS Copy Protection Limited. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR PROGRESSIVELY DELETING MEDIA OBJECTS FROM STORAGE

Номер патента: US20120002951A1. Автор: REISMAN Richard R.. Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR ESTABLISHING A GROUP CALL

Номер патента: US20120003969A1. Автор: Hiben Bradley M.,ANDERSON JEFF S.,Anderson Henry W.. Владелец: MOTOROLA, INC.. Дата публикации: 2012-01-05.

METHODS AND APPARATUS FOR PROVIDING PORTABLE PHOTOGRAPHIC IMAGES

Номер патента: US20120002095A1. Автор: Lehrman Mikel A.. Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR SEPARATING AND COMPOSING SEISMIC WAVES

Номер патента: US20120002505A1. Автор: . Владелец: Institue of Geology and Geophysics, Chinese Academy of Sciences. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR SUPPORTING CARRIER AGGREGATION

Номер патента: US20120002635A1. Автор: Kim So Yeon,Chung Jae Hoon,Kwon Yeong Hyeon,Han Seung Hee. Владелец: . Дата публикации: 2012-01-05.

Method and apparatus for scalable video coding

Номер патента: US20120002726A1. Автор: Lei Zhibin,WU Yannan,Fang Laifa. Владелец: Hong Kong Applied Science and Technology Research Institute Company Limited. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR STORING A LASER OPTICAL FIBER

Номер патента: US20120002933A1. Автор: Williams Richard Alan,Seftel Allen D.,Pastor Stephen T.. Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS USING A SPLIT CASE DIE TO PRESS A PART AND THE PART PRODUCED THEREFROM

Номер патента: US20120003443A1. Автор: . Владелец: KENNAMETAL INC.. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR GRINDING A WORKPIECE SURFACE OF ROTATION

Номер патента: US20120003904A1. Автор: SCHMITZ Roland. Владелец: . Дата публикации: 2012-01-05.

Method and apparatus for efficiently querying and identifying multiple items on a communication channel

Номер патента: US20120001736A1. Автор: Hulvey Robert. Владелец: . Дата публикации: 2012-01-05.

METHODS AND APPARATUS FOR COOLING ELECTRONIC DEVICES

Номер патента: US20120002342A1. Автор: . Владелец: Apple Inc.. Дата публикации: 2012-01-05.

Method and Apparatus for Uplink Multi-Carrier Transmit Diversity

Номер патента: US20120002630A1. Автор: . Владелец: Telefonaktiebolaget lM Ericsson (publ). Дата публикации: 2012-01-05.

Method and Apparatus for Automatic Pattern Analysis

Номер патента: US20120002888A1. Автор: Ishikawa Hiroshi. Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR ASSEMBLING ROTATING MACHINES

Номер патента: US20120003076A1. Автор: Cummins Josef Scott,Wilson Ian David. Владелец: . Дата публикации: 2012-01-05.

Methods and Apparatus for Manufacturing Plasma Based Plastics and Bioplastics Produced Therefrom

Номер патента: US20120003193A1. Автор: Burgess James E.,Smith Jason,Campbell Phil G.,Weiss Lee E.. Владелец: . Дата публикации: 2012-01-05.

Methods and Apparatus for Manufacturing Plasma Based Plastics and Bioplastics Produced Therefrom

Номер патента: US20120003279A1. Автор: Burgess James E.,Smith Jason,Campbell Phil G.,Weiss Lee E.. Владелец: . Дата публикации: 2012-01-05.

Methods and Apparatus for Manufacturing Plasma Based Plastics and Bioplastics Produced Therefrom

Номер патента: US20120003324A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Supersonic shaving method and apparatus

Номер патента: RU2320476C2. Автор: Евгений Юрьевич Хайсанов. Владелец: Евгений Юрьевич Хайсанов. Дата публикации: 2008-03-27.

Method and apparatus for emulsion production

Номер патента: RU2062141C1. Автор: Николай Васильевич Юрьев. Владелец: Николай Васильевич Юрьев. Дата публикации: 1996-06-20.

MANURE SEPARATION FOR DIGESTER METHOD AND APPARATUS

Номер патента: US20120000863A9. Автор: DeWaard David C.. Владелец: . Дата публикации: 2012-01-05.

INTEGRATED GRAPHICS PROCESSOR DATA COPY ELIMINATION METHOD AND APPARATUS WHEN USING SYSTEM MEMORY

Номер патента: US20120001927A1. Автор: . Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR TRANSMITTING A REFERENCE SIGNAL IN A WIRELESS COMMUNICATION SYSTEM

Номер патента: US20120002740A1. Автор: Chung Jae Hoon,Kwon Yeong Hyeon,Han Seung Hee. Владелец: . Дата публикации: 2012-01-05.

METHODS AND KITS FOR DETERMINING PREDISPOSITION TO DEVELOP KIDNEY DISEASES

Номер патента: US20120003644A1. Автор: . Владелец: Rappaport Family Institute for Research in the Medical Sciences. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR PROVIDING ENHANCED ADDRESS BOOK WITH AUTOMATIC CONTACT MANAGEMENT

Номер патента: US20120004015A1. Автор: . Владелец: LG ELECTRONICS INC.. Дата публикации: 2012-01-05.

Method and System for Calculating and Reporting Slump in Delivery Vehicles

Номер патента: US20120004790A1. Автор: . Владелец: VERIFI LLC. Дата публикации: 2012-01-05.

Method and apparatus for crystallisation of polymer particles

Номер патента: RU2446944C2. Автор: Джеймс Ф. МакГИ. Владелец: Юоп Ллк. Дата публикации: 2012-04-10.

Circuit design and vehicle traction transformer electric locomotives

Номер патента: SK6037Y1. Автор: Jozef Buday,Jozef Kuchta. Владелец: Evpu As. Дата публикации: 2012-03-02.