• Главная
  • Apparatus and method for driving a plasma display panel

Apparatus and method for driving a plasma display panel

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Apparatus and method for improving energy recovery in a plasma display panel driver

Номер патента: KR100484175B1. Автор: 이상훈,김혜정,노정욱. Владелец: 삼성전자주식회사. Дата публикации: 2005-04-18.

METHOD FOR FORMING ELECTRICAL CHARGES IN A PLASMA PANEL

Номер патента: FR2869441A1. Автор: Hassane Guermoud,Claude Meysen,Pascal Denoyelle. Владелец: Thomson Licensing SAS. Дата публикации: 2005-10-28.

Driving method for significantly reducing addressing time in plasma display panel

Номер патента: WO2007079063A3. Автор: Norifusa Isobe,Robert G Marcotte,Qun Yan. Владелец: Qun Yan. Дата публикации: 2008-04-10.

Method for reliably lighting cells in a plasma display panel

Номер патента: US3854072A. Автор: R Trogdon. Владелец: University of Illinois. Дата публикации: 1974-12-10.

Address-while-display driving method for broadening margin of address voltage of plasma display panel

Номер патента: US20030098823A1. Автор: Joo-yul Lee,Kyoung-ho Kang. Владелец: Samsung SDI Co Ltd. Дата публикации: 2003-05-29.

Shift register, method for driving shift register, gate driving circuit and display panel

Номер патента: US20230290295A1. Автор: Suping XI. Владелец: Wuhan Tianma Microelectronics Co Ltd. Дата публикации: 2023-09-14.

Shift register, method for driving shift register, gate driving circuit and display panel

Номер патента: US12002406B2. Автор: Suping XI. Владелец: Wuhan Tianma Microelectronics Co Ltd. Дата публикации: 2024-06-04.

Circuit for driving light emitting device and matrix-type display panel employing the same

Номер патента: CN1623179A. Автор: 金永宽,徐钟旭. Владелец: Gracel Display Inc. Дата публикации: 2005-06-01.

Method and circuit for driving three-electrodes surface-discharge plasma display panel

Номер патента: KR100264452B1. Автор: 문성학. Владелец: 엘지전자주식회사. Дата публикации: 2000-08-16.

Driving apparatus for a display panel and operation method thereof

Номер патента: US20190051232A1. Автор: Tzong-Honge Shieh,Po-Hsiang FANG. Владелец: NOVATEK MICROELECTRONICS CORP. Дата публикации: 2019-02-14.

Amplifier offset canceling within display panel driver

Номер патента: US20090021462A1. Автор: Takashi Nose,Kouichi Nishimura,Hirobumi Furihata. Владелец: NEC Electronics Corp. Дата публикации: 2009-01-22.

Driver for display panel

Номер патента: US20230401995A1. Автор: Chung-Hsin Su. Владелец: SITRONIX TECHNOLOGY CORP. Дата публикации: 2023-12-14.

Driver for display panel

Номер патента: US12008949B2. Автор: Chung-Hsin Su. Владелец: SITRONIX TECHNOLOGY CORP. Дата публикации: 2024-06-11.

Driving Circuit for Display Panel

Номер патента: US20230401996A1. Автор: Chung-Hsin Su. Владелец: SITRONIX TECHNOLOGY CORP. Дата публикации: 2023-12-14.

Pixel circuit and driving method thereof, display panel

Номер патента: US11837162B2. Автор: Sang Won Lee,Yidan Zhu. Владелец: Chengdu BOE Optoelectronics Technology Co Ltd. Дата публикации: 2023-12-05.

Shift register unit, gate driving circuit, display apparatus and control method thereof

Номер патента: US20210343208A1. Автор: Zheng Wang. Владелец: Beijing BOE Display Technology Co Ltd. Дата публикации: 2021-11-04.

Liquid crystal panel, display device and a method for driving the display device

Номер патента: US20160267863A1. Автор: Hua Zheng. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2016-09-15.

Plasma display panel and method and apparatus for driving the same

Номер патента: KR20040088701A. Автор: 김정훈. Владелец: 엘지전자 주식회사. Дата публикации: 2004-10-20.

Plasma display panel and method and apparatus for driving the same

Номер патента: KR100684001B1. Автор: 윤성주. Владелец: 엘지전자 주식회사. Дата публикации: 2007-02-22.

Plasma display panel and method and device for driving the same

Номер патента: US20090189885A1. Автор: Jae-Young Yeo. Владелец: Samsung SDI Co Ltd. Дата публикации: 2009-07-30.

Method and circuit for driving three-electrode surface discharge plasma display panel

Номер патента: KR100257385B1. Автор: 문성학. Владелец: 엘지전자주식회사. Дата публикации: 2000-05-15.

Circuit for Driving Scan/Sustainning Electrode of Plasma Display Panel

Номер патента: KR100425481B1. Автор: 김태형. Владелец: 엘지전자 주식회사. Дата публикации: 2004-03-30.

Output buffer, method for operating the same, source driver and display device

Номер патента: US20180090053A1. Автор: CHEN Song,Zhongyuan Wu,Airong LIU. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2018-03-29.

Method for controlling the addressing of an ac plasma display panel

Номер патента: KR100484366B1. Автор: 살라빙세르쥬,조르장필리쁘. Владелец: 톰슨 튜브 일렉트로니끄. Дата публикации: 2005-04-20.

Method for scan actuating of alternating current type plasma display panel

Номер патента: KR100710819B1. Автор: 김근수,이석현. Владелец: 인하대학교 산학협력단. Дата публикации: 2007-04-24.

Drive control apparatus and drive control method for display panel

Номер патента: KR100579362B1. Автор: 아베나오토,사가노오사무. Владелец: 캐논 가부시끼가이샤. Дата публикации: 2006-05-12.

METHOD FOR CONTROLLING A SCREEN, ESPECIALLY A PLASMA SCREEN, AND CORRESPONDING DEVICE

Номер патента: FR2900266A1. Автор: Jean Raphael Bezal,Jerome Bourgoin. Владелец: STMICROELECTRONICS SA. Дата публикации: 2007-10-26.

Method and apparatus for driving capillary discharge plasma display panel

Номер патента: WO2002041352A3. Автор: Steven Kim,Bhum-Jae Shin. Владелец: Bhum-Jae Shin. Дата публикации: 2003-08-14.

METHOD FOR DRIVING A PLASMA AC TYPE DISPLAY PANEL AND DISPLAY DEVICE

Номер патента: FR2747220B1. Автор: Nguyen Thanh Nhan,Akira Otsuka. Владелец: Fujitsu Ltd. Дата публикации: 1998-10-30.

Method for driving ac discharge memory-type plasma display panel

Номер патента: KR100363042B1. Автор: 히라까와신지. Владелец: 닛뽕덴끼 가부시끼가이샤. Дата публикации: 2002-11-30.

Method and apparatus for driving three-electrode surface-discharge plasma display panel

Номер патента: KR100256092B1. Автор: 문성학. Владелец: 엘지전자주식회사. Дата публикации: 2000-05-01.

Method for processing image data with enhanced grayscale level for display panel

Номер патента: EP3788615A1. Автор: Lin Lin,Jian Sun,Ziqiang GUO. Владелец: Beijing BOE Optoelectronics Technology Co Ltd. Дата публикации: 2021-03-10.

Display apparatus, and drive control method for display device

Номер патента: US20120327055A1. Автор: Masaki Nose. Владелец: Fujitsu Ltd. Дата публикации: 2012-12-27.

Display Apparatus and Sensing Method for Compensation

Номер патента: US20240221658A1. Автор: Junyoung Lee,Heeye Oh,SangGoo KWON. Владелец: LG Display Co Ltd. Дата публикации: 2024-07-04.

Display device comprising a display panel and a driver-circuit

Номер патента: WO2004093041A3. Автор: Fransiscus J Vossen. Владелец: Fransiscus J Vossen. Дата публикации: 2005-01-20.

Display apparatus and method for operating the same

Номер патента: US20240153464A1. Автор: Sanghun Yoon,Kyeongtaek MIN. Владелец: LG Display Co Ltd. Дата публикации: 2024-05-09.

Display device and method for operating the same

Номер патента: US20240221651A1. Автор: Chan Park,Sojeong Kim,Nakyoon KIM. Владелец: LG Display Co Ltd. Дата публикации: 2024-07-04.

Testing apparatus and testing method for display panel

Номер патента: US20200035135A1. Автор: Meihong Wang,Peiqian TONG. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2020-01-30.

Shift Register Circuit, Method for Driving the Same, Gate Drive Circuit, and Display Panel

Номер патента: US20200020291A1. Автор: HUANG Weiyun. Владелец: . Дата публикации: 2020-01-16.

Display apparatus having an initial period with multiple refreshes and method for operating the same

Номер патента: US11908421B2. Автор: Sanghun Yoon,Kyeongtaek MIN. Владелец: LG Display Co Ltd. Дата публикации: 2024-02-20.

Pixel drive apparatus, light emitting apparatus, and drive control method for the light emitting apparatus

Номер патента: US20100328297A1. Автор: Satoru Shimoda. Владелец: Casio Computer Co Ltd. Дата публикации: 2010-12-30.

Driving method for driving organic light emitting diode display panel

Номер патента: US12073797B1. Автор: Yen-Tao Liao,Ching-Hua Liu. Владелец: NOVATEK MICROELECTRONICS CORP. Дата публикации: 2024-08-27.

Method for reverse-gamma compensation of plasma display panel

Номер патента: WO2010074408A3. Автор: Young Jun Lee,Su Sam Choi,Yoon Jeong Lee. Владелец: Orion Pdp Co., Ltd. Дата публикации: 2010-08-19.

Method for reverse-gamma compensation of plasma display panel

Номер патента: WO2010074408A2. Автор: Young Jun Lee,Su Sam Choi,Yoon Jeong Lee. Владелец: Orion Pdp Co., Ltd. Дата публикации: 2010-07-01.

Plasma display apparatus and image processing method thereof

Номер патента: US7609283B2. Автор: Dae Jin Myoung,Jun Hak Lee. Владелец: LG ELECTRONICS INC. Дата публикации: 2009-10-27.

Display brightness control apparatus and electronic device

Номер патента: US11715443B2. Автор: Kongning QU. Владелец: Chipone Technology Beijing Co Ltd. Дата публикации: 2023-08-01.

Plasma Display Panel and Method and Apparatus for Driving the same

Номер патента: KR100553933B1. Автор: 김정훈. Владелец: 엘지전자 주식회사. Дата публикации: 2006-02-24.

Display brightness control apparatus and electronic device

Номер патента: EP4071748A1. Автор: Kongning QU. Владелец: Chipone Technology Beijing Co Ltd. Дата публикации: 2022-10-12.

Display brightness control apparatus and electronic device

Номер патента: US20220301520A1. Автор: Kongning QU. Владелец: Chipone Technology Beijing Co Ltd. Дата публикации: 2022-09-22.

Method and circuit for driving three-electrodes surface discharge plasma display panel

Номер патента: KR100260944B1. Автор: 문성학. Владелец: 엘지전자주식회사. Дата публикации: 2000-07-01.

SYSTEM AND METHOD FOR DRIVING THREE-COLOR AND FOUR-COLOR PIXEL DISPLAY PANEL

Номер патента: US20200258440A1. Автор: Chen Wei. Владелец: . Дата публикации: 2020-08-13.

Display panel and method for adjusting brightness thereof, and display apparatus

Номер патента: US11972731B2. Автор: Ling Wang,Cuili Gai,Lei MI. Владелец: Hefei Visionox Technology Co Ltd. Дата публикации: 2024-04-30.

Display panel and method for adjusting brightness thereof, and display apparatus

Номер патента: US20230335059A1. Автор: Ling Wang,Cuili Gai,Lei MI. Владелец: Hefei Visionox Technology Co Ltd. Дата публикации: 2023-10-19.

Liquid crystal display panel and method and apparatus for driving the same

Номер патента: KR100950517B1. Автор: 손현호. Владелец: 엘지디스플레이 주식회사. Дата публикации: 2010-03-30.

Display apparatus, and method and apparatus for driving the same

Номер патента: CN101097700A. Автор: 田炳吉,李浚表,金宇哲. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-01-02.

Method for correcting uniformity of panel

Номер патента: US20200312214A1. Автор: Wei-Hsing Huang,Sheng-Yao Cheng,Yu-Chen Tai. Владелец: Pegatron Corp. Дата публикации: 2020-10-01.

Method for correcting uniformity of panel

Номер патента: US10916175B2. Автор: Wei-Hsing Huang,Sheng-Yao Cheng,Yu-Chen Tai. Владелец: Pegatron Corp. Дата публикации: 2021-02-09.

Display panel, methodfor driving the same and display device

Номер патента: US11721261B2. Автор: Chao Dai,Huijun Jin,Xigang Liu,Benshun ZHONG. Владелец: Wuhan Tianma Microelectronics Co Ltd. Дата публикации: 2023-08-08.

System and method for variable area-based compensation of burn-in in display panels

Номер патента: US12020666B2. Автор: Takashi Nose,Hirobumi Furihata,Kazutoshi Aogaki. Владелец: Synaptics Inc. Дата публикации: 2024-06-25.

System and method for variable area-based compensation of burn-in in display panels

Номер патента: US20240312436A1. Автор: Takashi Nose,Hirobumi Furihata,Kazutoshi Aogaki. Владелец: Synaptics Inc. Дата публикации: 2024-09-19.

Display panels and methods and apparatus for driving the same

Номер патента: US20080068362A1. Автор: Brent McKay. Владелец: eMine Tech Inc. Дата публикации: 2008-03-20.

Display panels and methods and apparatus for driving the same

Номер патента: US20040125097A1. Автор: Brent McKay. Владелец: Individual. Дата публикации: 2004-07-01.

Touch display apparatus and test driving method for the same

Номер патента: US11836310B2. Автор: Cheng-Yen Yeh,Mu-Kai KANG,Sz-Kai HUANG,Jing-Xuan CHEN. Владелец: Hannstar Display Corp. Дата публикации: 2023-12-05.

Training device and method for learning to drive a means of transport

Номер патента: US20090081620A1. Автор: Raffaele Antonio Caltabiano. Владелец: T and T TELEMATICA E TRASPORTI Srl. Дата публикации: 2009-03-26.

Training device and method for learning to drive a means of transport

Номер патента: EP2043072A3. Автор: Raffaele Antonio Caltabiano. Владелец: T&T Telematica E Trasporti Srl. Дата публикации: 2009-07-08.

Apparatus and method for controlling backlight

Номер патента: US7995027B2. Автор: Jion-Iou Hong. Владелец: NOVATEK MICROELECTRONICS CORP. Дата публикации: 2011-08-09.

Driving Circuit of Display Panel

Номер патента: US20210074219A1. Автор: Chia-Hung Chien,I-Chen Lin,Chih-Te Hung,Chun-Chi Yeh. Владелец: SITRONIX TECHNOLOGY CORP. Дата публикации: 2021-03-11.

Display panel, display apparatus, and preparation method for display panel

Номер патента: EP4152398A1. Автор: Yufeng DAI,Shuang QU,Jinyu SHAO. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-03-22.

Display apparatus and display control method

Номер патента: US11830446B2. Автор: Yang Yang,Jie Gao,Xuebin Sun. Владелец: Hisense Visual Technology Co Ltd. Дата публикации: 2023-11-28.

Display apparatus and method of manufacturing the same

Номер патента: US20240155925A1. Автор: Juhyun Lee,Hyuneok Shin,Joonyong Park. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-05-09.

Electronic apparatus and notification displaying method for electronic apparatus

Номер патента: EP3335410A1. Автор: Yong-gook Park,Kyung-ho JEONG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-06-20.

Display device and backlight control method for display device

Номер патента: US20240127765A1. Автор: Yi-Cheng Chang,Yu-Ming Wu. Владелец: Carux Technology Pte Ltd. Дата публикации: 2024-04-18.

DISPLAY PANEL, AND METHOD AND DEVICE FOR DRIVING DISPLAY PANEL

Номер патента: US20210158775A1. Автор: HUANG Beizhou. Владелец: . Дата публикации: 2021-05-27.

Method for measuring thin film transistor array of active matrix display panel

Номер патента: US20060103411A1. Автор: Takashi Miyamoto. Владелец: AGILENT TECHNOLOGIES INC. Дата публикации: 2006-05-18.

CONTROL APPARATUS AND CONTROL METHOD FOR CONTROLLING DISPLAY APPARATUS HAVING LIGHT-EMITTING MODULE AND DISPLAY PANEL

Номер патента: US20210097941A1. Автор: Tada Mitsuru,Sasaki Masatsugu. Владелец: . Дата публикации: 2021-04-01.

Display panel and driving method thereof

Номер патента: US20240046888A1. Автор: Xiong HU. Владелец: TCL China Star Optoelectronics Technology Co Ltd. Дата публикации: 2024-02-08.

PROTECTION CIRCUIT FOR PROTECTING LIGHT EMITTING ELEMENT, METHOD FOR DRIVING THE PROTECTION CIRCUIT, PIXEL UNIT AND DISPLAY PANEL

Номер патента: US20210090496A1. Автор: YUE Han,MAI Hsuanwei. Владелец: . Дата публикации: 2021-03-25.

PIXEL COMPENSATION CIRCUIT, METHOD FOR DRIVING THE SAME, ORGANIC LIGHT-EMITTING DIODE DISPLAY PANEL, AND DISPLAY DEVICE

Номер патента: US20190130836A1. Автор: Zhang Xiaoping,WANG Xin,Liu Ying. Владелец: . Дата публикации: 2019-05-02.

PIXEL CIRCUIT, METHOD FOR DRIVING THE SAME, ORGANIC LIGHT-EMITTING DIODE DISPLAY PANEL, AND DISPLAY DEVICE

Номер патента: US20160274692A1. Автор: Yang Shengji. Владелец: . Дата публикации: 2016-09-22.

PIXEL CIRCUIT, METHOD FOR DRIVING PIXEL CIRCUIT, ORGANIC LIGHT-EMITTING DIODE DISPLAY PANEL, AND DISPLAY DEVICE

Номер патента: US20160291743A1. Автор: Dong Xue,Wang Haisheng,Yang Shengji. Владелец: . Дата публикации: 2016-10-06.

Method of driving a liquid crystal matrix display panel

Номер патента: US4845473A. Автор: Makoto Takeda,Kunihiko Yamamoto,Hiroshi Take,Nobuaki Matsuhashi. Владелец: Sharp Corp. Дата публикации: 1989-07-04.

Energy control circuit and method of SMPS (switching mode power supply) for PDP (plasma display panel)

Номер патента: US20040051385A1. Автор: Yoo-Jin Song. Владелец: Samsung SDI Co Ltd. Дата публикации: 2004-03-18.

Shift register and method and device for driving same

Номер патента: EP3913609A1. Автор: WU Wang,Jingpeng ZHAO,Yajie BAI,Xiaoyuan Wang,Zhuo Xu,Ruilin Bi. Владелец: Chongqing BOE Optoelectronics Technology Co Ltd. Дата публикации: 2021-11-24.

Digatal to Analog Converter for Driving Apparatus of Organic Light Emitting Diode Display Panel

Номер патента: KR100690061B1. Автор: 김재흥,신홍재,곽계달. Владелец: 하나 마이크론(주). Дата публикации: 2007-03-08.

Display apparatus and process method for display apparatus

Номер патента: US20240056645A1. Автор: Jie Dong,Haibo Zhang,Dongdong Liu. Владелец: Hisense Visual Technology Co Ltd. Дата публикации: 2024-02-15.

Display apparatus and process method for display apparatus

Номер патента: US11962865B2. Автор: Jie Dong,Haibo Zhang,Dongdong Liu. Владелец: Hisense Visual Technology Co Ltd. Дата публикации: 2024-04-16.

Liquid crystal display panel

Номер патента: US20160246144A1. Автор: Bing Han,Zuomin Liao. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2016-08-25.

Display apparatus and manufacturing method for the same

Номер патента: US20200286869A1. Автор: Chih-Chieh Su,Yi-Cheng Kuo,Meng-Wei Lin. Владелец: Qisda Corp. Дата публикации: 2020-09-10.

Stage apparatus and control method for stage apparatus

Номер патента: US8294835B2. Автор: Kuniyoshi Ichikawa. Владелец: Next One Create Ltd. Дата публикации: 2012-10-23.

Display apparatus and vehicle including the same

Номер патента: US20240056712A1. Автор: Seulki Nam,Jeonggoo Kang,Sunbok Song,Seunghyeon Kim,Taehyung Kim. Владелец: LG Display Co Ltd. Дата публикации: 2024-02-15.

Display apparatus and vehicle including the same

Номер патента: US11871169B2. Автор: Seulki Nam,Jeonggoo Kang,Sunbok Song,Seunghyeon Kim,Taehyung Kim. Владелец: LG Display Co Ltd. Дата публикации: 2024-01-09.

Dustproof Device, Display Apparatus and Dustproof Method

Номер патента: US20210185833A1. Автор: Lei Cao,Yan Ren,Zifeng Wang. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2021-06-17.

Dustproof device, display apparatus and dustproof method

Номер патента: US11212924B2. Автор: Lei Cao,Yan Ren,Zifeng Wang. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2021-12-28.

Display device with protective anti-glare layers and method for manufacturing the same

Номер патента: US10884165B2. Автор: Huizhong ZHU. Владелец: Beijing BOE Special Display Technology Co Ltd. Дата публикации: 2021-01-05.

Apparatus and an improved method for processing of digital information

Номер патента: WO1981000160A1. Автор: B Rothaar,T Stockham. Владелец: Soundstream. Дата публикации: 1981-01-22.

Method for patching up thin-film transistor circuits on a display panel by local thin-film deposition

Номер патента: US20050142669A1. Автор: Yi-Shen Chen,Liang-Hsing Fan. Владелец: AU OPTRONICS CORP. Дата публикации: 2005-06-30.

Method for patching up thin-film transistor circuits on a display panel by local thin-film deposition

Номер патента: US20050003588A1. Автор: Yi-Shen Chen,Liang-Hsing Fan. Владелец: AU OPTRONICS CORP. Дата публикации: 2005-01-06.

Method for patching up thin-film transistor circuits on a display panel by local thin-film deposition

Номер патента: US20070117283A1. Автор: Yi-Shen Chen,Liang-Hsing Fan. Владелец: AU OPTRONICS CORP. Дата публикации: 2007-05-24.

Electronic apparatus and antenna setting method for electronic apparatus

Номер патента: US20180152214A1. Автор: Lin Wang,Hongyi Zhang,Peijie ZHAO,Degang Zhao. Владелец: Goertek Inc. Дата публикации: 2018-05-31.

Information processing apparatus and information processing method for accurately estimating a self location

Номер патента: US11508084B2. Автор: Mitsuru Nishibe. Владелец: Sony Corp. Дата публикации: 2022-11-22.

Knock control apparatus and knock control method for internal combustion engine

Номер патента: US20040084022A1. Автор: Kenichi Kinose. Владелец: Individual. Дата публикации: 2004-05-06.

Misfire detection apparatus and misfire detection method for an internal combustion engine

Номер патента: US9243978B2. Автор: Shuichi Wada. Владелец: Mitsubishi Electric Corp. Дата публикации: 2016-01-26.

Memory apparatus and data rearrangement method for computing in memory

Номер патента: US12045493B2. Автор: Kai-Chiang Wu,Shu-Ming Liu,Wen Li Tang. Владелец: Skymizer Taiwan Inc. Дата публикации: 2024-07-23.

Apparatus, and an associated method, for forming a traffic route visualization

Номер патента: WO2009017847A1. Автор: Shi Yuhui,Kas Kasravi. Владелец: Hewlett-Packard Development Company, L.P.. Дата публикации: 2009-02-05.

Thz measuring apparatus and thz measuring method for detecting impurities in measured objects

Номер патента: CA3111034A1. Автор: Ralph Klose. Владелец: Inoex GmbH. Дата публикации: 2020-03-26.

Thz measuring apparatus and thz measuring method for detecting impurities in measured objects

Номер патента: CA3111034C. Автор: Ralph Klose. Владелец: Inoex GmbH. Дата публикации: 2024-04-16.

Storage control apparatus and failure recovery method for storage control apparatus

Номер патента: US20110078495A1. Автор: Naoki Higashijima,Ikuya Yagisawa. Владелец: HITACHI LTD. Дата публикации: 2011-03-31.

Image forming apparatus and sheet conveying method for the image forming apparatus

Номер патента: US20100310261A1. Автор: Toshihiro Matsushima. Владелец: Toshiba TEC Corp. Дата публикации: 2010-12-09.

Control apparatus and recovery processing method for control apparatus

Номер патента: US20190057002A1. Автор: Masuo Ito. Владелец: Mitsubishi Electric Corp. Дата публикации: 2019-02-21.

Information detection apparatus and information detection method for recording media

Номер патента: CA2206956C. Автор: Tsutomu Momose. Владелец: Seiko Epson Corp. Дата публикации: 2000-12-19.

Electronic apparatus and image processing method for image edge enhancement

Номер патента: US10586313B2. Автор: Wen-Tsung Huang,Ching-Ju Hsiao. Владелец: Realtek Semiconductor Corp. Дата публикации: 2020-03-10.

Electronic apparatus and image processing method for image edge enhancement

Номер патента: US20190130549A1. Автор: Wen-Tsung Huang,Ching-Ju Hsiao. Владелец: Realtek Semiconductor Corp. Дата публикации: 2019-05-02.

Data processing apparatus and data processing method for internet of things system

Номер патента: GB2578487A. Автор: LAI Ying-Hsun,Hsiao Yu-Cheng. Владелец: INSTITUTE FOR INFORMATION INDUSTRY. Дата публикации: 2020-05-13.

Display apparatus and signal transmission method for display apparatus

Номер патента: US12015821B2. Автор: ZHEN Wang,Yuanyuan Huang,Guilan Wang,Huaipei LU. Владелец: Vidaa USA Inc. Дата публикации: 2024-06-18.

Angle controlling apparatus and angle controlling method for electronic device

Номер патента: EP4390535A1. Автор: Giseok SUNG,Sanghoon EUM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-26.

Data processing apparatus and data processing method for foldable device

Номер патента: WO2021204574A1. Автор: Chang Xu. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2021-10-14.

Image forming apparatus, and memory control method for image forming apparatus

Номер патента: US20020097318A1. Автор: Isamu Sato. Владелец: Individual. Дата публикации: 2002-07-25.

Misfire detection apparatus and misfire detection method for an internal combustion engine

Номер патента: US20150075508A1. Автор: Shuichi Wada. Владелец: Mitsubishi Electric Corp. Дата публикации: 2015-03-19.

Memory apparatus and data rearrangement method for computing in memory

Номер патента: US20240028245A1. Автор: Kai-Chiang Wu,Shu-Ming Liu,Wen Li Tang. Владелец: Skymizer Taiwan Inc. Дата публикации: 2024-01-25.

Recording medium recording program, information processing apparatus, and information processing method for transcription

Номер патента: US11798558B2. Автор: Satoru Sankoda. Владелец: Fujitsu Ltd. Дата публикации: 2023-10-24.

Apparatus for treating laundry and method for operating the same

Номер патента: US11203829B2. Автор: Sang Hyun Lee,Bon Kwon Koo,Seung Chul Cha,Hyun Ji Park. Владелец: LG ELECTRONICS INC. Дата публикации: 2021-12-21.

Storage apparatus and method for accessing a storage apparatus

Номер патента: US7853763B2. Автор: Wei-Yi Hsiao,Chun-Kun Lee,Chien-Kuan Lee. Владелец: Silicon Motion Inc. Дата публикации: 2010-12-14.

Display apparatus and displaying method thereof

Номер патента: US20170168329A1. Автор: Li-Wen Chang,Ching-Hsiang Li. Владелец: Young Lighting Technology Inc. Дата публикации: 2017-06-15.

Rapid freeze-quench device and methods of use thereof

Номер патента: US20140227685A1. Автор: Royi Kaufmann,Daniella Goldfarb. Владелец: Yeda Research and Development Co Ltd. Дата публикации: 2014-08-14.

Apparatus and method for deforming a specimen and thereby determine the strain rate

Номер патента: WO1992015857A1. Автор: Hugo Stanley Ferguson. Владелец: Duffers Scientific, Inc.. Дата публикации: 1992-09-17.

Appartus and method for printing on media and detecting information magnetically recorded on the media

Номер патента: US20010001471A1. Автор: Tsutomu Momose. Владелец: Seiko Epson Corp. Дата публикации: 2001-05-24.

Apparatus and method for performing scalable hierarchical motion estimation

Номер патента: EP1042734A1. Автор: Song Xudong,Tihao Chiang,Ya-Qin Zhang,Ravi Krishnamurthy. Владелец: Sarnoff Corp. Дата публикации: 2000-10-11.

Information processing apparatus, image forming apparatus, and job processing method for the same

Номер патента: US20120121287A1. Автор: Toshiyuki Nakazawa. Владелец: Canon Inc. Дата публикации: 2012-05-17.

Information processing apparatus, image forming apparatus, and job processing method for the same

Номер патента: US8478155B2. Автор: Toshiyuki Nakazawa. Владелец: Canon Inc. Дата публикации: 2013-07-02.

Display device and method for controlling the same

Номер патента: US20230176685A1. Автор: PING Luo,BING ZHANG,Xuan Luo,Zhongjie Wang,Mengyue FAN. Владелец: Chengdu BOE Optoelectronics Technology Co Ltd. Дата публикации: 2023-06-08.

Imaging forming apparatus and control method for the same

Номер патента: US20080266587A1. Автор: Takuro Mita. Владелец: Canon Inc. Дата публикации: 2008-10-30.

Image forming apparatus and control method for the same

Номер патента: EP1462861A3. Автор: Takuro Mita. Владелец: Canon Inc. Дата публикации: 2011-10-05.

Force feedback control apparatus and control method for same

Номер патента: US20240231496A9. Автор: Keehoon Kim. Владелец: POSTECH Research and Business Development Foundation. Дата публикации: 2024-07-11.

Force feedback control apparatus and control method for same

Номер патента: US20240134458A1. Автор: Keehoon Kim. Владелец: POSTECH Research and Business Development Foundation. Дата публикации: 2024-04-25.

Print apparatus and memory managing method for print apparatus

Номер патента: US20180217796A1. Автор: Satoshi Kotaka. Владелец: Seiko Epson Corp. Дата публикации: 2018-08-02.

Information processing apparatus, image forming apparatus, and job processing method for the same

Номер патента: US20100098450A1. Автор: Toshiyuki Nakazawa. Владелец: Canon Inc. Дата публикации: 2010-04-22.

Information processing apparatus, image forming apparatus, and job processing method for the same

Номер патента: US8126350B2. Автор: Toshiyuki Nakazawa. Владелец: Canon Inc. Дата публикации: 2012-02-28.

Method for evaluating performance of plasma wave transistor

Номер патента: US20170010317A1. Автор: Sung-Ho Kim,Kyung Rok Kim,Jong Yul PARK. Владелец: UNIST Academy Industry Research Corp. Дата публикации: 2017-01-12.

Method for evaluating performance of plasma wave transistor

Номер патента: US9869711B2. Автор: Sung-Ho Kim,Kyung Rok Kim,Jong Yul PARK. Владелец: UNIST Academy Industry Research Corp. Дата публикации: 2018-01-16.

Information processing apparatus and information processing method for displaying status of a printer in an operating system

Номер патента: US9087144B2. Автор: Hideyuki Kanamori. Владелец: Canon Inc. Дата публикации: 2015-07-21.

Computer apparatus and control method for optical disk drive thereof

Номер патента: US20150089672A1. Автор: QIAN Ma,wei-wei Liu. Владелец: Wistron Corp. Дата публикации: 2015-03-26.

Computer apparatus and control method for optical disk drive thereof

Номер патента: US9111112B2. Автор: QIAN Ma,wei-wei Liu. Владелец: Wistron Corp. Дата публикации: 2015-08-18.

Controlling Apparatus and Controlling Method for Controlling a Pre-Charge Activity on a SRAM Array

Номер патента: US20090273997A1. Автор: Ming-Cheng Chiu,Meng-Wei Shen. Владелец: Himax Technologies Ltd. Дата публикации: 2009-11-05.

Angle controlling apparatus and angle controlling method for electronic device

Номер патента: US20240218959A1. Автор: Giseok SUNG,Sanghoon EUM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-04.

Keyboard apparatus and detection method for status of keys thereof

Номер патента: US20170168589A1. Автор: Chia-Yuan Chou,An-Chi Tsai. Владелец: ITE Tech Inc. Дата публикации: 2017-06-15.

Advertisement processing apparatus and advertisement processing method for advertisement processing apparatus

Номер патента: US20210035550A1. Автор: Tomonori Ikumi. Владелец: Toshiba TEC Corp. Дата публикации: 2021-02-04.

Keyboard apparatus and detection method for status of keys thereof

Номер патента: US10289211B2. Автор: Chia-Yuan Chou,An-Chi Tsai. Владелец: ITE Tech Inc. Дата публикации: 2019-05-14.

Apparatus, and associated method, for facilitating distribution of recorded content

Номер патента: US20050138141A1. Автор: David Edmondson,Mark Hill. Владелец: Individual. Дата публикации: 2005-06-23.

Display apparatus and method for manufacturing same

Номер патента: US20240015275A1. Автор: FANG CHENG,Jian Gao,Sen Ma,Yanliu Sun,Chengfu XU,Qingxun ZHANG. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2024-01-11.

Touch Display Panel and Method for Driving the Same

Номер патента: US20160291711A1. Автор: Huijun Jin. Владелец: Shanghai AVIC Optoelectronics Co Ltd. Дата публикации: 2016-10-06.

Image forming apparatus and image forming method for determining a transfer voltage value in a transfer section thereof

Номер патента: US20160274502A1. Автор: Kentaro Honda. Владелец: Oki Data Corp. Дата публикации: 2016-09-22.

Abnormality detection apparatus and abnormality detection method for rankine cycle system

Номер патента: EP2663761A1. Автор: Yoshiyuki Yamashita. Владелец: Toyota Motor Corp. Дата публикации: 2013-11-20.

Abnormality detection apparatus and abnormality detection method for rankine cycle system

Номер патента: US20130319097A1. Автор: Yoshiyuki Yamashita. Владелец: Toyota Motor Corp. Дата публикации: 2013-12-05.

Abnormality detection apparatus and abnormality detection method for rankine cycle system

Номер патента: WO2012110893A1. Автор: Yoshiyuki Yamashita. Владелец: TOYOTA JIDOSHA KABUSHIKI KAISHA. Дата публикации: 2012-08-23.

Electronic apparatus and layout method for integrated circuit

Номер патента: US20200125692A1. Автор: Chien-Chin Huang,Shih-Min Tseng. Владелец: Winbond Electronics Corp. Дата публикации: 2020-04-23.

Apparatus, and associated method, for dynamically pricing content responsive to quantitative demand indica

Номер патента: WO2005060511A3. Автор: David J Edmondson,Mark C Hill. Владелец: Radioshack Corp. Дата публикации: 2006-03-09.

Image processing system, image processing apparatus, and image processing method for combining CG image with captured image

Номер патента: US9355497B2. Автор: Yuichi Yamakawa. Владелец: Canon Inc. Дата публикации: 2016-05-31.

Article recognition apparatus and image processing method for article recognition apparatus

Номер патента: US20170316277A1. Автор: Masaaki Yasunaga,Tetsuya Nobuoka. Владелец: Toshiba TEC Corp. Дата публикации: 2017-11-02.

Malfunction diagnostic apparatus and malfunction diagnostic method for intake air temperature sensors

Номер патента: EP2326819A1. Автор: Toyokazu Nakashima,Kohei Kowata. Владелец: Toyota Motor Corp. Дата публикации: 2011-06-01.

Machine shop tool and associated method for determining the deviation of dimensions between objects

Номер патента: US5074054A. Автор: Steven Bower. Владелец: Individual. Дата публикации: 1991-12-24.

Information processing apparatus and information processing method for recommending application programs

Номер патента: US8392282B2. Автор: Hideo Nagasaka,Tadaaki Kimijima. Владелец: Sony Corp. Дата публикации: 2013-03-05.

Information processing apparatus and information processing method for recommending application programs

Номер патента: US20130139163A1. Автор: Hideo Nagasaka,Tadaaki Kimijima. Владелец: Sony Corp. Дата публикации: 2013-05-30.

Image processing apparatus and image processing method for combining images

Номер патента: US12100123B2. Автор: Takayuki Suzuki,Yoichi Takahashi. Владелец: Canon Inc. Дата публикации: 2024-09-24.

Image processing apparatus and virus check method for the same

Номер патента: US20240028718A1. Автор: Yohichi Kimura. Владелец: Sharp Corp. Дата публикации: 2024-01-25.

Apparatus, and associated method, for altering the resolution of a digital image

Номер патента: US20030142886A1. Автор: Justin Ridge,Stephane Coulombe. Владелец: Nokia Oyj. Дата публикации: 2003-07-31.

Malfunction diagnosis apparatus and malfunction diagnosis method for wireless power transmission system

Номер патента: US20240027541A1. Автор: Kazuyuki Kato. Владелец: Denso Corp. Дата публикации: 2024-01-25.

Analysis apparatus and analysis method

Номер патента: WO1997047975A1. Автор: Hans Svankjaer Jacobsen. Владелец: Danfoss A/S. Дата публикации: 1997-12-18.

Multiple beam inspection apparatus and sensitivity correction method for multi-detector

Номер патента: US20190214221A1. Автор: Koichi Ishii,Atsushi Ando. Владелец: Nuflare Technology Inc. Дата публикации: 2019-07-11.

Recording apparatus and data processing method for recording apparatus

Номер патента: US20110298856A1. Автор: Nobuhiro Ishizaka. Владелец: Canon Inc. Дата публикации: 2011-12-08.

Recording apparatus and data processing method for recording apparatus

Номер патента: US20060203073A1. Автор: Nobuhiro Ishizaka. Владелец: Canon Inc. Дата публикации: 2006-09-14.

Apparatus, and associated method, for allocating processing amongst data centers

Номер патента: EP2263152A1. Автор: Alexander Cameron. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2010-12-22.

Method for identifying a solvent for a matrikine

Номер патента: EP4396827A1. Автор: Paul James Tomlinson. Владелец: Boots Co PLC. Дата публикации: 2024-07-10.

Image forming apparatus and image forming method for correcting registration deviation

Номер патента: US8520260B2. Автор: Hirokazu Tamura. Владелец: Canon Inc. Дата публикации: 2013-08-27.

Fixing device, image forming apparatus, and temperature control method for fixing device

Номер патента: US8526836B2. Автор: Toshiaki Kagawa,Tetsunori Mitsuoka. Владелец: Sharp Corp. Дата публикации: 2013-09-03.

Image forming apparatus and image forming method for processing different fixing temperatures of different toners

Номер патента: US10423102B2. Автор: Hiroshi Katakura. Владелец: Toshiba TEC Corp. Дата публикации: 2019-09-24.

Information processing apparatus and information processing method for executing print processing using hot folder

Номер патента: US20150193176A1. Автор: Hidekazu Morooka. Владелец: Canon Inc. Дата публикации: 2015-07-09.

Spatial transmit/receive isolation method for optical communication systems

Номер патента: WO2006083353A2. Автор: Kiet D Ngo,David P Dixon,Steven B Schrier. Владелец: NORTHROP GRUMMAN CORPORATION. Дата публикации: 2006-08-10.

Spatial transmit/receive isolation method for optical communication systems

Номер патента: EP1828826A2. Автор: Kiet D Ngo,David P Dixon,Steven B Schrier. Владелец: Northrop Grumman Corp. Дата публикации: 2007-09-05.

Spatial transmit/receive isolation method for optical communication systems

Номер патента: CA2587312A1. Автор: Kiet D. NGO,David P. Dixon,Steven B. Schrier. Владелец: Individual. Дата публикации: 2006-08-10.

Spatial transmit/receive isolation method for optical communication systems

Номер патента: WO2006083353A3. Автор: Kiet D Ngo,David P Dixon,Steven B Schrier. Владелец: NORTHROP GRUMMAN CORPORATION. Дата публикации: 2009-12-17.

Phase change random access memory apparatus and write control method for the same

Номер патента: US20110075474A1. Автор: Dong Keun Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-03-31.

Apparatus and computer-implemented method for fingerprint based authentication

Номер патента: EP3317814A1. Автор: Eric Setterberg,Kenneth Jonsson,David Tingdahl. Владелец: Fingerprint Cards AB. Дата публикации: 2018-05-09.

Phase change random access memory apparatus and write control method for the same

Номер патента: US8130540B2. Автор: Dong Keun Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-03-06.

Liquid Crystal Display Panel, Array Substrate And Manufacturing Method For The Same

Номер патента: US20170235198A1. Автор: Meng Wang. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2017-08-17.

Apparatus and method for monitoring a discharge in a plasma process

Номер патента: CN105637611A. Автор: U·里希特,D·莱波尔德,F·文恩. Владелец: Huettinger Elektronik GmbH and Co KG. Дата публикации: 2016-06-01.

Apparatus and method for monitoring a discharge in a plasma process

Номер патента: DE102013110883B3. Автор: Ulrich Richter,Daniel Leypold,Fabian Wunn. Владелец: Trumpf Huettinger GmbH and Co KG. Дата публикации: 2015-01-15.

Display panel and method for producing the same and display apparatus

Номер патента: US20190064571A1. Автор: HUI Wang,Jia Liu,Yuanhui Guo,Jinming Zhu. Владелец: Hefei BOE Optoelectronics Technology Co Ltd. Дата публикации: 2019-02-28.

Image processing apparatus and image processing method for determining data output based on prompts

Номер патента: US11973915B2. Автор: Tatsuya Watabe. Владелец: Sharp Corp. Дата публикации: 2024-04-30.

Image reading apparatus and image reading method for effectively performing shading compensation

Номер патента: US20090294701A1. Автор: Yukio Yoshikawa,Takashi Ebisawa. Владелец: Riso Kagaku Corp. Дата публикации: 2009-12-03.

Failure detection apparatus and failure detection method for exhaust gas sensor

Номер патента: US20070045112A1. Автор: Kenji Tashiro. Владелец: Individual. Дата публикации: 2007-03-01.

Display device and method for controlling the same

Номер патента: US11893180B2. Автор: PING Luo,BING ZHANG,Xuan Luo,Zhongjie Wang,Mengyue FAN. Владелец: Chengdu BOE Optoelectronics Technology Co Ltd. Дата публикации: 2024-02-06.

Liquid crystal display panel and method for manufacturing the same

Номер патента: US20150124183A1. Автор: Kang-Min Kim,Yoon Kyung Park,Seung Jun JEONG,Jin Oh Song,Chun Ki Choi. Владелец: Samsung Display Co Ltd. Дата публикации: 2015-05-07.

Image projecting apparatus and image projecting method for use in the same

Номер патента: TW200907549A. Автор: Akira Nakamura,Akihiro Kojima,Katsuhisa Ito,Yutaka Sugawara,Izushi Kobayashi. Владелец: Sony Corp. Дата публикации: 2009-02-16.

Malfunction diagnostic apparatus and malfunction diagnostic method for intake air temperature sensors

Номер патента: EP2326819B1. Автор: Toyokazu Nakashima,Kohei Kowata. Владелец: Toyota Motor Corp. Дата публикации: 2012-10-31.

The recording/reproducing apparatus and rotation control method for recording medium

Номер патента: TW333642B. Автор: Takashi Oomori,Eiji Takokoro. Владелец: Sony Co Ltd. Дата публикации: 1998-06-11.

Image processing apparatus and image processing method for faithful reconstruction from a tone-converted image

Номер патента: US8451340B2. Автор: Kei Tamagawa. Владелец: Canon Inc. Дата публикации: 2013-05-28.

TOUCH DISPLAY PANEL AND METHOD AND DEVICE FOR DRIVING THE SAME

Номер патента: US20170052637A1. Автор: ZHANG Yuanbo,LU Jianing. Владелец: BOE Technology Group Co., Ltd.. Дата публикации: 2017-02-23.

Apparatus and method for measuring deposits inside a tube

Номер патента: CA2766462C. Автор: Richard Lakhan,Brian Lepine,Joseph Renaud,Laurie Davey. Владелец: Atomic Energy of Canada Ltd AECL. Дата публикации: 2018-09-11.

Production line detection apparatus and method

Номер патента: WO2008025063A1. Автор: Anthony John Maher,Lachlan John Maher,Cameron Anthony Maher. Владелец: Detection Systems Pty Ltd. Дата публикации: 2008-03-06.

Apparatus and method for measuring deposits inside a tube

Номер патента: CA3015451C. Автор: Richard Lakhan,Brian Lepine,Joseph Renaud,Laurie Davey. Владелец: Atomic Energy of Canada Ltd AECL. Дата публикации: 2021-02-02.

Electronic apparatus and method for summarizing content thereof

Номер патента: US20210082038A1. Автор: Ji-hyun RHO,Ji-Yeon Lee,Won-Ho Ryu. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-03-18.

Display panel, method for preparing the same and wearable device

Номер патента: US20190131566A1. Автор: LIANGLIANG Jiang,Lei Guo,Yongjun Yoon. Владелец: Hefei Xinsheng Optoelectronics Technology Co Ltd. Дата публикации: 2019-05-02.

Apparatus and method for warehouse zoning

Номер патента: US11269338B2. Автор: Akash Gupta,Wolfgang Kurt HOELTGEN,Samay KOHLI,Gaurav KEJRIWAL,Naveen Boppana,Sagar BOSE,Rupesh Agarwal. Владелец: Grey Orange Pte Ltd. Дата публикации: 2022-03-08.

Display device and method for manufacturing same

Номер патента: US11815707B2. Автор: BING ZHANG,Puyu QI. Владелец: Chengdu BOE Optoelectronics Technology Co Ltd. Дата публикации: 2023-11-14.

Apparatus and method foe warehouse zoning

Номер патента: EP3625739A1. Автор: Akash Gupta,Wolfgang Kurt HOELTGEN,Samay KOHLI,Gaurav KEJRIWAL,Naveen Boppana,Sagar BOSE,Rupesh Agarwal. Владелец: Grey Orange Pte Ltd. Дата публикации: 2020-03-25.

Apparatus and method for warehouse zoning

Номер патента: US20200301432A1. Автор: Akash Gupta,Samay KOHLI,Gaurav KEJRIWAL,Wolfgang Hoeltgen,Naveen Boppana,Sagar BOSE,Rupesh Agarwal. Владелец: Grey Orange Pte Ltd. Дата публикации: 2020-09-24.

Storage Apparatus and Method for Accessing a Storage Apparatus

Номер патента: US20080177927A1. Автор: Wei-Yi Hsiao,Chun-Kun Lee,Chien-Kuan Lee. Владелец: Silicon Motion Inc. Дата публикации: 2008-07-24.

Display panel, method for manufacturing the same and display device

Номер патента: US20210063824A1. Автор: Bin Wang,Cuicui LIANG. Владелец: Chengdu BOE Optoelectronics Technology Co Ltd. Дата публикации: 2021-03-04.

Display panel, method for manufacturing the same and display device

Номер патента: US11119354B2. Автор: Bin Wang,Cuicui LIANG. Владелец: Chengdu BOE Optoelectronics Technology Co Ltd. Дата публикации: 2021-09-14.

Apparatus and method for generating RFZC signal for optical systems

Номер патента: US20040114489A1. Автор: Yi-Lin Lai,Sung-Hung Li. Владелец: Via Technologies Inc. Дата публикации: 2004-06-17.

Devices and methods for investigating the magnetic properties of objects

Номер патента: US20040046551A1. Автор: Jürgen Schützmann,Ulrich Schanda. Владелец: Individual. Дата публикации: 2004-03-11.

Apparatus and method for cleaning containers

Номер патента: US11850638B2. Автор: Claude Marchand. Владелец: AMFOMED AG. Дата публикации: 2023-12-26.

Apparatus and method for testing semiconductor integrated circuit

Номер патента: US6628137B2. Автор: Shinji Yamada,Teruhiko Funakura,Hisaya Mori. Владелец: Renesas Semiconductor Engineering Corp. Дата публикации: 2003-09-30.

Display Panel, Method For Fabricating The Same And Display Device

Номер патента: US20180341140A1. Автор: Peng Li,Yang Zhang,Yi Wang. Владелец: Hefei Xinsheng Optoelectronics Technology Co Ltd. Дата публикации: 2018-11-29.

Testing apparatus and method for testing a sensor, sensor system

Номер патента: US20230296470A1. Автор: Juergen Mueller,Dominik Messner. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2023-09-21.

Display substrate, method for manufacturing display substrate, and liquid crystal display panel

Номер патента: US11892724B2. Автор: Tao Yang,Yajun Li. Владелец: Hefei BOE Display Lighting Co Ltd. Дата публикации: 2024-02-06.

Image processing apparatus and image processing method for use in the image processing apparatus

Номер патента: US5706816A. Автор: Takashi Mochizuki,Mutsuhiro Akahane. Владелец: Aloka Co Ltd. Дата публикации: 1998-01-13.

Ultrasound diagnostic apparatus and control method for ultrasound diagnostic apparatus

Номер патента: US20230314581A1. Автор: Koji Shimomura. Владелец: Fujifilm Corp. Дата публикации: 2023-10-05.

Ultrasound diagnostic apparatus and control method for ultrasound diagnostic apparatus

Номер патента: US20230285007A1. Автор: Katsuya Yamamoto,Hiroshi Murakami. Владелец: Fujifilm Corp. Дата публикации: 2023-09-14.

Ultrasound diagnostic apparatus and control method for ultrasound diagnostic apparatus

Номер патента: EP4245225A1. Автор: Katsuya Yamamoto,Hiroshi Murakami. Владелец: Fujifilm Corp. Дата публикации: 2023-09-20.

Storage apparatus and program update method

Номер патента: US20130246706A1. Автор: Yusuke Matsumura,Yukiyoshi Takamura,Tomohisa Ogasawara,Ryoma Ishizaka. Владелец: HITACHI LTD. Дата публикации: 2013-09-19.

Hierarchical motion estimation apparatus and method using variable block sizes

Номер патента: EP1042735A1. Автор: Song Xudong,Tihao Chiang,Ya-Qin Zhang,Ravi Krishnamurthy. Владелец: Sarnoff Corp. Дата публикации: 2000-10-11.

Hierarchical motion estimation apparatus and method using variable block sizes

Номер патента: WO1999034330A1. Автор: Song Xudong,Tihao Chiang,Ya-Qin Zhang,Ravi Krishnamurthy. Владелец: Sarnoff Corporation. Дата публикации: 1999-07-08.

Automatic Pressure Supply Apparatus and Automatic Pressure Supply System for Driving Vane

Номер патента: KR102035151B1. Автор: 최종열. Владелец: 엘아이지넥스원 주식회사. Дата публикации: 2019-10-22.

System and method for achieving fast switching of analog voltages on a large capacitive load

Номер патента: EP1374243B1. Автор: Shahzad Khalid,Geoffrey S. Gongwer. Владелец: SanDisk Corp. Дата публикации: 2008-02-13.

Fan control apparatus and fan control method for computer equipment

Номер патента: US10253780B2. Автор: Hideo Iwama. Владелец: NEC Platforms Ltd. Дата публикации: 2019-04-09.

System and method for achieving fast switching of analog voltages on a large capacitive load

Номер патента: AU2002248733A1. Автор: Shahzad Khalid,Geoffrey S. Gongwer. Владелец: SanDisk Corp. Дата публикации: 2002-10-15.

Ultrasound diagnostic apparatus and control method for ultrasound diagnostic apparatus

Номер патента: US20230181155A1. Автор: Tsuyoshi Matsumoto. Владелец: Fujifilm Corp. Дата публикации: 2023-06-15.

Anti-freezing radar apparatus and anti-freezing method for radar apparatus

Номер патента: EP4239369A1. Автор: Kazumasa Matsushita,Masato Sakamoto,Jumpei NAGAUCHI. Владелец: Furuno Electric Co Ltd. Дата публикации: 2023-09-06.

System and method for achieving fast switching of analog voltages on a large capacitive load

Номер патента: WO2002080178A3. Автор: Shahzad Khalid,Geoffrey S Gongwer. Владелец: SanDisk Corp. Дата публикации: 2003-01-09.

Collision avoidance apparatus and collision avoidance method for autonomous vehicle

Номер патента: US20240045024A1. Автор: Kazuma Mishima. Владелец: Omron Corp. Дата публикации: 2024-02-08.

System and method for achieving fast switching of analog voltages on a large capacitive load

Номер патента: WO2002080178A2. Автор: Shahzad Khalid,Geoffrey S. Gongwer. Владелец: SanDisk Corporation. Дата публикации: 2002-10-10.

Radiation imaging apparatus and calibration method for photon counting detector

Номер патента: US20210212646A1. Автор: Kazuma Yokoi. Владелец: HITACHI LTD. Дата публикации: 2021-07-15.

Control apparatus and control method

Номер патента: US20230128796A1. Автор: Kazunori Yamamoto,Mari IKENAGA,Kotoko YAMAGUCHI. Владелец: Sony Group Corp. Дата публикации: 2023-04-27.

Apparatuses and computer-implemented methods for middle frame image processing

Номер патента: EP3823264A1. Автор: Chen Feng,Tao Xian,Jeffrey Dean Harper,Gennady Germaine. Владелец: HAND HELD PRODUCTS INC. Дата публикации: 2021-05-19.

Apparatuses and computer-implemented methods for middle frame image processing

Номер патента: EP4181518A1. Автор: Chen Feng,Tao Xian,Jeffrey Dean Harper,Gennady Germaine. Владелец: HAND HELD PRODUCTS INC. Дата публикации: 2023-05-17.

Fan control apparatus and fan control method for computer equipment

Номер патента: US20170276140A1. Автор: Hideo Iwama. Владелец: NEC Platforms Ltd. Дата публикации: 2017-09-28.

Electronic apparatus and cooling control apparatus and cooling control method for the same

Номер патента: US20180228059A1. Автор: Akira Matsuo,Hitoshi Suzuki. Владелец: Fujitsu Ltd. Дата публикации: 2018-08-09.

Scanner, scanning apparatus and scanning method for a shelf

Номер патента: EP2808831A1. Автор: Chulwoo JUN. Владелец: Hanmi IT Co Ltd. Дата публикации: 2014-12-03.

Flying spot forming apparatus and design method

Номер патента: MY179518A. Автор: Zheng Liu,Shaofeng Wang,Yanfeng Cao,Yanhua Wang. Владелец: Powerscan Co ltd. Дата публикации: 2020-11-09.

Temperature control apparatus, electrophotographic apparatus, and temperature control method for heating element

Номер патента: US8213818B2. Автор: Shinya Mori. Владелец: Toshiba TEC Corp. Дата публикации: 2012-07-03.

Volume measuring apparatus and volume measuring method for box

Номер патента: US11270454B2. Автор: Shu-Ying Huang,Kuo-Chun WANG. Владелец: Champtek Inc. Дата публикации: 2022-03-08.

Testing apparatus and testing method for lcd

Номер патента: US20110068816A1. Автор: Sangjig LEE,Young Man KWON. Владелец: Beijing BOE Optoelectronics Technology Co Ltd. Дата публикации: 2011-03-24.

Serial interface flash memory apparatus and writing method for status register thereof

Номер патента: US20140032816A1. Автор: Yung-Chen Lin,Ya-Chun Chang. Владелец: Winbond Electronics Corp. Дата публикации: 2014-01-30.

Image processing apparatus, method for controlling the same, and storage medium

Номер патента: US20180041660A1. Автор: Hitoshi Kakuta. Владелец: Canon Inc. Дата публикации: 2018-02-08.

Apparatus and corresponding method for weighing containers

Номер патента: EP1941249A1. Автор: Gabriele Gabusi,Claudio Trebbi,Claudio Bechini. Владелец: IMA Industria Macchine Automatiche SpA. Дата публикации: 2008-07-09.

Image forming apparatus and data saving method for an image forming apparatus

Номер патента: US8319981B2. Автор: Toyoaki Oku. Владелец: Kyocera Document Solutions Inc. Дата публикации: 2012-11-27.

Imaging apparatus and focus control method for the imaging apparatus

Номер патента: US20110091191A1. Автор: Koji Inoue. Владелец: Canon Inc. Дата публикации: 2011-04-21.

Image forming apparatus and data saving method for an image forming apparatus

Номер патента: US20090237711A1. Автор: Toyoaki Oku. Владелец: Kyocera Mita Corp. Дата публикации: 2009-09-24.

Hot water apparatus and failure notification method for hot water apparatus

Номер патента: US20160003486A1. Автор: Hirokazu Minamisako,Kei Takeyama. Владелец: Mitsubishi Electric Corp. Дата публикации: 2016-01-07.

Volume measuring apparatus and volume measuring method for a box

Номер патента: EP3832256A1. Автор: Shu-Ying Huang,Kuo-Chun WANG. Владелец: Champtek Inc. Дата публикации: 2021-06-09.

Volume measuring apparatus and volume measuring method for box

Номер патента: US20210166413A1. Автор: Shu-Ying Huang,Kuo-Chun WANG. Владелец: Champtek Inc. Дата публикации: 2021-06-03.

Apparatus and data processing method for transactional memory

Номер патента: US20210271485A1. Автор: Matthew James HORSNELL,Richard Roy Grisenthwaite. Владелец: ARM LTD. Дата публикации: 2021-09-02.

Apparatus and data processing method for transactional memory

Номер патента: WO2020109749A1. Автор: Matthew James HORSNELL,Richard Roy Grisenthwaite. Владелец: ARM LIMITED. Дата публикации: 2020-06-04.

Information processing apparatus and information processing method for detecting a state change of a imaging apparatus

Номер патента: US11983892B2. Автор: Yasufumi Takama. Владелец: Canon Inc. Дата публикации: 2024-05-14.

Image forming apparatus and user registration method for image forming apparatus

Номер патента: US20230388433A1. Автор: Harunobu Mori. Владелец: Sharp Corp. Дата публикации: 2023-11-30.

Malfunction determination apparatus for cooling apparatus and malfunction determination method for cooling apparatus

Номер патента: US8479569B2. Автор: Hitoki Sugimoto. Владелец: Toyota Motor Corp. Дата публикации: 2013-07-09.

X-ray apparatus and relevant operating method for the analysis of nonferrous metals

Номер патента: WO2023180895A1. Автор: Danilo Pacella,Federica CAUSA. Владелец: Diamatex S.R.L.. Дата публикации: 2023-09-28.

Data processing apparatus and data processing method for executing processing using neural network

Номер патента: US11853864B2. Автор: Masami Kato,Tsewei Chen,Motoki Yoshinaga. Владелец: Canon Inc. Дата публикации: 2023-12-26.

Image processing apparatus and image processing method for decoding raw image data encoded with lossy encoding scheme

Номер патента: US11508036B2. Автор: Shigeki Mochizuki. Владелец: Canon Inc. Дата публикации: 2022-11-22.

Quantum dot device, display apparatus, and manufacturing method for quantum dot device

Номер патента: US20240032319A1. Автор: Haowei Wang. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2024-01-25.

Vehicular apparatus, and time synchronization method for vehicular apparatus

Номер патента: US20210289328A1. Автор: Jiaqing YAN. Владелец: Denso Corp. Дата публикации: 2021-09-16.

Image processing apparatus and image processing method for inspecting presence/absence of a defect in a print product

Номер патента: US11706363B2. Автор: Hiroki Watabe. Владелец: Canon Inc. Дата публикации: 2023-07-18.

Image processing apparatus and image processing method for finding background regions in an image

Номер патента: US9691155B2. Автор: Kotaro Yano,Hiroshi Tojo,Tuan Quang Pham. Владелец: Canon Inc. Дата публикации: 2017-06-27.

Imaging capturing apparatus and image reconstruction method for reducing a blind spot

Номер патента: WO2017221007A1. Автор: Gareth Edwards. Владелец: Observant Technology Limited. Дата публикации: 2017-12-28.

Manipulation apparatus and manipulation method

Номер патента: US20210388299A1. Автор: Osamu Takei,Hajime Mogi,Fumihiro Sassa. Владелец: PMT Corp. Дата публикации: 2021-12-16.

Image Forming Apparatus and Abnormality Determination Method for Such an Apparatus

Номер патента: US20080085131A1. Автор: Koji Kitazawa,Shinichi Kamoshida. Владелец: Seiko Epson Corp. Дата публикации: 2008-04-10.

NMR apparatus and gas replacement method for replacing gas in NMR probe

Номер патента: US11914009B2. Автор: Hiroki Takahashi,Toshimichi Fujiwara,Yoh Matsuki,Yuki Endo. Владелец: Jeol Ltd. Дата публикации: 2024-02-27.

Electronic apparatus, image forming apparatus, and connection confirmation method for connectors

Номер патента: US8290380B2. Автор: Jun Nishimura,Mizue Kadobayashi. Владелец: Kyocera Mita Corp. Дата публикации: 2012-10-16.

Apparatus and data processing method for transactional memory

Номер патента: GB2579246A. Автор: Roy Grisenthwaite Richard,James Horsnell Matthew. Владелец: Advanced Risc Machines Ltd. Дата публикации: 2020-06-17.

Printing apparatus and printing method

Номер патента: US20170313051A1. Автор: Kenichi Kodama. Владелец: Fujifilm Corp. Дата публикации: 2017-11-02.

Nmr apparatus and gas replacement method for replacing gas in nmr probe

Номер патента: EP4134691A1. Автор: Hiroki Takahashi,Toshimichi Fujiwara,Yoh Matsuki,Yuki Endo. Владелец: Jeol Ltd. Дата публикации: 2023-02-15.

Image forming apparatus and image forming method for adjusting heat applied during the fixing stage

Номер патента: US7548706B2. Автор: Yoshikazu Yamamoto. Владелец: Fuji Xerox Co Ltd. Дата публикации: 2009-06-16.

Electronic apparatus, image forming apparatus, and connection confirmation method for connectors

Номер патента: US20110020015A1. Автор: Jun Nishimura,Mizue Kadobayashi. Владелец: Kyocera Mita Corp. Дата публикации: 2011-01-27.

Deterioration evaluation apparatus and deterioration evaluation method for secondary battery

Номер патента: US11772632B2. Автор: Yoshiya Yamashita. Владелец: Toyota Motor Corp. Дата публикации: 2023-10-03.

System and method for managing power supplied to a plasma chamber

Номер патента: WO2008033968A3. Автор: Josh Pankratz. Владелец: Josh Pankratz. Дата публикации: 2008-11-06.

System and method for managing power supplied to a plasma chamber

Номер патента: EP2062278A2. Автор: Josh Pankratz. Владелец: Advanced Energy Industries Inc. Дата публикации: 2009-05-27.

Drive control apparatus and drive control method for vibration wave driving apparatus

Номер патента: WO2011093060A1. Автор: Kiyoshi Nitto. Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2011-08-04.

Image acquiring apparatus and image acquiring method for detecting and recognizing size of scan target without using sensors

Номер патента: US8228569B2. Автор: Hsing-Lu Chen. Владелец: Avision Inc. Дата публикации: 2012-07-24.

Mobile terminal testing apparatus and cell use method for use in the same

Номер патента: US20160277949A1. Автор: Satoshi Wakasa,Keiichiro Samejima,Takayuki Koguchi. Владелец: Anritsu Corp. Дата публикации: 2016-09-22.

Apparatus and method for improved assembly concentricity in a plasma arc torch

Номер патента: CA2270164C. Автор: Richard W. Couch, Jr.,Jennifer L. Freeman,Zhipeng Lu. Владелец: Hypertherm Inc. Дата публикации: 2002-03-05.

Braking Control Apparatus and Braking Control Method For Electric Vehicle

Номер патента: US20080093916A1. Автор: Hideto Negoro. Владелец: Mitsubishi Electric Corp. Дата публикации: 2008-04-24.

Driving apparatus and a driving method for a single phase motor

Номер патента: US20080074066A1. Автор: Yi-Chen Chen,Yi-Chen Lin,Juh-Gua Shiau,Ying-Chen Hsiao. Владелец: Individual. Дата публикации: 2008-03-27.

Apparatus, and an associated method, for communicating text data in a radio communication system

Номер патента: EP1592270A1. Автор: Ian Melhuish. Владелец: Research in Motion Ltd. Дата публикации: 2005-11-02.

Apparatus, and an associated method, for communicating text data in a radio communication system

Номер патента: CA2505228A1. Автор: Ian Melhuish. Владелец: Research in Motion Ltd. Дата публикации: 2005-10-26.

Apparatus, and an associated method, for communicating text data in a radio communication system

Номер патента: US20050237980A1. Автор: Ian Melhuish. Владелец: Individual. Дата публикации: 2005-10-27.

Mixing/extruding apparatus and start-up method for mixing/extruding apparatus

Номер патента: US20130016580A1. Автор: Takeshi Hatanaka,Shin Hotani,Tatsuto NAGAOKA. Владелец: Kobe Steel Ltd. Дата публикации: 2013-01-17.

Communication system, terminating apparatus, and pon virtualization method for use therein

Номер патента: SG146529A1. Автор: Ogushi Sadaichiro. Владелец: NEC Corp. Дата публикации: 2008-10-30.

Assembly for a plasma arc torch and method of operation of the assembly for a plasma arc torch

Номер патента: US20230363077A1. Автор: Tomas KUSAK,Zdenek LAPCIK. Владелец: Thermacut Ks. Дата публикации: 2023-11-09.

ADSL modem apparatus and re-initialization method for ADSL modem apparatus

Номер патента: US7295600B2. Автор: Tatsuo Imai,Nobuhiko Noma,Keiichi Tomita. Владелец: Panasonic Communications Co Ltd. Дата публикации: 2007-11-13.

Method for making glass substrate for display, glass substrate and display panel

Номер патента: US20130306995A1. Автор: Young Tae Park. Владелец: Avanstrate Inc. Дата публикации: 2013-11-21.

Device and method for producing and/or confining a plasma

Номер патента: US20100109532A1. Автор: Jacques Pelletier,Ana Lacoste,Stephane Bechu. Владелец: Individual. Дата публикации: 2010-05-06.

Method for preparing a powder in a plasma arc and device for carrying out said method

Номер патента: US5723027A. Автор: Bernard Serole. Владелец: WC Heraus GmbH and Co KG. Дата публикации: 1998-03-03.

System and method for dual threshold sensing in a plasma arc torch

Номер патента: WO2000028795A1. Автор: Jon W. Lindsay,Dennis M. Borowy,Tianting Ren. Владелец: Hypertherm, Inc.. Дата публикации: 2000-05-18.

Method for tool matching and troubleshooting a plasma processing system

Номер патента: IL167674A. Автор: . Владелец: Lam Res Corp. Дата публикации: 2009-06-15.

Method for prolonging life time of a plasma etching chamber

Номер патента: US6069088A. Автор: Wen-Pin Hsieh,Wen-Peng Chiang. Владелец: Mosel Vitelic Inc. Дата публикации: 2000-05-30.

Image recording apparatus and image recording method for the image recording apparatus image

Номер патента: US20080018923A1. Автор: Masahiro Nakanishi,Kenichi Okawa. Владелец: Olympus Corp. Дата публикации: 2008-01-24.

Method for making glass substrate for display, glass substrate and display panel

Номер патента: US20140370241A1. Автор: Young Tae Park. Владелец: Avanstrate Inc. Дата публикации: 2014-12-18.

Braking apparatus and braking control method for vehicle

Номер патента: US11014439B2. Автор: Jae Hoon Jung,Soung Jun PARK. Владелец: Hyundai Mobis Co Ltd. Дата публикации: 2021-05-25.

Braking apparatus and braking control method for vehicle

Номер патента: US20190299768A1. Автор: Jae Hoon Jung,Soung Jun PARK. Владелец: Hyundai Mobis Co Ltd. Дата публикации: 2019-10-03.

Image reading apparatus and a control method for an image reading apparatus

Номер патента: US20050168523A1. Автор: Yuji Takiguchi. Владелец: Seiko Epson Corp. Дата публикации: 2005-08-04.

Clothes treating apparatus and the control method for the same

Номер патента: US11821131B2. Автор: HAN Su Jung,Kwang Sik Kim,Ha Min Song. Владелец: LG ELECTRONICS INC. Дата публикации: 2023-11-21.

Apparatus, and an associated method, for providing wlan service in a fixed wireless access communication system

Номер патента: EP1364485A2. Автор: Paul F. Struhsaker. Владелец: Raze Technologies Inc. Дата публикации: 2003-11-26.

Apparatus and an associated method, for paging a mobile station operable in a radio communication system

Номер патента: EP1507430A3. Автор: Timucin Ozugur,Behcet Sarikaya. Владелец: Alcatel SA. Дата публикации: 2006-11-08.

Apparatuses and wireless communication methods for data transfer

Номер патента: WO2024072878A1. Автор: Tricci So. Владелец: Iinnopeak Technology, Inc.. Дата публикации: 2024-04-04.

Apparatus and the associated methods for calibrating a wavefront sensor

Номер патента: EP1455639A1. Автор: Ronald J. Martino. Владелец: Bausch and Lomb Inc. Дата публикации: 2004-09-15.

Apparatus, and an associated method, for facilitating synchronization in a wireless mesh network

Номер патента: EP1456973A1. Автор: Mika Kasslin,Jori Arrakoski,David A. Beyer. Владелец: Nokia Oyj. Дата публикации: 2004-09-15.

Method, apparatus, and system to provide multi-pulse waveforms with meniscus control for droplet ejection

Номер патента: US20150049136A1. Автор: Christoph Menzel. Владелец: Fujifilm Dimatix Inc. Дата публикации: 2015-02-19.

Method, apparatus, and system to provide multi-pulse waveforms with meniscus control for droplet ejection

Номер патента: EP3033234A1. Автор: Christoph Menzel. Владелец: Fujifilm Dimatix Inc. Дата публикации: 2016-06-22.

Plasma display panel and method for manufacturing the same

Номер патента: US20070228960A1. Автор: Ji Hoon Sohn. Владелец: LG ELECTRONICS INC. Дата публикации: 2007-10-04.

Reactor for liquid and gas and method of use

Номер патента: EP3818014A1. Автор: Walter Riley Buchanan,Grant William Forsee. Владелец: Ion Inject Technology Llc. Дата публикации: 2021-05-12.

Quenching apparatus and quenching method for metal sheet, and method for manufacturing steel sheet

Номер патента: US20240301524A1. Автор: Soshi Yoshimoto. Владелец: JFE Steel Corp. Дата публикации: 2024-09-12.

Apparatus and method for processing foodstuffs with liquid

Номер патента: WO2005053413A1. Автор: Henricus Johannes Josephus Van De Wijgert. Владелец: Stork Townsend B.V.. Дата публикации: 2005-06-16.

Apparatus and method for marking objects on different faces

Номер патента: US20210122168A1. Автор: Maurizio Cucchi. Владелец: Hbm2 Ltd. Дата публикации: 2021-04-29.

Apparatus and method for processing foodstuffs with liquid

Номер патента: EP1740054A1. Автор: Henricus Johannes Josephus Van De Wijgert. Владелец: Stork Townsend BV. Дата публикации: 2007-01-10.

Apparatus and method for processing foodstuffs with liquid

Номер патента: CA2543822A1. Автор: Henricus Johannes Josephus Van De Wijgert. Владелец: Individual. Дата публикации: 2005-06-16.

Vaporization apparatus and method for controlling the same

Номер патента: EP2519656A2. Автор: Jong Ha Lee,Kyung Bum Lee,Sung Jae Jung,Doo Won Gong,Whang Sin Cho,Seung Cheol Seo. Владелец: SNU PRECISION CO LTD. Дата публикации: 2012-11-07.

Fiber laser apparatus, image display apparatus and method of exciting up-conversion fiber laser apparatus

Номер патента: US20040156402A1. Автор: Kiyoyuki Kawai,Masaki Tsuchida. Владелец: Toshiba Corp. Дата публикации: 2004-08-12.

X-ray reference object, x-ray detector, additive manufacturing apparatus and method for calibrating the same

Номер патента: US20220143709A1. Автор: Christian Ekberg. Владелец: ARCAM AB. Дата публикации: 2022-05-12.

Apparatus and method for adjusting density distribution of a plasma

Номер патента: HK1033292A1. Автор: Wayne L Johnson. Владелец: Tokyo Electron Ltd. Дата публикации: 2001-08-24.

Digital video recording apparatus and editing method for recorded broadcast programs

Номер патента: US20060159422A1. Автор: Dae Lim. Владелец: LG ELECTRONICS INC. Дата публикации: 2006-07-20.

Apparatus and method for forming and quickly wrapping a bale in a bale forming chamber

Номер патента: EP3244722A1. Автор: Willem Jacobus Reijersen Van Buuren. Владелец: Forage Innovations BV. Дата публикации: 2017-11-22.

Liquid ejection device, cleaning apparatus and cleaning method for module substrate

Номер патента: US20200047506A1. Автор: Noriyasu Hasegawa,Akiko Iimura,Hisashi Namba,Masahiro Kuri. Владелец: Canon Inc. Дата публикации: 2020-02-13.

Apparatus and method for providing operative power to powerline-network device

Номер патента: WO2010056407A1. Автор: Peter Griffin,Dwayne Campbell. Владелец: RADIOSHACK CORPORATION. Дата публикации: 2010-05-20.

Apparatus and method for providing operative power to powerline-network device

Номер патента: US20100124882A1. Автор: Peter Griffin,Dwayne Campbell. Владелец: Radioshack Corp. Дата публикации: 2010-05-20.

Apparatus and method for measurement of biological structures

Номер патента: WO2002030276A8. Автор: Peter Smith,Christopher Hillier,David Bunton,Paul Coats. Владелец: Court Of Glasgow Caledonian Un. Дата публикации: 2002-08-08.

Apparatus and method for measurement of biological structures

Номер патента: EP1322218A1. Автор: Peter Smith,Christopher Hillier,David Bunton,Paul Coats. Владелец: Court Of Glasgow Caledonian Un. Дата публикации: 2003-07-02.

Apparatus and method for measurement of biological structures

Номер патента: AU2001293971B2. Автор: Peter Smith,Christopher Hillier,David Bunton,Paul Coats. Владелец: Biopta Ltd. Дата публикации: 2005-11-17.

Apparatus and method for measurement of biological structures

Номер патента: EP1322218B1. Автор: Peter Smith,Christopher Hillier,David Bunton,Paul Coats. Владелец: Court Of Glasgow Caledonian Un. Дата публикации: 2005-05-11.

Apparatus and method for selectably operating radio device in alternate operating mode

Номер патента: EP1192757A1. Автор: Juha Ala-Laurila,Harri Hansén. Владелец: Nokia Oyj. Дата публикации: 2002-04-03.

Apparatus and method for selectably operating radio device in alternate operating mode

Номер патента: WO2001001633A1. Автор: Juha Ala-Laurila,Harri Hansén. Владелец: Nokia Inc.. Дата публикации: 2001-01-04.

Apparatus and method for securing data in computer storage

Номер патента: US20090141902A1. Автор: John A. Thywissen. Владелец: Electronic Data Systems LLC. Дата публикации: 2009-06-04.

Display device and method for manufacturing the same

Номер патента: US20240204148A1. Автор: Young Min Cho,Kyung Mok Lee,Hong Am KIM. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-06-20.

Plasma treated surgical needles and methods for their manufacture

Номер патента: WO2003028770A3. Автор: Mark S Roby. Владелец: Tyco Heathcare Group Lp. Дата публикации: 2003-12-24.

Plasma treated surgical needles and methods for their manufacture

Номер патента: EP1435846A4. Автор: Mark S Roby. Владелец: TYCO HEALTHCARE GROUP LP. Дата публикации: 2008-06-18.

Plasma treated surgical needles and methods for their manufacture

Номер патента: EP1435846A2. Автор: Mark S. Roby. Владелец: TYCO HEALTHCARE GROUP LP. Дата публикации: 2004-07-14.

Plasma treated surgical needles and methods for their manufacture

Номер патента: WO2003028770A2. Автор: Mark S. Roby. Владелец: Tyco Heathcare Group, Lp. Дата публикации: 2003-04-10.

Stacking apparatus and stacking method

Номер патента: US20240246781A1. Автор: Peter Knobloch,Rudolf Kuhn. Владелец: Autefa Solutions Germany GMBH. Дата публикации: 2024-07-25.

Testing apparatus and testing method for telephone apparatus

Номер патента: US20140072110A1. Автор: Hsin-Chun Lee,Pei-Lin Chen,Shou-Jung Chang,Chia-Chien Feng. Владелец: Wistron Corp. Дата публикации: 2014-03-13.

Terminal, heating apparatus and charging method for battery

Номер патента: US20170170673A1. Автор: Jialiang Zhang. Владелец: GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP LTD. Дата публикации: 2017-06-15.

Signal processing apparatus and parameter setting method for the apparatus

Номер патента: US20190342163A1. Автор: Kazuya YOSHIMI. Владелец: Denso Ten Ltd. Дата публикации: 2019-11-07.

Liquid discharge apparatus and discharge control method for liquid discharge apparatus

Номер патента: EP3827994A1. Автор: Kohtaroh IKEGAMI. Владелец: Ricoh Co Ltd. Дата публикации: 2021-06-02.

Plasma processing apparatus and plasma processing method

Номер патента: US20200227270A1. Автор: Masahito Mori,Hayato Watanabe,Takao Arase,Taku Iwase,Satoshi Terakura. Владелец: Hitachi High Tech Corp. Дата публикации: 2020-07-16.

Molding apparatus and molding method for overmolding a sheet

Номер патента: WO2018108253A1. Автор: Martin Kerschbaum,Julien TACHON. Владелец: TOYOTA MOTOR EUROPE. Дата публикации: 2018-06-21.

Molding apparatus and molding method for overmolding a sheet

Номер патента: US20190224894A1. Автор: Martin Kerschbaum,Julien TACHON. Владелец: Toyota Motor Europe NV SA. Дата публикации: 2019-07-25.

Light-emitting device, display apparatus, and manufacturing method for display apparatus

Номер патента: US20240260389A1. Автор: Guangru Li. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2024-08-01.

Node apparatus and packet communication method for communicating with a mobile terminal

Номер патента: US20050058119A1. Автор: Hidenori Inouchi,Takumi Ohishi. Владелец: Individual. Дата публикации: 2005-03-17.

Liquid ejecting apparatus and liquid supplying method for liquid ejecting apparatus

Номер патента: US20140292952A1. Автор: Masaki Uchiyama. Владелец: Seiko Epson Corp. Дата публикации: 2014-10-02.

Radio communication apparatus and traffic control method for extending drive-time of battery

Номер патента: US20070248031A1. Автор: Satoshi Konishi,Hajime Nakamura,Takeshi Kitahara. Владелец: KDDI Corp. Дата публикации: 2007-10-25.

Detecting apparatus and detecting method for physiological information

Номер патента: US20180192899A1. Автор: Chun-Te CHUANG,Tai-Jie Yun. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2018-07-12.

Communication apparatus and communication method

Номер патента: EP3876657A1. Автор: Yuichi Morioka,Kosuke Aio,Yuelin Ma. Владелец: Sony Group Corp. Дата публикации: 2021-09-08.

Apparatus, and associated method, for communication system utilizing space-generated multilevel coding

Номер патента: EP1488541A1. Автор: Adrian Boariu. Владелец: Nokia Oyj. Дата публикации: 2004-12-22.

Semiconductor manufacturing apparatus and film formation method for a semiconductor device

Номер патента: US20190229006A1. Автор: Hiroaki Tada. Владелец: Ablic Inc. Дата публикации: 2019-07-25.

Hydraulic control apparatus and hydraulic control method for automatic transmission

Номер патента: EP2122203A2. Автор: Masami Kondo,Tomohiro Kondo,Toshimitsu Sato. Владелец: Toyota Motor Corp. Дата публикации: 2009-11-25.

Apparatus, and associated method, for providing network selection management in a radio communication system

Номер патента: AU2007200552A1. Автор: Mark Pecen. Владелец: Research in Motion Ltd. Дата публикации: 2007-09-06.

Apparatus, and associated method, for providing network selection management in a radio communication system

Номер патента: CA2793724A1. Автор: Mark Pecen. Владелец: Research in Motion Ltd. Дата публикации: 2007-08-16.

Apparatus, and associated method, for providing network selection management in a radio communication system

Номер патента: SG155220A1. Автор: Mark Pecen. Владелец: Research in Motion Ltd. Дата публикации: 2009-09-30.

Apparatus, and associated method, for providing network selection management in a radio communication system

Номер патента: SG135109A1. Автор: Mark Pecen. Владелец: Research in Motion Ltd. Дата публикации: 2007-09-28.

Approximator apparatus, and associated method for approximating an n-dimensional euclidean norm

Номер патента: WO2005034502A3. Автор: Thomas J Kenney. Владелец: Nokia Inc. Дата публикации: 2005-11-10.

Approximator apparatus, and associated method for approximating an n-dimensional euclidean norm

Номер патента: WO2005034502A2. Автор: Thomas J. Kenney. Владелец: NOKIA, INC.. Дата публикации: 2005-04-14.

Approximator apparatus, and associated method, for approximating an N-dimensional euclidean norm

Номер патента: US20050069058A1. Автор: Thomas Kenney. Владелец: Nokia Oyj. Дата публикации: 2005-03-31.

Laser apparatus and power adjustment method for optical network terminal

Номер патента: EP4277050A1. Автор: Tianhai Chang,Guangcan Chen,Yuanbing Cheng. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-11-15.

Apparatus, and associated method, for estimating frequency offset of a data symbol communicated in a communication system

Номер патента: WO2004062222A1. Автор: Jan C. Olivier. Владелец: Nokia Inc.. Дата публикации: 2004-07-22.

Hydraulic control apparatus and hydraulic control method for automatic transmission

Номер патента: US7740557B2. Автор: Masami Kondo,Tomohiro Kondo,Toshimitsu Sato. Владелец: Toyota Motor Corp. Дата публикации: 2010-06-22.

Information processing apparatus and information processing method for creating workflow

Номер патента: US20180316808A1. Автор: Jun Nakawaki. Владелец: Canon Inc. Дата публикации: 2018-11-01.

Apparatus, and associated method, for estimating frequency offset of a data symbol communicated in a communication system

Номер патента: US20040203404A1. Автор: Jan Olivier. Владелец: Nokia Oyj. Дата публикации: 2004-10-14.

Apparatus, and associated method, for estimating frequency offset of a data symbol communicated in a communication system

Номер патента: EP1579645A1. Автор: Jan C. Olivier. Владелец: Nokia Inc. Дата публикации: 2005-09-28.

Apparatus, and associated method, for estimating frequency offset of a data symbol communicated in a communication system

Номер патента: WO2004062222A8. Автор: Jan C Olivier. Владелец: Jan C Olivier. Дата публикации: 2005-07-07.

Method for Manufacturing Barrier Ribs of A Plasma Display Panel

Номер патента: US20060246808A1. Автор: Yu-Ting Chien. Владелец: Chunghwa Picture Tubes Ltd. Дата публикации: 2006-11-02.

Approximator apparatus, and associated method for approximating an n-dimensional euclidean norm

Номер патента: EP1668773A2. Автор: Thomas J. Kenney. Владелец: Nokia Oyj. Дата публикации: 2006-06-14.

Piezoelectric devices and methods and circuits for driving same

Номер патента: WO2005098983A3. Автор: James Vogeley. Владелец: Par Technologies Llc. Дата публикации: 2006-10-12.

Liquid ejecting apparatus and liquid supply method for liquid ejecting apparatus

Номер патента: US20180244067A1. Автор: Tomoji Suzuki. Владелец: Seiko Epson Corp. Дата публикации: 2018-08-30.

Imaging apparatus and imaging method

Номер патента: US20170332011A1. Автор: Chisato Yoshida,Osamu Date. Владелец: Sony Corp. Дата публикации: 2017-11-16.

Imaging Apparatus And Imaging Method

Номер патента: US20190313015A1. Автор: Chisato Yoshida,Osamu Date. Владелец: Sony Corp. Дата публикации: 2019-10-10.

Control apparatus and control method for variable mechanism

Номер патента: EP2582930A1. Автор: Takashi Nakagawa,Shunsuke Yamamoto,Shunsuke Habara. Владелец: Toyota Motor Corp. Дата публикации: 2013-04-24.

Ink jet printing apparatus and ejection recovery method for printing head

Номер патента: US20040207683A1. Автор: Yoichi Sonobe. Владелец: Canon Finetech Inc. Дата публикации: 2004-10-21.

Communication apparatus and communication method

Номер патента: US20210195505A1. Автор: Shigeru Sugaya,Kosuke Aio. Владелец: Sony Corp. Дата публикации: 2021-06-24.

Piezoelectric devices and methods and circuits for driving same

Номер патента: WO2005098983A2. Автор: James Vogeley. Владелец: Par Technologies, Llc. Дата публикации: 2005-10-20.

Piezoelectric devices and methods and circuits for driving same

Номер патента: EP1741147A2. Автор: James Vogeley. Владелец: Par Technologies Llc. Дата публикации: 2007-01-10.

Apparatus, and associated method, for decoding convolutionally encoded data

Номер патента: US20090193320A1. Автор: David Furbeck. Владелец: Research in Motion Ltd. Дата публикации: 2009-07-30.

Apparatus, and associated method, for allocating channels in a radio communication system

Номер патента: WO2002033904A2. Автор: Arto Palin,Fujio Watanabe,Takako Sanda. Владелец: Nokia Inc.. Дата публикации: 2002-04-25.

Chemical conversion systems and methods

Номер патента: WO2023248165A1. Автор: Peter YANCEY. Владелец: Atmospheric Plasma Solutions Inc.. Дата публикации: 2023-12-28.

Display panel, method for fabricating the same, and display device

Номер патента: US20210134888A1. Автор: Xiang Feng,Xiao Sun,Sha Liu,Zhaokun YANG. Владелец: Beijing BOE Display Technology Co Ltd. Дата публикации: 2021-05-06.

Method and apparatus of coordinating operational feedback in a plasma cutter

Номер патента: EP1513378A3. Автор: Tim A. Matus. Владелец: ILLINOIS TOOL WORKS INC. Дата публикации: 2007-07-04.

System and method for managing power supplied to a plasma chamber

Номер патента: EP2062278B1. Автор: Josh Pankratz. Владелец: Advanced Energy Industries Inc. Дата публикации: 2016-11-09.

Generation method and analysis method for discovery message and device

Номер патента: US20240022893A1. Автор: Qianxi Lu,Boyuan ZHANG. Владелец: GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP LTD. Дата публикации: 2024-01-18.

Wireles gateway, and associated method, for a packet radio communication system

Номер патента: EP1506639A4. Автор: Yousuf Saifullah,Rene Purnadi. Владелец: Nokia Oyj. Дата публикации: 2005-11-23.

Wireless gateway, and associated method, for a packet radio communication system

Номер патента: EP1506639B1. Автор: Yousuf Saifullah,Rene Purnadi. Владелец: Nokia Oyj. Дата публикации: 2008-01-23.

Display panel, display device and manufacturing method for display panel

Номер патента: US20240057458A1. Автор: Paoming TSAI,Shuang DU. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2024-02-15.

Apparatus and method for controlling a slewing gear and crane

Номер патента: US11866304B2. Автор: Richard Torghele,Christof Gassner. Владелец: Liebherr Werk Nenzing GmbH. Дата публикации: 2024-01-09.

CVD apparatus and CVD method

Номер патента: US6089184A. Автор: Takeshi Kaizuka,Yumiko Kawano,Takashi Horiuchi,Masami Mizukami,Takashi Mochizuki,Hideaki Yamasaki. Владелец: Tokyo Electron Ltd. Дата публикации: 2000-07-18.

System for tunable workpiece biasing in a plasma reactor

Номер патента: WO2018144374A1. Автор: Philip Allan Kraus,Leonid Dorf,Travis Koh,Prabu GOPALRAJA. Владелец: Applied Materials, Inc.. Дата публикации: 2018-08-09.

Method for establishing a priority call in a fixed wireless access communication system

Номер патента: WO2002067614A2. Автор: Paul F. Struhsaker. Владелец: Raze Technologies, Inc.. Дата публикации: 2002-08-29.

System for tunable workpiece biasing in a plasma reactor

Номер патента: US20210134561A1. Автор: Philip Allan Kraus,Leonid Dorf,Travis Koh,Prabu GOPALRAJA. Владелец: Applied Materials Inc. Дата публикации: 2021-05-06.

Radio relay apparatus, and temperature control method for the same

Номер патента: US20200305074A1. Автор: Keiko Takizawa. Владелец: JVCKenwood Corp. Дата публикации: 2020-09-24.

Communication apparatus and sector selecting method for the communication apparatus

Номер патента: EP1492372B1. Автор: Kazuhiro Arimitsu. Владелец: Lenovo Innovations Ltd Hong Kong. Дата публикации: 2016-02-17.

Combustion control apparatus and combustion control method for engine

Номер патента: EP1048835A3. Автор: Jun c/ Toyota Jidosha K. K. Harada. Владелец: Toyota Motor Corp. Дата публикации: 2002-11-06.

Image reading apparatus and shading correcting method for image reading apparatus

Номер патента: US20180020117A1. Автор: Yoshiaki Watanabe,Tsuyoshi Yamauchi,Shigeru Kawasaki. Владелец: Canon Inc. Дата публикации: 2018-01-18.

Apparatus and method for treating process gases in a plasma excited by high frequency electromagnetic waves

Номер патента: DE102013215252A1. Автор: Stephan Schneider. Владелец: EEPLASMA GmbH. Дата публикации: 2015-02-05.

Thin film transistor substrate and liquid crystal display panel

Номер патента: US20070090361A1. Автор: Atsuyuki Hoshino. Владелец: Sharp Corp. Дата публикации: 2007-04-26.

Apparatus and method for reducing power consumption of a plasma fuel reformer

Номер патента: AU2003258980A1. Автор: Rudolf M. Smaling,Michael J. Daniel,Shawn D. Bauer. Владелец: Arvin Technologies Inc. Дата публикации: 2004-06-03.

Delay measurement apparatus and delay measurement method for network music session

Номер патента: US20140153728A1. Автор: Takahiro Hara. Владелец: Yamaha Corp. Дата публикации: 2014-06-05.

Method for monitoring plasma or flame-spray processes

Номер патента: US6797939B2. Автор: Stefan Schneiderbanger,Erwin Bayer,Jürgen Steinwandel,Jorg Hoschele. Владелец: DaimlerChrysler AG. Дата публикации: 2004-09-28.

Insulating film forming method for semiconductor device interconnection

Номер патента: US5275977A. Автор: Toru Otsubo,Yasuhiro Yamaguchi. Владелец: HITACHI LTD. Дата публикации: 1994-01-04.

Display Panel and Method for Manufacturing the Same, and Display Device

Номер патента: US20240049569A1. Автор: Pan Xu,Zhidong Yuan,Yongqian Li,Can Yuan. Владелец: Hefei BOE Joint Technology Co Ltd. Дата публикации: 2024-02-08.

Display panel and method for packaging the same, and display device

Номер патента: US20180076413A1. Автор: Yajun Li. Владелец: Hefei BOE Optoelectronics Technology Co Ltd. Дата публикации: 2018-03-15.

Display panel and method for manufacturing the same, and display device

Номер патента: US11963401B2. Автор: Zhiliang Jiang,Erjin ZHAO. Владелец: Chengdu BOE Optoelectronics Technology Co Ltd. Дата публикации: 2024-04-16.

Display Panel and Method for Manufacturing the Same, and Display Device

Номер патента: US20210217988A1. Автор: Li Song,Zhiliang Jiang,Shilong WANG. Владелец: Chengdu BOE Optoelectronics Technology Co Ltd. Дата публикации: 2021-07-15.

Laser apparatus and power adjustment method for optical network terminal

Номер патента: EP4277050A4. Автор: Tianhai Chang,Guangcan Chen,Yuanbing Cheng. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-07-10.

Apparatus, and an associated method, for communicating text data in a radio communication system

Номер патента: HK1082630A1. Автор: Ian Melhuish. Владелец: Research in Motion Ltd. Дата публикации: 2006-06-09.

Combustion control apparatus and combustion control method for engine

Номер патента: EP1048835B1. Автор: Jun c/ Toyota Jidosha K. K. Harada. Владелец: Toyota Motor Corp. Дата публикации: 2003-09-03.

An automated welding apparatus and computer-implemented method for filling a volume

Номер патента: GB201608727D0. Автор: . Владелец: Rolls Royce PLC. Дата публикации: 2016-06-29.

Systems and methods for deposition of molybdenum for source/drain contacts

Номер патента: US20230298902A1. Автор: Dong Li,Petri Raisanen,Eric James Shero,Jiyeon Kim. Владелец: ASM IP Holding BV. Дата публикации: 2023-09-21.

Communication system, terminating apparatus and pon virtualization method for use therein

Номер патента: IL189647A. Автор: . Владелец: NEC Corp. Дата публикации: 2013-07-31.

Communication system, terminating apparatus, and PON virtualization method for use therein

Номер патента: AU2008200993A1. Автор: Sadaichiro Ogushi. Владелец: NEC Corp. Дата публикации: 2008-10-02.

Group supervision apparatus and group supervision method for elevator system

Номер патента: HK45194A. Автор: Amano Masaaki. Владелец: Mitsubishi Electric Corp. Дата публикации: 1994-05-20.

SYSTEMS AND METHODS FOR PLASMA GAS VENTING IN A PLASMA ARC TORCH

Номер патента: US20170282279A1. Автор: Liebold Stephen M.,Currier Brian J.. Владелец: . Дата публикации: 2017-10-05.

Beverage dispensing apparatus and method for active pressure control thereof

Номер патента: CA3209495A1. Автор: Paul Fletcher,Stephen HOBDEN. Владелец: Lavazza Professional UK Ltd. Дата публикации: 2020-02-20.

Beverage dispensing apparatus and method for active pressure control thereof

Номер патента: CA3209401A1. Автор: Paul Fletcher,Stephen HOBDEN. Владелец: Lavazza Professional UK Ltd. Дата публикации: 2020-02-20.

Apparatus and method for marking objects on different faces

Номер патента: WO2019206681A1. Автор: Maurizio Cucchi. Владелец: HBM2 LIMITED. Дата публикации: 2019-10-31.

Beverage dispensing apparatus and method for active pressure control thereof

Номер патента: CA3109475C. Автор: Paul Fletcher,Stephen HOBDEN. Владелец: Lavazza Professional UK Ltd. Дата публикации: 2023-10-03.

Method for cleaning components of plasma processing apparatus

Номер патента: US20190218663A1. Автор: Ryuichi Asako,Takao FUNAKUBO. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-07-18.

Systems and Methods for Driving Light Emitting Diodes

Номер патента: US20150341995A1. Автор: Wei Lu,Stephen Leeboon Wong,Tri Le,William Mai. Владелец: MARVELL WORLD TRADE LTD. Дата публикации: 2015-11-26.

Apparatus and method for marking objects on different faces

Номер патента: AU2019260455A1. Автор: Maurizio Cucchi. Владелец: Hbm2 Ltd. Дата публикации: 2020-10-29.

Apparatus and method for coupling and driving a reel shaft

Номер патента: CA2508249A1. Автор: Alex Shafir,Vinny Cavaliere,Tim Dennison. Владелец: Individual. Дата публикации: 2004-06-17.

Deicing apparatus and method

Номер патента: CA1078026A. Автор: Bertram Magenheim. Владелец: System Development Corp. Дата публикации: 1980-05-20.

Apparatus and method for coupling and driving a reel shaft

Номер патента: CA2508249C. Автор: Alex Shafir,Vinny Cavaliere,Tim Dennison. Владелец: Sealed Air Corp. Дата публикации: 2009-08-25.

Apparatus and method for preparation of mosaic floor decorations

Номер патента: CA1286066C. Автор: Jin Young Choi,Gi Hun Yang,Seong Chan Park,Ho Jeon Park. Владелец: Lucky Ltd. Дата публикации: 1991-07-16.

Apparatus and method for handling process fluid

Номер патента: EP4308342A1. Автор: Joonas HEIKKINEN. Владелец: Spesnes Oy. Дата публикации: 2024-01-24.

Apparatus and method for separating condensable materials from an exhaust air stream

Номер патента: US20180272265A1. Автор: Ulrich Wiegard,Judith Ahrens. Владелец: SMS group GmbH. Дата публикации: 2018-09-27.

Apparatus and method for automated wafer carrier handling

Номер патента: US11915958B2. Автор: Jiun-Rong Pai,Cheng-Lung Wu,Cheng-Kang Hu,Ren-Hau WU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-27.

Apparatus and method for handling process fluid

Номер патента: WO2022195172A1. Автор: Joonas HEIKKINEN. Владелец: Spesnes Oy. Дата публикации: 2022-09-22.

Apparatus for and method of cleaning objects to be processed

Номер патента: US6045624A. Автор: Yuji Kamikawa,Kinya Ueno,Satoshi Nakashima. Владелец: Tokyo Electron Ltd. Дата публикации: 2000-04-04.

Method for avoiding an overload at the torch nozzle of a plasma torch

Номер патента: CA2977882A1. Автор: NI Cheng. Владелец: OERLIKON METCO AG. Дата публикации: 2016-09-15.

Flaw detection apparatus and method for tubes

Номер патента: US7707865B2. Автор: Kenichi Sasaki. Владелец: Sumitomo Metal Industries Ltd. Дата публикации: 2010-05-04.

Pile driving system and method

Номер патента: US6042304A. Автор: Gerald R. Manning. Владелец: Foundation Constructors Inc. Дата публикации: 2000-03-28.

Rf signal monitoring apparatus and system for monioring an arc event generated in a plasma process

Номер патента: KR102208819B1. Автор: 유임수. Владелец: 주식회사 더블유지에스. Дата публикации: 2021-01-28.

Apparatus and method for carrying out a vapour refrigeration process

Номер патента: AU2016302538B2. Автор: Joerg Nickl. Владелец: Bitzer Kuehlmaschinenbau GmbH and Co KG. Дата публикации: 2020-04-02.

Apparatus and method for carrying out a vapour refrigeration process

Номер патента: US20180149391A1. Автор: Joerg Nickl. Владелец: Bitzer Kuehlmaschinenbau GmbH and Co KG. Дата публикации: 2018-05-31.

Cleaning apparatus for semiconductor wafer and method of cleaning semiconductor wafer

Номер патента: US20230033913A1. Автор: Michihiko Tomita,Kazuhiro Ohkubo,Yuki NAKAO,Kaito NODA. Владелец: Sumco Corp. Дата публикации: 2023-02-02.

Display Panel, Method for Fabricating the Same, and Display Device

Номер патента: US20210135160A1. Автор: Song Zhang,Tao Sun,Tao Wang. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2021-05-06.

Piezoelectric devices and methods and circuits for driving same

Номер патента: WO2005097509A2. Автор: James Vogeley. Владелец: Par Technologies, Llc. Дата публикации: 2005-10-20.

Positive and negative reinforcement systems and methods of vehicles for driving

Номер патента: US11760362B2. Автор: Caroline Chung,Christopher Corral,Alexandru ORBAN,Trinten PATTEN. Владелец: Veoneer US LLC. Дата публикации: 2023-09-19.

Plasma spray device and a method for controlling the status of a plasma spray device

Номер патента: EP1635623A2. Автор: Peter Koenig. Владелец: Sulzer Metco AG. Дата публикации: 2006-03-15.

Method for cleaning a burner of a plasma coating installation and plasma coating installation

Номер патента: EP2829327B1. Автор: Peter Dr. Ernst,Bernd DISTLER. Владелец: OERLIKON METCO AG. Дата публикации: 2017-11-29.

Plasma spray device and a method for controlling the status of a plasma spray device

Номер патента: EP1635623A3. Автор: Peter Koenig. Владелец: Sulzer Metco AG. Дата публикации: 2011-12-14.

Display Panel, Method for Manufacturing the Same, and Display Device

Номер патента: US20200258954A1. Автор: Wenbo Li,Kairan LIU,Yingyi LI. Владелец: Beijing BOE Technology Development Co Ltd. Дата публикации: 2020-08-13.

Treatment apparatus and control method for treatment apparatus

Номер патента: US20180207444A1. Автор: Jong Tae Kim,Won Joong Kim,Jae Yong HONG. Владелец: Lutronic Corp. Дата публикации: 2018-07-26.

Transmission and receiver apparatus and methods

Номер патента: US20190068328A1. Автор: Joerg Robert,Lothar Stadelmeier,Nabil Loghin. Владелец: SATURN LICENSING LLC. Дата публикации: 2019-02-28.

Transmission and receiver apparatus and methods

Номер патента: US20160254880A1. Автор: Joerg Robert,Lothar Stadelmeier,Nabil Loghin. Владелец: Sony Corp. Дата публикации: 2016-09-01.

Frame mapping apparatus and method

Номер патента: EP2491668A1. Автор: Joerg Robert,Lothar Stadelmeier,Nabil Loghin. Владелец: Sony Corp. Дата публикации: 2012-08-29.

Appratus and method for detecting heart murmurs

Номер патента: EP3242600A2. Автор: Raj Shekhar,Sukryool Kang,Robin Doroshow,James Mcconnaughey. Владелец: Childrens National Medical Center Inc. Дата публикации: 2017-11-15.

Ultrasonic diagnostic device and method for controlling ultrasonic diagnostic device

Номер патента: EP4360564A1. Автор: Tetsurou Ebata. Владелец: Fujifilm Corp. Дата публикации: 2024-05-01.

Ultrasonic diagnostic device and method for controlling ultrasonic diagnostic device

Номер патента: EP4360565A1. Автор: Tetsurou Ebata. Владелец: Fujifilm Corp. Дата публикации: 2024-05-01.

Apparatus and method for unwinding reels and for joining a ply between two reels

Номер патента: US20190241388A1. Автор: Giovanni Buffa,Fabio Picchi. Владелец: Gambini Spa. Дата публикации: 2019-08-08.

Molding roller, apparatus and method for manufacturing same

Номер патента: US20140205705A1. Автор: Chia-Ling Hsu. Владелец: Hon Hai Precision Industry Co Ltd. Дата публикации: 2014-07-24.

Frame de-mapping apparatus and method

Номер патента: EP2491667A1. Автор: Joerg Robert,Lothar Stadelmeier,Nabil Loghin. Владелец: Sony Corp. Дата публикации: 2012-08-29.

Systems and Methods for Priming Fluid Circuits of a Plasma Processing System

Номер патента: US20190351112A1. Автор: Brewer,JR. Hollis Bryan,Matin Michael M.. Владелец: . Дата публикации: 2019-11-21.

Apparatus and method for non-invasive intracardiac electrocardiography using mpi

Номер патента: WO2011030266A2. Автор: Bernhard Gleich. Владелец: Philips Intellectual Property & Standards Gmbh. Дата публикации: 2011-03-17.

Panoramic imaging apparatus and image processing method for panoramic imaging

Номер патента: US20090310845A1. Автор: Tsutomu Yamakawa,Koichi Ogawa,Takashi Sue. Владелец: Axion Japan Co Ltd. Дата публикации: 2009-12-17.

System and method for treating biological tissue with a plasma gas discharge

Номер патента: KR101319453B1. Автор: 알렉산더 브리트바,지브 카니. Владелец: 알마 레이저스 엘티디. Дата публикации: 2013-10-23.

Facsimile apparatus and facsimile transmission method for digital communication network

Номер патента: CA2022584C. Автор: Sadaaki Shimonaga,Morihiro Katsurada. Владелец: Sharp Corp. Дата публикации: 1995-03-28.

Apparatus and method for signaling communication resource allocation on a block basis

Номер патента: CA2565383C. Автор: Sean Simmons,Mihal Lazaridis,Mark Pecen. Владелец: Research in Motion Ltd. Дата публикации: 2011-12-06.

Device and method for producing and/or confining a plasma

Номер патента: US20110215722A1. Автор: Jacques Pelletier,Ana Lacoste,Stephane Bechu,Alexandre Bes. Владелец: Universite Joseph Fourier Grenoble 1. Дата публикации: 2011-09-08.

Device and method for producing and/ or confining a plasma

Номер патента: WO2008009559A2. Автор: Jacques Pelletier,Ana Lacoste,Stephane Bechu. Владелец: UNIVERSITE JOSEPH FOURIER - GRENOBLE 1. Дата публикации: 2008-01-24.

INSTALLATION AND METHOD FOR PROCESSING AN OBJECT BY A PLASMA GENERATOR

Номер патента: FR2978599A1. Автор: Frederic Bretagnol,Frederic Moret,Marc Brassier. Владелец: Valeo Vision SA. Дата публикации: 2013-02-01.

Host apparatus, image forming apparatus, and diagnosis method for image forming apparatus

Номер патента: US20100020361A1. Автор: Hyun-cheol Kim,Tae-Yoon Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2010-01-28.

Systems and methods for priming fluid circuits of a plasma processing system

Номер патента: EP3714039A4. Автор: Michael M. MATIN,Timothy Perlman,Hollis Bryan BREWER. Владелец: HDL Therapeutics Inc. Дата публикации: 2021-07-28.

System and method for treating biological tissue with a plasma gas discharge

Номер патента: IL180519A0. Автор: . Владелец: Alma Lasers Ltd. Дата публикации: 2007-06-03.

System and method for treating biological tissue with a plasma gas discharge

Номер патента: CA2594284A1. Автор: Alexander Britva,Ziv Karni. Владелец: MSQ Ltd. Дата публикации: 2006-07-27.

Systems and methods for priming fluid circuits of a plasma processing system

Номер патента: CA3083194A1. Автор: Michael M. MATIN,Timothy Perlman,Hollis Bryan BREWER. Владелец: HDL Therapeutics Inc. Дата публикации: 2019-05-31.

System and method for treating biological tissue with a plasma gas discharge

Номер патента: WO2006077582A2. Автор: Alexander Britva,Ziv Karni. Владелец: Msq Ltd.. Дата публикации: 2006-07-27.

Metal sheet quench-hardening apparatus and quench-hardening method, and steel sheet production method

Номер патента: EP4257709A1. Автор: Soshi Yoshimoto. Владелец: JFE Steel Corp. Дата публикации: 2023-10-11.

Apparatus and method for packetizing significance information

Номер патента: EP1145492A2. Автор: Iraj Sodagar,Bing-Bing Chai. Владелец: Sarnoff Corp. Дата публикации: 2001-10-17.

System and method for dual threshold sensing in a plasma arc torch

Номер патента: AU1336000A. Автор: Jon W. Lindsay,Dennis M. Borowy,Tianting Ren. Владелец: Hypertherm Inc. Дата публикации: 2000-05-29.

Apparatus and method for excavating a tunnel

Номер патента: CA3191054A1. Автор: Werner Burger,Linus Möller,Gerhard Wehrmeyer,Andreas Kassel. Владелец: Individual. Дата публикации: 2022-06-23.

Shaping apparatus and cultivating method for mussaenda pubescens

Номер патента: LU504176B1. Автор: Nancai Pei. Владелец: Res Institute Of Tropical Forestry Chinese Academy Of Forestry. Дата публикации: 2023-11-10.

Control Apparatus and Control Method for a Power Factor Correction Power Converter

Номер патента: US20100046262A1. Автор: Tsung-Hsiu Wu. Владелец: Leadtrend Technology Corp. Дата публикации: 2010-02-25.

Ultrasound diagnostic apparatus and control method for ultrasound diagnostic apparatus

Номер патента: EP4344651A1. Автор: Rika TASHIRO. Владелец: Fujifilm Corp. Дата публикации: 2024-04-03.

Communication apparatus and communication method for prioritized traffic

Номер патента: EP4256881A1. Автор: Yoshio Urabe,Rojan Chitrakar. Владелец: Panasonic Intellectual Property Corp of America. Дата публикации: 2023-10-11.

Ultrasound diagnostic apparatus and control method for ultrasound diagnostic apparatus

Номер патента: US20240108312A1. Автор: Rika TASHIRO. Владелец: Fujifilm Corp. Дата публикации: 2024-04-04.

Power conversion apparatus and control method for power conversion apparatus

Номер патента: EP4207582A1. Автор: Kai Xin,Zhangping SHAO,Congyuan WANG. Владелец: Huawei Digital Power Technologies Co Ltd. Дата публикации: 2023-07-05.

Method and apparatus for opening quiet window, and registration method, apparatus and system

Номер патента: US11889243B2. Автор: Weiliang Zhang,Liquan Yuan. Владелец: ZTE Corp. Дата публикации: 2024-01-30.

Lettering apparatus and lettering method for beer barrel

Номер патента: US20160375678A1. Автор: Bangcai LUO. Владелец: Ningbo Master Draft Beer Keg Equipment Co Ltd. Дата публикации: 2016-12-29.

Power conversion apparatus and control method for power conversion apparatus

Номер патента: US20230208313A1. Автор: Kai Xin,Zhangping SHAO,Congyuan WANG. Владелец: Huawei Digital Power Technologies Co Ltd. Дата публикации: 2023-06-29.

Imaging apparatus and manufacturing method for imaging apparatus

Номер патента: US20240038802A1. Автор: Atsushi Yamamoto,Hiroyasu Matsugai. Владелец: Sony Semiconductor Solutions Corp. Дата публикации: 2024-02-01.

Ultrasound diagnostic apparatus and control method for ultrasound diagnostic apparatus

Номер патента: US20240000438A1. Автор: Itsuki Kamino. Владелец: Fujifilm Corp. Дата публикации: 2024-01-04.

Communication apparatus and communication method for prioritized traffic

Номер патента: US20240032089A1. Автор: Yoshio Urabe,Rojan Chitrakar. Владелец: Panasonic Intellectual Property Corp of America. Дата публикации: 2024-01-25.

Ultrasound diagnostic apparatus and control method for ultrasound diagnostic apparatus

Номер патента: US20230270410A1. Автор: Tetsurou Ebata. Владелец: Fujifilm Corp. Дата публикации: 2023-08-31.

Ultrasound diagnostic apparatus and control method for ultrasound diagnostic apparatus

Номер патента: US20240000437A1. Автор: Hiroyuki Karasawa. Владелец: Fujifilm Corp. Дата публикации: 2024-01-04.

Method for controlling artificial intelligence laundry treatment apparatus

Номер патента: US20220064838A1. Автор: Daehyun Kim,Youngjong KIM,Sunho LEE,Byunghyun Moon. Владелец: LG ELECTRONICS INC. Дата публикации: 2022-03-03.

Controlling apparatus and controlling method for wavelength division multiplexing optical amplifier

Номер патента: US20030123134A1. Автор: Tetsuo Wada. Владелец: Fujitsu Ltd. Дата публикации: 2003-07-03.

Ultrasound diagnostic apparatus and control method for ultrasound diagnostic apparatus

Номер патента: US20240108308A1. Автор: Tetsurou Ebata. Владелец: Fujifilm Corp. Дата публикации: 2024-04-04.

Power conversion apparatus and control method for power conversion apparatus

Номер патента: AU2022291613A1. Автор: Kai Xin,Zhangping SHAO,Congyuan WANG. Владелец: Huawei Digital Power Technologies Co Ltd. Дата публикации: 2023-07-13.

Projection system, projection apparatus and calibrating method for displayed image thereof

Номер патента: US20200366877A1. Автор: Je-Fu Cheng,Lei-Chih Chang. Владелец: Coretronic Corp. Дата публикации: 2020-11-19.

Ultrasound diagnostic apparatus and control method for ultrasound diagnostic apparatus

Номер патента: US20240000439A1. Автор: Yukiya Miyachi. Владелец: Fujifilm Corp. Дата публикации: 2024-01-04.

Packing apparatus and its method for loading articles into packaging

Номер патента: US20240182196A1. Автор: Cheow Heng Tan. Владелец: Sky Tag Robotics Sdn Bhd. Дата публикации: 2024-06-06.

Image forming apparatus and information processing method for image forming apparatus

Номер патента: US20240048661A1. Автор: Hideaki Fukaya. Владелец: Toshiba TEC Corp. Дата публикации: 2024-02-08.

Image forming apparatus, and control method and computer program for the same

Номер патента: US20090310162A1. Автор: Noritsugu Okayama. Владелец: Canon Inc. Дата публикации: 2009-12-17.

Granulation apparatus, method for maintaining the same and granulation method

Номер патента: US20230202071A1. Автор: Alberto Baracco. Владелец: Baracco Unipersonale Srl. Дата публикации: 2023-06-29.

Base station apparatus, mobile terminal apparatus and communication control method

Номер патента: US9179466B2. Автор: Tetsushi Abe,Satoshi Nagata,Nobuhiko Miki,Sangiamwong Jaturong. Владелец: NTT DOCOMO INC. Дата публикации: 2015-11-03.

Signal processing apparatus and parameter setting method for the apparatus

Номер патента: US11032141B2. Автор: Kazuya YOSHIMI. Владелец: Denso Ten Ltd. Дата публикации: 2021-06-08.

SWITCHING DEVICE STRUCTURE AND METHOD FOR PREPARING SAME, THIN FILM TRANSISTOR FILM LAYER AND DISPLAY PANEL

Номер патента: US20220173349A1. Автор: Zhao Meng. Владелец: . Дата публикации: 2022-06-02.

System and method for a versatile display pipeline architecture for an lcd display panel

Номер патента: US20100208142A1. Автор: Lei He. Владелец: Zoran Corp. Дата публикации: 2010-08-19.

Ion beam processing apparatus and specimen replacing method for the same

Номер патента: US5247181A. Автор: Isao Hashimoto,Shotaro Ooishi,Hisao Oonuki. Владелец: HITACHI LTD. Дата публикации: 1993-09-21.

Method for processing substrates

Номер патента: US11495469B2. Автор: Masanobu Honda,Yoshihide Kihara,Toru Hisamatsu. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-11-08.

System and method for a versatile display pipeline architecture for an lcd display panel

Номер патента: WO2010096143A1. Автор: Lei He. Владелец: Zoran Corporation. Дата публикации: 2010-08-26.

Refining apparatus and refining method for recycling waste plastics

Номер патента: US9556385B2. Автор: Chao-Kao Chen. Владелец: GL RENEWABLE ENERGY Co. Дата публикации: 2017-01-31.

Film formation apparatus and film formation method for forming metal film

Номер патента: US11840770B2. Автор: Akira Kato,Haruki KONDOH,Soma Higashikozono. Владелец: Toyota Motor Corp. Дата публикации: 2023-12-12.

Acoustic apparatus and volume control method for acoustic apparatus

Номер патента: US20220078594A1. Автор: Makoto Yamashita. Владелец: Toshiba Electronic Devices and Storage Corp. Дата публикации: 2022-03-10.

Printing apparatus and ink heating method for printing apparatus

Номер патента: US20160279964A1. Автор: Masaaki Ando. Владелец: Seiko Epson Corp. Дата публикации: 2016-09-29.

Apparatus, and associated method, for estimating a bit error rate of data communicated in a communication system

Номер патента: SG143210A1. Автор: Sean Simmons,Huan Wu. Владелец: Research in Motion Ltd. Дата публикации: 2008-06-27.

Polishing pad, polishing apparatus and a method for polishing silicon wafer

Номер патента: US11471997B2. Автор: Yue Xie,Youhe Sha. Владелец: Zing Semiconductor Corp. Дата публикации: 2022-10-18.

Phototherapeutic apparatus and protocol setting method for phototherapeutic apparatus

Номер патента: US20190321654A1. Автор: Akimichi Morita,Katsutoshi SAKANO. Владелец: Inforward Inc. Дата публикации: 2019-10-24.

Manufacturing Apparatus And Manufacturing Method For Quantum Dot Material

Номер патента: US20140295653A1. Автор: Changsi Peng. Владелец: SUZHOU UNIVERSITY. Дата публикации: 2014-10-02.

Film formation apparatus and film formation method for forming metal film

Номер патента: US20220154362A1. Автор: Akira Kato,Haruki KONDOH,Soma Higashikozono. Владелец: Toyota Motor Corp. Дата публикации: 2022-05-19.

Ultrasound diagnostic apparatus and control method for ultrasound diagnostic apparatus

Номер патента: US20240108307A1. Автор: Tetsurou Ebata. Владелец: Fujifilm Corp. Дата публикации: 2024-04-04.

Polishing pad, polishing apparatus and a method for polishing silicon wafer

Номер патента: US20220009051A1. Автор: Yue Xie,Youhe Sha. Владелец: Zing Semiconductor Corp. Дата публикации: 2022-01-13.

Apparatus, and associated method, for effectuating transmit diversity in a commucation system

Номер патента: WO2004030229A3. Автор: Balaji Raghothaman. Владелец: Nokia Inc. Дата публикации: 2004-07-22.

Apparatus, and associated method, for effectuating transmit diversity in a commucation system

Номер патента: EP1547255A2. Автор: Balaji Raghothaman. Владелец: Nokia Oyj. Дата публикации: 2005-06-29.

Control apparatus and control method for variable valve operating mechanism

Номер патента: EP2245286A1. Автор: Yoshihito Moriya. Владелец: Toyota Motor Corp. Дата публикации: 2010-11-03.

Method for forming synthesis gas using a plasma-catalyzed fuel reformer

Номер патента: US20140157669A1. Автор: Joseph J. Hartvigsen,S. Elangovan,Michele Hollist,Piotr Czernichowski. Владелец: Individual. Дата публикации: 2014-06-12.

EGR control apparatus and EGR control method for internal combustion engine

Номер патента: US10883430B2. Автор: Toshiharu Takahashi,Yuji Yasui. Владелец: Delphi Technologies IP Ltd. Дата публикации: 2021-01-05.

Apparatus, and associated method, for facilitating access to a home, or other public network

Номер патента: US8204528B2. Автор: Adrian Buckley. Владелец: Research in Motion Ltd. Дата публикации: 2012-06-19.

Method for detecting current transfer in a plasma arc

Номер патента: US9878395B2. Автор: Anthony V. Salsich,Alan A. Manthe. Владелец: ILLINOIS TOOL WORKS INC. Дата публикации: 2018-01-30.

Apparatus, and associated method, for decoding convolutionally encoded data

Номер патента: WO2009053853A3. Автор: David Furbeck. Владелец: RESEARCH IN MOTION CORPORATION. Дата публикации: 2010-01-14.

Communication apparatus and communication method

Номер патента: EP4311347A2. Автор: Yuichi Morioka. Владелец: Sony Group Corp. Дата публикации: 2024-01-24.

Communication apparatus and communication method

Номер патента: EP4311347A3. Автор: Yuichi Morioka. Владелец: Sony Group Corp. Дата публикации: 2024-02-28.

Motor control apparatus and motor control method for reducing influence of magnetic fluxes from yokes

Номер патента: US20190222151A1. Автор: Kaishi Ohashi. Владелец: Canon Inc. Дата публикации: 2019-07-18.

Nail printing apparatus and printing control method for nail print apparatus

Номер патента: US20150020834A1. Автор: Hiroyasu Bitoh. Владелец: Casio Computer Co Ltd. Дата публикации: 2015-01-22.

Solid-state imaging device, electronic apparatus, and driving method

Номер патента: US20200021759A1. Автор: Yoshiaki Tashiro. Владелец: Sony Semiconductor Solutions Corp. Дата публикации: 2020-01-16.

Polishing apparatus and polishing method

Номер патента: US20240109161A1. Автор: Satoru Yamamoto,Keisuke Uchiyama,Mao Izawa,Makoto Kashiwagi. Владелец: Ebara Corp. Дата публикации: 2024-04-04.

Image forming apparatus and image forming method for forming image on image forming medium

Номер патента: US20210070069A1. Автор: Tatsuya Chuto. Владелец: Kyocera Document Solutions Inc. Дата публикации: 2021-03-11.

Control apparatus and control method for variable mechanism

Номер патента: WO2011158074A1. Автор: Takashi Nakagawa,Shunsuke Yamamoto,Shunsuke Habara. Владелец: TOYOTA JIDOSHA KABUSHIKI KAISHA. Дата публикации: 2011-12-22.

Apparatus, and associated method, for demonstrating an operational capability of a radio device

Номер патента: US20060154655A1. Автор: Esa Juntunen. Владелец: Individual. Дата публикации: 2006-07-13.

Approximator apparatus, and associated method, for approximating an N-dimensional euclidean norm

Номер патента: US7068741B2. Автор: Thomas J. Kenney. Владелец: Nokia Oyj. Дата публикации: 2006-06-27.

Heating coil, heat treatment apparatus, and heat treatment method for elongated workpiece

Номер патента: WO2013129686A1. Автор: Yoshimasa Tanaka,Yutaka Sugiyama. Владелец: NETUREN CO., LTD.. Дата публикации: 2013-09-06.

Demodulating apparatus and demodulating method

Номер патента: US20100119014A1. Автор: Yuichi Mizutani,Tetsuhiro Futami. Владелец: Sony Corp. Дата публикации: 2010-05-13.

Apparatus, and associated method, for facilitating a radio resource measurement in a radio communication system

Номер патента: AU2008240344A1. Автор: Jonathan Edney,Simon A. Black. Владелец: Nokia Oyj. Дата публикации: 2008-11-27.

Apparatus, and associated method, for facilitating a radio resource measurement in a radio communication system

Номер патента: AU2005241684A1. Автор: Jonathan Edney,Simon A. Black. Владелец: Nokia Oyj. Дата публикации: 2005-11-17.

Apparatus and associated method for facilitating a radio resource measurement in a radio communication system

Номер патента: EP2018003B1. Автор: Jonathan Edney,Simon Black. Владелец: Nokia Oyj. Дата публикации: 2010-03-24.

Cleaning apparatus and cleaning method for nozzle of coating machine

Номер патента: US20190076892A1. Автор: Kai Zhao. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2019-03-14.

Apparatus, and associated method, for forming a systematic, recursive, space-time code

Номер патента: US20030072381A1. Автор: Dumitru Ionescu. Владелец: Nokia Mobile Phones Ltd. Дата публикации: 2003-04-17.

Image reading apparatus and image reading method for calibrating a reading unit

Номер патента: US11800033B2. Автор: Rei Tsutsui. Владелец: Seiko Epson Corp. Дата публикации: 2023-10-24.

Automatic assembly apparatus and automatic assembly method for fixed type constant velocity universal joint

Номер патента: EP3978771A1. Автор: Shoutarou Muramatsu. Владелец: NTN Toyo Bearing Co Ltd. Дата публикации: 2022-04-06.

Plating apparatus and plating method

Номер патента: US20230399766A1. Автор: Naoto Takahashi. Владелец: Ebara Corp. Дата публикации: 2023-12-14.

Folder creation image processing apparatus and related control method for recording an image

Номер патента: US8416321B2. Автор: Yuichi Nakase. Владелец: Canon Inc. Дата публикации: 2013-04-09.

Communication control apparatus, communication control method, communication apparatus, and communication method

Номер патента: US20210391901A1. Автор: Osamu Yoshimura. Владелец: Sony Group Corp. Дата публикации: 2021-12-16.

An apparatus and computer-implemented method for planning the placement of neurosurgical catheters

Номер патента: GB202110470D0. Автор: . Владелец: Renishaw Neuro Solutions Ltd. Дата публикации: 2021-09-01.

Apparatus and an improved method for processing of digital information

Номер патента: DE3049695A1. Автор: B Rothaar,T Stockham. Владелец: SOUNDSTREAM Inc. Дата публикации: 1982-04-15.

METHOD FOR DRIVING ACTIVE MATRIX ORGANIC LIGHT EMITTING DIODE DISPLAY PANEL

Номер патента: US20120105517A1. Автор: . Владелец: AU OPTRONICS CORPORATION. Дата публикации: 2012-05-03.

Lighting inspection apparatus and lighting inspection method for flat display panel

Номер патента: JP4639947B2. Автор: 俊哉 大谷,敬夫 脇谷,亮 戸島,竜一 井上. Владелец: Panasonic Corp. Дата публикации: 2011-02-23.

Method for relieving and improving image sticking of plasma display panel

Номер патента: TW200727243A. Автор: Yi-Chia Shan,Tsan-Hung Tsai,Hsu-Chia Kao,xu-bin Gao. Владелец: Marketech Int Corp. Дата публикации: 2007-07-16.

SYSTEMS AND METHODS FOR PRODUCTION OF NANOSTRUCTURES USING A PLASMA GENERATOR

Номер патента: US20130039838A1. Автор: Lashmore David S.,Dean Robert. Владелец: Nanocomp Technologies, Inc.. Дата публикации: 2013-02-14.