• Главная
  • SINGLE SOURCE/DRAIN EPITAXY FOR CO-INTEGRATING nFET SEMICONDUCTOR FINS AND pFET SEMICONDUCTOR FINS

SINGLE SOURCE/DRAIN EPITAXY FOR CO-INTEGRATING nFET SEMICONDUCTOR FINS AND pFET SEMICONDUCTOR FINS

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

SINGLE SOURCE/DRAIN EPITAXY FOR CO-INTEGRATING NFET SEMICONDUCTOR FINS AND PFET SEMICONDUCTOR FINS

Номер патента: US20160093618A1. Автор: Reznicek Alexander,Jagannathan Hemanth. Владелец: . Дата публикации: 2016-03-31.

SINGLE SOURCE/DRAIN EPITAXY FOR CO-INTEGRATING nFET SEMICONDUCTOR FINS AND pFET SEMICONDUCTOR FINS

Номер патента: US20170256546A1. Автор: Reznicek Alexander,Jagannathan Hemanth. Владелец: . Дата публикации: 2017-09-07.

SEMICONDUCTOR FIN DEVICES AND METHOD OF FARICATING THE SEMICONDUCTOR FIN DEVICES

Номер патента: US20150249087A1. Автор: Lee Jae-hwan,Kim Sangsu,CANTORO Mirco,KWON TaeYong. Владелец: . Дата публикации: 2015-09-03.

Method of forming source/drain epitaxial stacks

Номер патента: US20240304724A1. Автор: Shih-Chieh Chang,Cheng-Han Lee,Shahaji B. More,Huai-Tei Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-12.

Method of forming source/drain epitaxial stacks

Номер патента: US12021142B2. Автор: Shih-Chieh Chang,Cheng-Han Lee,Shahaji B. More,Huai-Tei Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-25.

Method of forming source/drain epitaxial stacks

Номер патента: US20220367715A1. Автор: Shih-Chieh Chang,Cheng-Han Lee,Shahaji B. More,Huai-Tei Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-17.

Method of forming source/drain epitaxial stacks

Номер патента: US20200303548A1. Автор: Shih-Chieh Chang,Cheng-Han Lee,Shahaji B. More,Huai-Tei Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-09-24.

Method for improving bridging between source/drain epitaxial layer and gate

Номер патента: US20230143668A1. Автор: YONG Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2023-05-11.

METHOD OF FORMING SOURCE/DRAIN EPITAXIAL STACKS

Номер патента: US20200303548A1. Автор: LEE CHENG-HAN,Chang Shih-Chieh,YANG Huai-Tei,MORE Shahaji B.. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2020-09-24.

FINFET WITH CONSTRAINED SOURCE-DRAIN EPITAXIAL REGION

Номер патента: US20160329428A1. Автор: Greene Brian J.,Kumar Arvind,Mocuta Dan M.. Владелец: . Дата публикации: 2016-11-10.

High germanium content FinFET devices having the same contact material for nFET and pFET devices

Номер патента: US09449885B1. Автор: Alexander Reznicek. Владелец: International Business Machines Corp. Дата публикации: 2016-09-20.

Method for manufacturing source/drain epitaxial layer of fdsoi mosfet

Номер патента: US20240170344A1. Автор: Qiang Yan,Jun Tan,Jiaqi Hong. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-05-23.

Vertical transistor with late source/drain epitaxy

Номер патента: US11996480B2. Автор: Kangguo Cheng,Shogo Mochizuki,ChoongHyun Lee,Juntao Li. Владелец: International Business Machines Corp. Дата публикации: 2024-05-28.

Asymmetric source/drain epitaxy

Номер патента: US11854897B2. Автор: Yu-Lien Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Asymmetric source/drain epitaxy

Номер патента: US20240105516A1. Автор: Yu-Lien Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-28.

Method for co-integration of III-V devices with group IV devices

Номер патента: US11557503B2. Автор: Amey Mahadev Walke,Liesbeth Witters. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-01-17.

Nanosheet substrate isolated source/drain epitaxy via airgap

Номер патента: US20200044023A1. Автор: Alexander Reznicek,ChoongHyun Lee,Jingyun Zhang,Xin Miao. Владелец: International Business Machines Corp. Дата публикации: 2020-02-06.

FINFET DEVICE WITH VERTICAL SILICIDE ON RECESSED SOURCE/DRAIN EPITAXY REGIONS

Номер патента: US20170077249A1. Автор: Reznicek Alexander,Kerber Pranita,Fogel Keith E.,Ouyang Qiqing C.. Владелец: . Дата публикации: 2017-03-16.

ELIMINATING FIELD OXIDE LOSS PRIOR TO FINFET SOURCE/DRAIN EPITAXIAL GROWTH

Номер патента: US20160276345A1. Автор: Yu Hong,Zang Hui,Liu Bingwu,ZHAO Lun. Владелец: . Дата публикации: 2016-09-22.

METHOD AND STRUCTURE FOR FORMING DIELECTRIC ISOLATED FINFET WITH IMPROVED SOURCE/DRAIN EPITAXY

Номер патента: US20160315149A1. Автор: Cheng Kangguo,Reznicek Alexander,Hashemi Pouya. Владелец: . Дата публикации: 2016-10-27.

Mid-processing removal of semiconductor fins during fabrication of integrated circuit structures

Номер патента: US11887860B2. Автор: Anurag Jain,Szuya S. LIAO,Mehmet O. BAYKAN. Владелец: Intel Corp. Дата публикации: 2024-01-30.

Mid-processing removal of semiconductor fins during fabrication of integrated circuit structures

Номер патента: US20200227267A1. Автор: Anurag Jain,Szuya S. LIAO,Mehmet O. BAYKAN. Владелец: Intel Corp. Дата публикации: 2020-07-16.

Mid-processing removal of semiconductor fins during fabrication of integrated circuit structures

Номер патента: US20230343599A1. Автор: Anurag Jain,Szuya S. LIAO,Mehmet O. BAYKAN. Владелец: Intel Corp. Дата публикации: 2023-10-26.

Forming IV fins and III-V fins on insulator

Номер патента: US09543302B2. Автор: Kangguo Cheng,Bruce B. Doris,Pouya Hashemi,Alexander Reznicek,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2017-01-10.

Forming iv fins and iii-v fins on insulator

Номер патента: US20160126244A1. Автор: Kangguo Cheng,Bruce B. Doris,Pouya Hashemi,Alexander Reznicek,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2016-05-05.

SEMICONDUCTOR DEVICE HAVING FIN AND DUAL LINER

Номер патента: US20170069630A1. Автор: LEE Sang-Woo,CHA Ji-Hoon. Владелец: . Дата публикации: 2017-03-09.

Semiconductor fin loop for use with diffusion break

Номер патента: US09984932B1. Автор: Min-Hwa Chi,Hui Zang. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-29.

Source/drain epitaxial structures for semiconductor devices

Номер патента: US12100626B2. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-24.

SOURCE/DRAIN EPITAXIAL STRUCTURES FOR SEMICONDCUTOR DEVICES

Номер патента: US20220102220A1. Автор: MORE Shahaji B.. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2022-03-31.

TRANSISTOR SOURCE/DRAIN EPITAXY BLOCKER

Номер патента: US20220285491A1. Автор: Yuan Jun,Lin Ming-Huei,CHANG Lunwei. Владелец: . Дата публикации: 2022-09-08.

NANOSHEET FIELD EFFECT TRANSISTOR WITH A SOURCE DRAIN EPITAXY REPLACEMENT

Номер патента: US20220406664A1. Автор: Cheng Kangguo,Xie Ruilong,Park Chanro,Frougier Julien. Владелец: . Дата публикации: 2022-12-22.

Source/drain epitaxy process in stacked fet

Номер патента: US20240030284A1. Автор: Daniel Schmidt,Alexander Reznicek,Ruilong Xie,Tsung-Sheng KANG. Владелец: International Business Machines Corp. Дата публикации: 2024-01-25.

FinFET with constrained source-drain epitaxial region

Номер патента: US09443854B2. Автор: Brian J. Greene,Arvind Kumar,Dan M. Mocuta. Владелец: International Business Machines Corp. Дата публикации: 2016-09-13.

Transistor source/drain epitaxy blocker

Номер патента: WO2022186909A1. Автор: Jun Yuan,Ming-Huei Lin,Lunwei CHANG. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2022-09-09.

Method For Growing Multiple Layers of Source Drain Epitaxial Silicon in FDSOI Process

Номер патента: US20230274984A1. Автор: PENG Zhao,Nan Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2023-08-31.

Source/drain epitaxial electrical monitor

Номер патента: US09972550B2. Автор: Edward J. Nowak,Robert R. Robison,Lyndon R. Logan. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-15.

Semiconductor fins with dielectric isolation at fin bottom

Номер патента: US11043429B2. Автор: Peng Xu,Kangguo Cheng,Jay W. Strane. Владелец: International Business Machines Corp. Дата публикации: 2021-06-22.

Bipolar transistor structure on semiconductor fin and methods to form same

Номер патента: US11843044B2. Автор: Hong Yu,Judson R. Holt,Alexander M. Derrickson. Владелец: GlobalFoundries US Inc. Дата публикации: 2023-12-12.

Method of forming shaped source/drain epitaxial layers of a semiconductor device

Номер патента: US20210328047A1. Автор: Ming-Hua Yu,Yi-Jing Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-10-21.

Method of forming shaped source/drain epitaxial layers of a semiconductor device

Номер патента: US20200135903A1. Автор: Ming-Hua Yu,Yi-Jing Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-30.

Method of forming shaped source/drain epitaxial layers of a semiconductor device

Номер патента: US20230290866A1. Автор: Ming-Hua Yu,Yi-Jing Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-14.

Method of forming shaped source/drain epitaxial layers of a semiconductor device

Номер патента: US11695063B2. Автор: Ming-Hua Yu,Yi-Jing Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-07-04.

Method of forming shaped source/drain epitaxial layers of a semiconductor device

Номер патента: US20190006491A1. Автор: Ming-Hua Yu,Yi-Jing Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-01-03.

Introducing self-aligned dopants in semiconductor fins

Номер патента: US09698018B1. Автор: Xintuo Dai,Jinping Liu,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2017-07-04.

Semiconductor device including non-active fins and separation regions

Номер патента: US20190288114A1. Автор: Cheol Kim,Jong Chul Park,Kye Hyun Baek. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-09-19.

Method for Semiconductor Device Fabrication with Improved Source Drain Epitaxy

Номер патента: US20190123200A1. Автор: Yang Feng-Cheng,LEE Wei-Yang,HSU Tzu-Hsiang,Chen Ting-Yeh. Владелец: . Дата публикации: 2019-04-25.

METHOD OF FORMING SOURCE/DRAIN EPITAXIAL STACKS

Номер патента: US20190148551A1. Автор: LEE CHENG-HAN,Chang Shih-Chieh,YANG Huai-Tei,MORE Shahaji B.. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd. Дата публикации: 2019-05-16.

NANOSHEET MOSFET WITH PARTIAL RELEASE AND SOURCE/DRAIN EPITAXY

Номер патента: US20180254329A1. Автор: Guillorn Michael A.,Robison Robert R.,Vega Reinaldo A.,Hook Terence B.,Loubet Nicolas J.. Владелец: . Дата публикации: 2018-09-06.

FINFET DEVICE WITH VERTICAL SILICIDE ON RECESSED SOURCE/DRAIN EPITAXY REGIONS

Номер патента: US20160293428A1. Автор: Reznicek Alexander,Kerber Pranita,Fogel Keith E.,Ouyang Qiqing C.. Владелец: . Дата публикации: 2016-10-06.

Method for Semiconductor Device Fabrication with Improved Source Drain Epitaxy

Номер патента: US20170373189A1. Автор: Yang Feng-Cheng,LEE Wei-Yang,HSU Tzu-Hsiang,Chen Ting-Yeh. Владелец: . Дата публикации: 2017-12-28.

Vertical field effect transistors with bottom source/drain epitaxy

Номер патента: US09972700B2. Автор: Chen Zhang,Kangguo Cheng,Xin Miao,Wenyu Xu. Владелец: International Business Machines Corp. Дата публикации: 2018-05-15.

Vertical field effect transistors with bottom source/drain epitaxy

Номер патента: US09865705B2. Автор: Chen Zhang,Kangguo Cheng,Xin Miao,Wenyu Xu. Владелец: International Business Machines Corp. Дата публикации: 2018-01-09.

Vertical field effect transistors with bottom source/drain epitaxy

Номер патента: US20180114849A1. Автор: Chen Zhang,Kangguo Cheng,Xin Miao,Wenyu Xu. Владелец: International Business Machines Corp. Дата публикации: 2018-04-26.

Vertical field effect transistors with bottom source/drain epitaxy

Номер патента: US20190081157A1. Автор: Chen Zhang,Kangguo Cheng,Xin Miao,Wenyu Xu. Владелец: International Business Machines Corp. Дата публикации: 2019-03-14.

Vertical field effect transistors with bottom source/drain epitaxy

Номер патента: US20170352743A1. Автор: Chen Zhang,Kangguo Cheng,Xin Miao,Wenyu Xu. Владелец: International Business Machines Corp. Дата публикации: 2017-12-07.

Vertical field effect transistors with bottom source/drain epitaxy

Номер патента: US20180033869A1. Автор: Chen Zhang,Kangguo Cheng,Xin Miao,Wenyu Xu. Владелец: International Business Machines Corp. Дата публикации: 2018-02-01.

Vertical field effect transistors with bottom source/drain epitaxy

Номер патента: US10134874B2. Автор: Chen Zhang,Kangguo Cheng,Xin Miao,Wenyu Xu. Владелец: International Business Machines Corp. Дата публикации: 2018-11-20.

Bipolar transistor structure on semiconductor fin and methods to form same

Номер патента: US11881395B2. Автор: Hong Yu,Judson R. Holt,Alexander M. Derrickson. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-01-23.

Source/drain epitaxial structures for semiconductor devices

Номер патента: US20240363437A1. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

FinFET with Dummy Fins and Methods of Making the Same

Номер патента: US20200403084A1. Автор: Yu-Chun Ko,Chun-Hao Hsu,Kao-Ting Lai,Yu-Chang Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-12-24.

Bipolar transistor and gate structure on semiconductor fin and methods to form same

Номер патента: US20240088272A1. Автор: Vibhor Jain,Shesh Mani Pandey. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-03-14.

FinFET with constrained source-drain epitaxial region

Номер патента: US09673197B2. Автор: Brian J. Greene,Arvind Kumar,Dan M. Mocuta. Владелец: International Business Machines Corp. Дата публикации: 2017-06-06.

Source/drain epitaxial structures for semiconductor devices

Номер патента: US20230326807A1. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-12.

Merged source drain epitaxy

Номер патента: US09437496B1. Автор: Brian J. Greene,Michael P. Chudzik,Edward P. Maciejewski,Chengwen Pei,Kevin McStay,Shreesh Narasimha,Werner A. Rausch. Владелец: Globalfoundries Inc. Дата публикации: 2016-09-06.

Finfet with constrained source-drain epitaxial region

Номер патента: US20160013185A1. Автор: Brian J. Greene,Arvind Kumar,Dan M. Mocuta. Владелец: International Business Machines Corp. Дата публикации: 2016-01-14.

Method and structure for forming dielectric isolated finfet with improved source/drain epitaxy

Номер патента: US20210104620A1. Автор: Kangguo Cheng,Juntao Li. Владелец: Tessera LLC. Дата публикации: 2021-04-08.

FINFET WITH CONSTRAINED SOURCE-DRAIN EPITAXIAL REGION

Номер патента: US20160013185A1. Автор: Greene Brian J.,Kumar Arvind,Mocuta Dan M.. Владелец: . Дата публикации: 2016-01-14.

FINFET WITH CONSTRAINED SOURCE-DRAIN EPITAXIAL REGION

Номер патента: US20160043082A1. Автор: Greene Brian J.,Kumar Arvind,Mocuta Dan M.. Владелец: . Дата публикации: 2016-02-11.

Method and structure for forming dielectric isolated finfet with improved source/drain epitaxy

Номер патента: US20210104620A1. Автор: Kangguo Cheng,Juntao Li. Владелец: Tessera LLC. Дата публикации: 2021-04-08.

Asymmetric Source/Drain Epitaxy

Номер патента: US20180151439A1. Автор: HUANG Yu-Lien. Владелец: . Дата публикации: 2018-05-31.

SCALABLE VERTICAL TRANSISTOR BOTTOM SOURCE-DRAIN EPITAXY

Номер патента: US20210210631A1. Автор: Reznicek Alexander,Yeh Chun-chen,Xie Ruilong. Владелец: . Дата публикации: 2021-07-08.

METHODS, APPARATUS AND SYSTEM FOR PROVIDING SOURCE-DRAIN EPITAXY LAYER WITH LATERAL OVER-GROWTH SUPPRESSION

Номер патента: US20170200797A1. Автор: Lim Kwan-Yong,Prindle Christopher Michael. Владелец: . Дата публикации: 2017-07-13.

METHOD AND STRUCTURE FOR FORMING DIELECTRIC ISOLATED FINFET WITH IMPROVED SOURCE/DRAIN EPITAXY

Номер патента: US20170213772A1. Автор: Cheng Kangguo,Li Juntao. Владелец: . Дата публикации: 2017-07-27.

Asymmetric Source/Drain Epitaxy

Номер патента: US20180286759A1. Автор: HUANG Yu-Lien. Владелец: . Дата публикации: 2018-10-04.

Asymmetric Source/Drain Epitaxy

Номер патента: US20190287859A1. Автор: Yu-Lien Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-09-19.

NANOSHEET SUBSTRATE ISOLATED SOURCE/DRAIN EPITAXY BY NITROGEN IMPLANTATION

Номер патента: US20190341316A1. Автор: Reznicek Alexander. Владелец: . Дата публикации: 2019-11-07.

Transistors with uniform source/drain epitaxy

Номер патента: US20200403099A1. Автор: Kangguo Cheng,Ruilong Xie,Tenko Yamashita,Chun-Chen Yeh. Владелец: International Business Machines Corp. Дата публикации: 2020-12-24.

Scalable vertical transistor bottom source-drain epitaxy

Номер патента: US11335804B2. Автор: Alexander Reznicek,Ruilong Xie,Chun-Chen Yeh. Владелец: International Business Machines Corp. Дата публикации: 2022-05-17.

Asymmetric source/drain epitaxy

Номер патента: US9991165B1. Автор: Yu-Lien Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-06-05.

FinFET with constrained source-drain epitaxial region

Номер патента: US9536879B2. Автор: Brian J. Greene,Arvind Kumar,Dan M. Mocuta. Владелец: International Business Machines Corp. Дата публикации: 2017-01-03.

Transistors with uniform source/drain epitaxy

Номер патента: US20210119051A1. Автор: Kangguo Cheng,Ruilong Xie,Tenko Yamashita,Chun-Chen Yeh. Владелец: International Business Machines Corp. Дата публикации: 2021-04-22.

Transistors with uniform source/drain epitaxy

Номер патента: US20200403099A1. Автор: Kangguo Cheng,Ruilong Xie,Tenko Yamashita,Chun-Chen Yeh. Владелец: International Business Machines Corp. Дата публикации: 2020-12-24.

NFET and PFET with Different Fin Numbers in FinFET Based CFET

Номер патента: US20240282772A1. Автор: Jin Cai,Cheng-Ting Chung,Szuya Liao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-22.

DEVICE FOR COMPOUND SEMICONDUCTOR FIN STRUCTURE

Номер патента: US20190305108A1. Автор: Wang Yan,ZHANG HAIYANG. Владелец: . Дата публикации: 2019-10-03.

METHOD AND DEVICE FOR COMPOUND SEMICONDUCTOR FIN STRUCTURE

Номер патента: US20170352739A1. Автор: Wang Yan,ZHANG HAIYANG. Владелец: . Дата публикации: 2017-12-07.

Non-polar, III-nitride semiconductor fin field-effect transistor

Номер патента: US09978872B1. Автор: Heinz Schmid,Utz Herwig Hahn. Владелец: International Business Machines Corp. Дата публикации: 2018-05-22.

Two-dimensional condensation for uniaxially strained semiconductor fins

Номер патента: US20160329403A1. Автор: Jack T. Kavalieros,Markus Kuhn,Stephen M. Cea,Been-Yih Jin,Nancy Zelick. Владелец: Intel Corp. Дата публикации: 2016-11-10.

Two-dimensional condensation for uniaxially strained semiconductor fins

Номер патента: US10304929B2. Автор: Jack T. Kavalieros,Markus Kuhn,Stephen M. Cea,Been-Yih Jin,Nancy Zelick. Владелец: Intel Corp. Дата публикации: 2019-05-28.

Two-dimensional condensation for uniaxially strained semiconductor fins

Номер патента: US20160049513A1. Автор: Jack T. Kavalieros,Markus Kuhn,Stephen M. Cea,Been-Yih Jin,Nancy Zelick. Владелец: Intel Corp. Дата публикации: 2016-02-18.

Two-dimensional condensation for uniaxially strained semiconductor fins

Номер патента: US20170317172A1. Автор: Jack T. Kavalieros,Markus Kuhn,Stephen M. Cea,Been-Yih Jin,Nancy Zelick. Владелец: Intel Corp. Дата публикации: 2017-11-02.

Two-dimensional condensation for uniaxially strained semiconductor fins

Номер патента: US9711598B2. Автор: Jack T. Kavalieros,Markus Kuhn,Stephen M. Cea,Been-Yih Jin,Nancy Zelick. Владелец: Intel Corp. Дата публикации: 2017-07-18.

Two-dimensional condensation for uniaxially strained semiconductor fins

Номер патента: US09711598B2. Автор: Jack T. Kavalieros,Markus Kuhn,Stephen M. Cea,Been-Yih Jin,Nancy Zelick. Владелец: Intel Corp. Дата публикации: 2017-07-18.

Two-dimensional condensation for uniaxially strained semiconductor fins

Номер патента: US09419140B2. Автор: Jack T. Kavalieros,Markus Kuhn,Stephen M. Cea,Been-Yih Jin,Nancy Zelick. Владелец: Intel Corp. Дата публикации: 2016-08-16.

Fin structures and multi-Vt scheme based on tapered fin and method to form

Номер патента: US09583625B2. Автор: Min-Hwa Chi,Xusheng Wu,Edmund Kenneth Banghart. Владелец: Globalfoundries Inc. Дата публикации: 2017-02-28.

Forming iv fins and iii-v fins on insulator

Номер патента: US20160093695A1. Автор: Kangguo Cheng,Bruce B. Doris,Pouya Hashemi,Alexander Reznicek,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2016-03-31.

FinFET with Dummy Fins and Methods of Making the Same

Номер патента: US20200091311A1. Автор: Yu-Chun Ko,Chun-Hao Hsu,Kao-Ting Lai,Yu-Chang Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-19.

Selective removal of semiconductor fins

Номер патента: US09613954B2. Автор: Kangguo Cheng,Ali Khakifirooz,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-04-04.

Guard rings including semiconductor fins and regrown regions

Номер патента: US09875942B2. Автор: Chia-Hsin Hu,Min-Chang Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-23.

Local thinning of semiconductor fins

Номер патента: US09431523B2. Автор: Kangguo Cheng,Carl J. Radens,Ramachandra Divakaruni. Владелец: Globalfoundries Inc. Дата публикации: 2016-08-30.

Semiconductor fins with dielectric isolation at fin bottom

Номер патента: US20190311955A1. Автор: Peng Xu,Kangguo Cheng,Jay W. Strane. Владелец: International Business Machines Corp. Дата публикации: 2019-10-10.

Semiconductor fins with dielectric isolation at fin bottom

Номер патента: US20200152520A1. Автор: Peng Xu,Kangguo Cheng,Jay W. Strane. Владелец: International Business Machines Corp. Дата публикации: 2020-05-14.

Guard rings including semiconductor fins and regrown region

Номер патента: US9514989B2. Автор: Chia-Hsin Hu,Min-Chang Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-12-06.

Guard rings including semiconductor fins and regrown region

Номер патента: US09514989B2. Автор: Chia-Hsin Hu,Min-Chang Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-12-06.

Selective removal of semiconductor fins

Номер патента: US20170178960A1. Автор: Kangguo Cheng,Ali Khakifirooz,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-06-22.

Semiconductor Device with Semiconductor Fins and Floating Gate

Номер патента: US20130285135A1. Автор: Frank Hui,Neal Kistler. Владелец: Broadcom Corp. Дата публикации: 2013-10-31.

Finfet With Dummy Fins And Methods Of Making The Same

Номер патента: US20230327005A1. Автор: Yu-Chun Ko,Chun-Hao Hsu,Kao-Ting Lai,Yu-Chang Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-12.

Wrap-around contact structures for semiconductor fins

Номер патента: US20220093460A1. Автор: Rishabh Mehandru. Владелец: Intel Corp. Дата публикации: 2022-03-24.

Bipolar transistor structure on semiconductor fin and methods to form same

Номер патента: EP4210110A1. Автор: Hong Yu,Judson R. Holt,Alexander M. Derrickson. Владелец: GlobalFoundries US Inc. Дата публикации: 2023-07-12.

Finfet with dummy fins and methods of making the same

Номер патента: US20210320188A1. Автор: Yu-Chun Ko,Chun-Hao Hsu,Kao-Ting Lai,Yu-Chang Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-10-14.

Guard Rings including Semiconductor Fins and Regrown Regions

Номер патента: US20170084495A1. Автор: Chia-Hsin Hu,Min-Chang Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-03-23.

Guard Rings Including Semiconductor Fins and Regrown Regions

Номер патента: US20180144989A1. Автор: Chia-Hsin Hu,Min-Chang Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-24.

High mobility semiconductor fins on insulator

Номер патента: US20190259672A1. Автор: Chen Zhang,Kangguo Cheng,Xin Miao,Wenyu Xu. Владелец: International Business Machines Corp. Дата публикации: 2019-08-22.

Semiconductor fin structure and method of forming the same

Номер патента: US10056467B2. Автор: Chih-Kai Hsu,Jyh-Shyang Jenq,Shih-Hung Tsai,Li-Wei Feng. Владелец: United Microelectronics Corp. Дата публикации: 2018-08-21.

Method of forming semiconductor fin structure

Номер патента: US20180286966A1. Автор: Chih-Kai Hsu,Jyh-Shyang Jenq,Shih-Hung Tsai,Li-Wei Feng. Владелец: United Microelectronics Corp. Дата публикации: 2018-10-04.

Densely spaced fins for semiconductor fin field effect transistors

Номер патента: US20160027776A1. Автор: Hong He,Chun-Chen Yeh,Chiahsun Tseng,Yunpeng Yin. Владелец: International Business Machines Corp. Дата публикации: 2016-01-28.

Densely spaced fins for semiconductor fin field effect transistors

Номер патента: US9508713B2. Автор: Hong He,Chun-Chen Yeh,Chiahsun Tseng,Yunpeng Yin. Владелец: International Business Machines Corp. Дата публикации: 2016-11-29.

Densely spaced fins for semiconductor fin field effect transistors

Номер патента: US9728534B2. Автор: Hong He,Chun-Chen Yeh,Chiahsun Tseng,Yunpeng Yin. Владелец: International Business Machines Corp. Дата публикации: 2017-08-08.

Semiconductor fin structure and method of forming the same

Номер патента: US20170278947A1. Автор: Chih-Kai Hsu,Jyh-Shyang Jenq,Shih-Hung Tsai,Li-Wei Feng. Владелец: United Microelectronics Corp. Дата публикации: 2017-09-28.

Semiconductor device with fin and related methods

Номер патента: US20180026136A1. Автор: Pierre Morin,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2018-01-25.

Semiconductor device with fin and related methods

Номер патента: US20210050449A1. Автор: Pierre Morin,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2021-02-18.

Semiconductor device with fin and related methods

Номер патента: US20200083376A1. Автор: Pierre Morin,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2020-03-12.

Semiconductor device with fin and related methods

Номер патента: US20190189802A1. Автор: Pierre Morin,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2019-06-20.

Semiconductor device with fin and related methods

Номер патента: US20170012127A1. Автор: Pierre Morin,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2017-01-12.

Semiconductor device with fin and related methods

Номер патента: US09466718B2. Автор: Pierre Morin,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2016-10-11.

SOURCE/DRAIN EPITAXIAL ELECTRICAL MONITOR

Номер патента: US20170098585A1. Автор: Nowak Edward J.,Robison Robert R.,Logan Lyndon R.. Владелец: . Дата публикации: 2017-04-06.

Techniques for Forming FINFET Transistors with Same Fin Pitch and Different Source/Drain Epitaxy Configurations

Номер патента: US20180122902A1. Автор: Cheng Kangguo,Xu Peng. Владелец: . Дата публикации: 2018-05-03.

Techniques for Forming FINFET Transistors with Same Fin Pitch and Different Source/Drain Epitaxy Configurations

Номер патента: US20180122903A1. Автор: Cheng Kangguo,Xu Peng. Владелец: . Дата публикации: 2018-05-03.

Different shallow trench isolation fill in fin and non-fin regions of finFET

Номер патента: US09704994B1. Автор: Chen Zhang,Peng Xu,Kangguo Cheng. Владелец: International Business Machines Corp. Дата публикации: 2017-07-11.

Semiconductor device having a plurality of fins and method for fabricating the same

Номер патента: US09659932B2. Автор: Yu-Lien Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-23.

Semiconductor devices having fins and an isolation region

Номер патента: US20230378175A1. Автор: Bao-Ru Young,Tung-Heng Hsieh,Chia-Sheng FAN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

Different shallow trench isolation fill in fin and non-fin regions of finfet

Номер патента: US20180102277A1. Автор: Chen Zhang,Peng Xu,Kangguo Cheng. Владелец: International Business Machines Corp. Дата публикации: 2018-04-12.

SEMICONDUCTOR DEVICE WITH FIN AND RELATED METHODS

Номер патента: US20170012127A1. Автор: Loubet Nicolas,Morin Pierre. Владелец: . Дата публикации: 2017-01-12.

SEMICONDUCTOR DEVICE WITH FIN AND RELATED METHODS

Номер патента: US20180026136A1. Автор: Loubet Nicolas,Morin Pierre. Владелец: . Дата публикации: 2018-01-25.

SEMICONDUCTOR DEVICE WITH FIN AND RELATED METHODS

Номер патента: US20210050449A1. Автор: Loubet Nicolas,Morin Pierre. Владелец: . Дата публикации: 2021-02-18.

SEMICONDUCTOR DEVICE WITH FIN AND RELATED METHODS

Номер патента: US20200083376A1. Автор: Loubet Nicolas,Morin Pierre. Владелец: . Дата публикации: 2020-03-12.

Integrated Circuit with a Fin and Gate Structure and Method Making the Same

Номер патента: US20200135890A1. Автор: TSAI Teng-Chun,Wang Chih-hao,Cheng Kuan-Lun,Chiang Kuo-Cheng. Владелец: . Дата публикации: 2020-04-30.

Source/drain epitaxial layers for transistors

Номер патента: US20230299180A1. Автор: Yee-Chia Yeo,Li-Li Su,Wei-Min Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-21.

Cleaning process for source/drain epitaxial structures

Номер патента: US11854831B2. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Cleaning process for source/drain epitaxial structures

Номер патента: US20240096646A1. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-21.

Nanosheet isolated source/drain epitaxy by surface treatment and incubation delay

Номер патента: US10256301B1. Автор: Alexander Reznicek. Владелец: International Business Machines Corp. Дата публикации: 2019-04-09.

Techniques for VFET Top Source/Drain Epitaxy

Номер патента: US20190140052A1. Автор: CHENG Chi,Kangguo Cheng,Ruilong Xie,Tenko Yamashita,Chun-Chen Yeh,Chi-chun Liu. Владелец: International Business Machines Corp. Дата публикации: 2019-05-09.

Nanosheet isolated source/drain epitaxy by surface treatment and incubation delay

Номер патента: US20190221640A1. Автор: Alexander Reznicek. Владелец: International Business Machines Corp. Дата публикации: 2019-07-18.

Techniques for VFET Top Source/Drain Epitaxy

Номер патента: US20180240873A1. Автор: CHENG Chi,Kangguo Cheng,Ruilong Xie,Tenko Yamashita,Chun-Chen Yeh,Chi-chun Liu. Владелец: International Business Machines Corp. Дата публикации: 2018-08-23.

Source/drain epitaxial layer profile

Номер патента: US11942547B2. Автор: Hsin-Chi Chen,Kun-Tsang Chuang,Gulbagh SINGH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-26.

Source/drain epitaxial layer profile

Номер патента: US20240194784A1. Автор: Hsin-Chi Chen,Kun-Tsang Chuang,Gulbagh SINGH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-13.

NANOSHEET SUBSTRATE ISOLATED SOURCE/DRAIN EPITAXY BY COUNTER-DOPED BOTTOM EPITAXY

Номер патента: US20190221638A1. Автор: Reznicek Alexander,Hekmatshoartabari Bahman. Владелец: . Дата публикации: 2019-07-18.

Method of forming shaped source/drain epitaxial layers of a semiconductor device

Номер патента: US20190006491A1. Автор: Ming-Hua Yu,Yi-Jing Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-01-03.

SOURCE/DRAIN EPITAXIAL LAYER PROFILE

Номер патента: US20200006560A1. Автор: CHEN Hsin-Chi,Chuang Kun-Tsang,SINGH Gulbagh. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2020-01-02.

Source/Drain Epitaxial Layer Profile

Номер патента: US20210013343A1. Автор: Chuang Kun-Tsang,SINGH Gulbagh,Chen Hsin-Chu. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2021-01-14.

VERTICAL FIELD EFFECT TRANSISTORS WITH BOTTOM SOURCE/DRAIN EPITAXY

Номер патента: US20180033869A1. Автор: Cheng Kangguo,XU Wenyu,Zhang Chen,Miao Xin. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2018-02-01.

NANOSHEET SUBSTRATE ISOLATED SOURCE/DRAIN EPITAXY VIA AIRGAP

Номер патента: US20200044023A1. Автор: Reznicek Alexander,Miao Xin,Zhang Jingyun,Lee Choonghyun. Владелец: . Дата публикации: 2020-02-06.

V-Shape Recess Profile for Embedded Source/Drain Epitaxy

Номер патента: US20200052121A1. Автор: Li Chii-Horng,Lin Yih-Ann,Chen Chih-Shan,Lee Yen-Ru,LIN TZU-CHING,Tai Roger. Владелец: . Дата публикации: 2020-02-13.

NANOSHEET MOSFET WITH ISOLATED SOURCE/DRAIN EPITAXY AND CLOSE JUNCTION PROXIMITY

Номер патента: US20200052124A1. Автор: Reznicek Alexander,Miao Xin,Zhang Jingyun,Lee Choonghyun. Владелец: . Дата публикации: 2020-02-13.

VERTICAL FIELD EFFECT TRANSISTORS WITH BOTTOM SOURCE/DRAIN EPITAXY

Номер патента: US20190081157A1. Автор: Cheng Kangguo,XU Wenyu,Zhang Chen,Miao Xin. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2019-03-14.

NANOSHEET SUBSTRATE ISOLATED SOURCE/DRAIN EPITAXY BY NITROGEN IMPLANTATION

Номер патента: US20190109052A1. Автор: Reznicek Alexander. Владелец: . Дата публикации: 2019-04-11.

Flexible Merge Scheme for Source/Drain Epitaxy Regions

Номер патента: US20190109141A1. Автор: Chen Yen-Ming,Yang Feng-Cheng,Wang Sheng-Chen,Yeong Sai-Hooi,Lee Kai-Hsuan,YU Chia-Ta,Yang Cheng-Yu. Владелец: . Дата публикации: 2019-04-11.

SEMICONDUCTOR DEVICE INCLUDING SOURCE/DRAIN EPITAXIAL LAYER HAVING FACETS AND MANUFACTURING METHOD THEREOF

Номер патента: US20200105888A1. Автор: HSU Chao-Wei. Владелец: . Дата публикации: 2020-04-02.

VERTICAL FIELD EFFECT TRANSISTORS WITH BOTTOM SOURCE/DRAIN EPITAXY

Номер патента: US20180114849A1. Автор: Cheng Kangguo,XU Wenyu,Zhang Chen,Miao Xin. Владелец: . Дата публикации: 2018-04-26.

Techniques for VFET Top Source/Drain Epitaxy

Номер патента: US20190140052A1. Автор: CHENG Chi,Kangguo Cheng,Ruilong Xie,Tenko Yamashita,Chun-Chen Yeh,Chi-chun Liu. Владелец: International Business Machines Corp. Дата публикации: 2019-05-09.

MASKLESS TOP SOURCE/DRAIN EPITAXIAL GROWTH ON VERTICAL TRANSPORT FIELD EFFECT TRANSISTOR

Номер патента: US20200135585A1. Автор: OK Injo,Lee Choonghyun,Mochizuki Shogo,Seo Soon-Cheon. Владелец: . Дата публикации: 2020-04-30.

Method of forming shaped source/drain epitaxial layers of a semiconductor device

Номер патента: US20200135903A1. Автор: Ming-Hua Yu,Yi-Jing Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-30.

WRAP AROUND CONTACT FOR NANOSHEET SOURCE DRAIN EPITAXY

Номер патента: US20210193829A1. Автор: Reznicek Alexander,Miao Xin,Zhang Jingyun,Lee Choonghyun. Владелец: . Дата публикации: 2021-06-24.

Confined Source/Drain Epitaxy Regions and Method Forming Same

Номер патента: US20200176560A1. Автор: Yu Ming-Hua,YANG Tsung-Hsi,KWOK Tsz-Mei,Yu Jeng-Wei,Chou Li-Wei. Владелец: . Дата публикации: 2020-06-04.

EFFECTIVE JUNCTION FORMATION IN VERTICAL TRANSISTOR STRUCTURES BY ENGINEERED BOTTOM SOURCE/DRAIN EPITAXY

Номер патента: US20190189777A1. Автор: Reznicek Alexander,Mochizuki Shogo. Владелец: . Дата публикации: 2019-06-20.

METHOD AND STRUCTURE FOR FORMING DIELECTRIC ISOLATED FINFET WITH IMPROVED SOURCE/DRAIN EPITAXY

Номер патента: US20170213730A1. Автор: Cheng Kangguo,Li Juntao. Владелец: . Дата публикации: 2017-07-27.

V-Shape Recess Profile for Embedded Source/Drain Epitaxy

Номер патента: US20170222053A1. Автор: Li Chii-Horng,Lin Yih-Ann,Chen Chih-Shan,Lee Yen-Ru,LIN TZU-CHING,Tai Roger. Владелец: . Дата публикации: 2017-08-03.

METHOD AND STRUCTURE FOR FORMING DIELECTRIC ISOLATED FINFET WITH IMPROVED SOURCE/DRAIN EPITAXY

Номер патента: US20180226497A1. Автор: Cheng Kangguo,Li Juntao. Владелец: . Дата публикации: 2018-08-09.

Nanosheet isolated source/drain epitaxy by surface treatment and incubation delay

Номер патента: US20190221640A1. Автор: Alexander Reznicek. Владелец: International Business Machines Corp. Дата публикации: 2019-07-18.

EFFECTIVE JUNCTION FORMATION IN VERTICAL TRANSISTOR STRUCTURES BY ENGINEERED BOTTOM SOURCE/DRAIN EPITAXY

Номер патента: US20190229204A1. Автор: Reznicek Alexander,Mochizuki Shogo. Владелец: . Дата публикации: 2019-07-25.

EFFECTIVE JUNCTION FORMATION IN VERTICAL TRANSISTOR STRUCTURES BY ENGINEERED BOTTOM SOURCE/DRAIN EPITAXY

Номер патента: US20190229205A1. Автор: Reznicek Alexander,Mochizuki Shogo. Владелец: . Дата публикации: 2019-07-25.

Techniques for VFET Top Source/Drain Epitaxy

Номер патента: US20180240873A1. Автор: CHENG Chi,Kangguo Cheng,Ruilong Xie,Tenko Yamashita,Chun-Chen Yeh,Chi-chun Liu. Владелец: International Business Machines Corp. Дата публикации: 2018-08-23.

METHOD AND STRUCTURE FOR FORMING DIELECTRIC ISOLATED FINFET WITH IMPROVED SOURCE/DRAIN EPITAXY

Номер патента: US20170243959A1. Автор: Cheng Kangguo,Li Juntao. Владелец: . Дата публикации: 2017-08-24.

MASKLESS TOP SOURCE/DRAIN EPITAXIAL GROWTH ON VERTICAL TRANSPORT FIELD EFFECT TRANSISTOR

Номер патента: US20200235015A1. Автор: OK Injo,Lee Choonghyun,Mochizuki Shogo,Seo Soon-Cheon. Владелец: . Дата публикации: 2020-07-23.

DIAMOND SHAPED SOURCE DRAIN EPITAXY WITH UNDERLYING BUFFER LAYER

Номер патента: US20160268413A1. Автор: Basker Veeraraghavan S.,Reznicek Alexander,Utomo Henry K.,KE YUE,Harley Eric C. T.. Владелец: . Дата публикации: 2016-09-15.

V-Shape Recess Profile for Embedded Source/Drain Epitaxy

Номер патента: US20200273993A1. Автор: Li Chii-Horng,Lin Yih-Ann,Chen Chih-Shan,Lee Yen-Ru,LIN TZU-CHING,Tai Roger. Владелец: . Дата публикации: 2020-08-27.

FINFET DEVICE WITH VERTICAL SILICIDE ON RECESSED SOURCE/DRAIN EPITAXY REGIONS

Номер патента: US20150303281A1. Автор: Reznicek Alexander,Kerber Pranita,Fogel Keith E.,Ouyang Qiqing C.. Владелец: . Дата публикации: 2015-10-22.

CONFINED SOURCE DRAIN EPITAXY TO REDUCE SHORTS IN CMOS INTEGRATED CIRCUITS

Номер патента: US20200295200A1. Автор: Reznicek Alexander,Yeh Chun-chen,Xie Ruilong,Yu Lan. Владелец: . Дата публикации: 2020-09-17.

Flexible Merge Scheme for Source/Drain Epitaxy Regions

Номер патента: US20180308852A1. Автор: Chen Yen-Ming,Yang Feng-Cheng,Wang Sheng-Chen,Yeong Sai-Hooi,Lee Kai-Hsuan,YU Chia-Ta,Yang Cheng-Yu. Владелец: . Дата публикации: 2018-10-25.

NANORIBBON STRUCTURES WITH RECESSED SOURCE-DRAIN EPITAXY

Номер патента: US20190305135A1. Автор: Then Han Wui,Radosavljevic Marko,DASGUPTA Sansaptak. Владелец: . Дата публикации: 2019-10-03.

V-SHAPE RECESS PROFILE FOR EMBEDDED SOURCE/DRAIN EPITAXY

Номер патента: US20180337283A1. Автор: Li Chii-Horng,Lin Yih-Ann,Chen Chih-Shan,Lee Yen-Ru,LIN TZU-CHING,Tai Roger. Владелец: . Дата публикации: 2018-11-22.

Vertical field effect transistors with bottom source/drain epitaxy

Номер патента: US20170352742A1. Автор: Chen Zhang,Kangguo Cheng,Xin Miao,Wenyu Xu. Владелец: International Business Machines Corp. Дата публикации: 2017-12-07.

VERTICAL FIELD EFFECT TRANSISTORS WITH BOTTOM SOURCE/DRAIN EPITAXY

Номер патента: US20170352743A1. Автор: Cheng Kangguo,XU Wenyu,Zhang Chen,Miao Xin. Владелец: . Дата публикации: 2017-12-07.

Field-effect transistor with size-reduced source/drain epitaxy and fabrication method thereof

Номер патента: US20200403064A1. Автор: LEE Seung Hwan,JEONG Jin Su,BAEK Rock Hyun,YOON Jun Sik. Владелец: . Дата публикации: 2020-12-24.

SOURCE/DRAIN EPITAXIAL LAYER PROFILE

Номер патента: US20220359751A1. Автор: CHEN Hsin-Chi,Chuang Kun-Tsang,SINGH Gulbagh. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2022-11-10.

Source/drain epitaxial layers for transistors

Номер патента: US20210305403A1. Автор: Yee-Chia Yeo,Li-Li Su,Wei-Min Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-09-30.

Source/drain epitaxial layer profile

Номер патента: US10790391B2. Автор: Hsin-Chi Chen,Kun-Tsang Chuang,Gulbagh SINGH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-09-29.

CMOS NFET and PFET comparable spacer width

Номер патента: US09627382B2. Автор: Soon-Cheon Seo,Kangguo Cheng,Injo OK. Владелец: International Business Machines Corp. Дата публикации: 2017-04-18.

Vertical fet with different channel orientations for nfet and pfet

Номер патента: US20180240716A1. Автор: Chen Zhang,Kangguo Cheng,Xin Miao,Wenyu Xu. Владелец: International Business Machines Corp. Дата публикации: 2018-08-23.

Dummy fin between first and second semiconductor fins

Номер патента: US12142609B2. Автор: Shih-Yao Lin,Chih-Han Lin,Jr-Jung LIN,Yun-Ting Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-12.

METAL OXIDE INTERLAYER STRUCTURE FOR nFET AND pFET

Номер патента: US20210066469A1. Автор: Wang Chih-hao,CAO Min,Tsai Ching-Wei,Cheng Kuan-Lun,Yeong Sai-Hooi,Wang Pei-Yu. Владелец: . Дата публикации: 2021-03-04.

Method of lateral oxidation of nfet and pfet high-k gate stacks

Номер патента: US20160365252A1. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2016-12-15.

Method of lateral oxidation of NFET and PFET high-k gate stacks

Номер патента: US09941128B2. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2018-04-10.

Method of lateral oxidation of NFET and PFET high-K gate stacks

Номер патента: US09466492B2. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2016-10-11.

METAL OXIDE INTERLAYER STRUCTURE FOR nFET AND pFET

Номер патента: US20220045192A1. Автор: Wang Chih-hao,CAO Min,Tsai Ching-Wei,Cheng Kuan-Lun,Yeong Sai-Hooi,Wang Pei-Yu. Владелец: . Дата публикации: 2022-02-10.

Method of lateral oxidation of nfet and pfet high-k gate stacks

Номер патента: US20180174847A1. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2018-06-21.

CMOS NFET AND PFET COMPARABLE SPACER WIDTH

Номер патента: US20160240535A1. Автор: Cheng Kangguo,OK Injo,Seo Soon-Cheon. Владелец: . Дата публикации: 2016-08-18.

VERTICAL FET WITH DIFFERENT CHANNEL ORIENTATIONS FOR NFET AND PFET

Номер патента: US20180240716A1. Автор: Cheng Kangguo,XU Wenyu,Zhang Chen,Miao Xin. Владелец: . Дата публикации: 2018-08-23.

METHOD OF LATERAL OXIDATION OF NFET AND PFET HIGH-K GATE STACKS

Номер патента: US20190267243A1. Автор: Ando Takashi,Frank Martin M.,Dennard Robert H.. Владелец: . Дата публикации: 2019-08-29.

INTEGRATED CIRCUITS WITH VERTICAL JUNCTIONS BETWEEN nFETS AND pFETS, AND METHODS OF MANUFACTURING THE SAME

Номер патента: US20150357433A1. Автор: Baars Peter,Moll Hans-Peter. Владелец: . Дата публикации: 2015-12-10.

METHOD OF LATERAL OXIDATION OF NFET AND PFET HIGH-K GATE STACKS

Номер патента: US20160365252A1. Автор: Ando Takashi,Frank Martin M.,Dennard Robert H.. Владелец: . Дата публикации: 2016-12-15.

INTEGRATING AND ISOLATING NFET AND PFET NANOSHEET TRANSISTORS ON A SUBSTRATE

Номер патента: US20180374761A1. Автор: Sankarapandian Muthumanickam,Guillorn Michael A.,Loubet Nicolas J.. Владелец: . Дата публикации: 2018-12-27.

Method of lateral oxidation of nfet and pfet high-k gate stacks

Номер патента: US20180174847A1. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2018-06-21.

Method of lateral oxidation of nfet and pfet high-k gate stacks

Номер патента: US20190267243A1. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2019-08-29.

Increasing carrier mobility in NFET and PFET transistors on a common wafer

Номер патента: US7211869B2. Автор: Haining Yang,Victor Chan. Владелец: International Business Machines Corp. Дата публикации: 2007-05-01.

Utilizing multiplayer gate spacer to reduce erosion of semiconductor fin during spacer patterning

Номер патента: GB202001032D0. Автор: . Владелец: International Business Machines Corp. Дата публикации: 2020-03-11.

Hybrid cmos with fin and nanosheet architectures

Номер патента: US20240222375A1. Автор: Daniel Schmidt,Alexander Reznicek,Ruilong Xie,Tsung-Sheng KANG. Владелец: International Business Machines Corp. Дата публикации: 2024-07-04.

Field effect transistors with gate fins and method of making the same

Номер патента: US12015084B2. Автор: Takashi Kobayashi,Mitsuhiro Togo,Sudarshan Narayanan. Владелец: SanDisk Technologies LLC. Дата публикации: 2024-06-18.

FORMING IV FINS AND III-V FINS ON INSULATOR

Номер патента: US20160126244A1. Автор: Doris Bruce B.,Cheng Kangguo,Reznicek Alexander,Khakifirooz Ali,Hashemi Pouya. Владелец: . Дата публикации: 2016-05-05.

Semiconductor integrated circuit device having a standard cell which includes a fin and a dummy transistor

Номер патента: US20220278096A1. Автор: Hiroyuki Shimbo. Владелец: Socionext Inc. Дата публикации: 2022-09-01.

Semiconductor integrated circuit device having a standard cell which includes a fin and a dummy transistor

Номер патента: US12094878B2. Автор: Hiroyuki Shimbo. Владелец: Socionext Inc. Дата публикации: 2024-09-17.

Semiconductor integrated circuit device having a standard cell which includes a fin and a dummy transistor

Номер патента: US20230387116A1. Автор: Hiroyuki Shimbo. Владелец: Socionext Inc. Дата публикации: 2023-11-30.

Semiconductor integrated circuit device having a standard cell which includes a fin and a dummy transistor

Номер патента: US11764217B2. Автор: Hiroyuki Shimbo. Владелец: Socionext Inc. Дата публикации: 2023-09-19.

MULTI-HEIGHT MULTI-COMPOSITION SEMICONDUCTOR FINS

Номер патента: US20150333087A1. Автор: Greene Brian J.,Hong Augustin J.,Kim Byeong Y.,Mocuta Dan M.. Владелец: . Дата публикации: 2015-11-19.

HARD MASK FOR SOURCE/DRAIN EPITAXY CONTROL

Номер патента: US20150044830A1. Автор: KIRKPATRICK BRIAN K.,Farber David Gerald,Lii Tom. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2015-02-12.

Semiconductor fin fabrication method and Fin FET device fabrication method

Номер патента: US09698253B2. Автор: Jing Zhao. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2017-07-04.

Non-Planar Transistors with Replacement Fins and Methods of Forming the Same

Номер патента: US20160013106A1. Автор: Ka-Hing Fung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-01-14.

Method for preparing semiconductor device with annular semiconductor fin

Номер патента: US11296211B2. Автор: Te-Yin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2022-04-05.

Non-planar transistors with replacement fins and methods of forming the same

Номер патента: US09543209B2. Автор: Ka-Hing Fung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-10.

Epitaxial Features Confined by Dielectric Fins and Spacers

Номер патента: US20210210618A1. Автор: Chih-Hao Wang,Kuo-Cheng Chiang,Kuan-Lun Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-07-08.

Epitaxial Features Confined by Dielectric Fins and Spacers

Номер патента: US20200091312A1. Автор: Chih-Hao Wang,Kuan-Lun Cheng,Kuo-Cheng Ching. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-19.

Semiconductor Fin Structure Cut Process

Номер патента: US20230162988A1. Автор: Yanzhan Qiu. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2023-05-25.

Electronic device including a semiconductor fin and a process for forming the electronic device

Номер патента: US20080296620A1. Автор: Bich-Yen Nguyen,Da Zhang. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2008-12-04.

Method for preparing semiconductor device with annular semiconductor fin

Номер патента: US11777012B2. Автор: Te-Yin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2023-10-03.

Method for preparing semiconductor device with annular semiconductor fin

Номер патента: US20210408267A1. Автор: Te-Yin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2021-12-30.

FinFETs with vertical Fins and methods for forming the same

Номер патента: US09711623B2. Автор: Ming-Chyi Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-18.

Contacts to semiconductor fin devices

Номер патента: US7262086B2. Автор: Yee-Chia Yeo,Chenming Hu,Fu-Liang Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2007-08-28.

Semiconductor fin on local oxide

Номер патента: WO2014035532A1. Автор: Reinaldo A. Vega,Michael V. Aquilino,Daniel J. JAEGER. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2014-03-06.

Rare-earth oxide isolated semiconductor fin

Номер патента: US9058987B2. Автор: Kangguo Cheng,Geng Wang,Chengwen Pei,Joseph Ervin,Ravi M. Todi. Владелец: International Business Machines Corp. Дата публикации: 2015-06-16.

Rare-earth oxide isolated semiconductor fin

Номер патента: US20130154007A1. Автор: Kangguo Cheng,Geng Wang,Chengwen Pei,Joseph Ervin,Ravi M. Todi. Владелец: International Business Machines Corp. Дата публикации: 2013-06-20.

Gate electrode for a semiconductor fin device

Номер патента: SG173995A1. Автор: Yee-Chia Yeo,Chenming Hu,Hao-Yu Chen,Fu Liang Yang. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2011-09-29.

Rare-earth oxide isolated semiconductor fin

Номер патента: WO2013089953A1. Автор: Kangguo Cheng,Geng Wang,Chengwen Pei,Joseph Ervin,Ravi M. Todi. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-06-20.

Rare-earth oxide isolated semiconductor fin

Номер патента: US20150037939A1. Автор: Kangguo Cheng,Geng Wang,Chengwen Pei,Joseph Ervin,Ravi M. Todi. Владелец: International Business Machines Corp. Дата публикации: 2015-02-05.

Method of forming semiconductor fins

Номер патента: US20140148011A1. Автор: Dae-Han Choi,Chang Ho Maeng,Dae Geun Yang. Владелец: Globalfoundries Inc. Дата публикации: 2014-05-29.

Devices and methods of forming unmerged epitaxy for finfet device

Номер патента: US20180097089A1. Автор: Hui Zang,Bingwu Liu. Владелец: Globalfoundries Inc. Дата публикации: 2018-04-05.

Adjacent strained <100> NFET fins and <110> PFET fins

Номер патента: US09892975B2. Автор: Kangguo Cheng,Bruce B. Doris,Pouya Hashemi,Alexander Reznicek. Владелец: International Business Machines Corp. Дата публикации: 2018-02-13.

Devices and methods of forming unmerged epitaxy for FinFET device

Номер патента: US09853128B2. Автор: Hui Zang,Bingwu Liu. Владелец: Globalfoundries Inc. Дата публикации: 2017-12-26.

Adjacent strained <100> NFET fins and <110> PFET fins

Номер патента: US09583507B2. Автор: Kangguo Cheng,Bruce B. Doris,Pouya Hashemi,Alexander Reznicek. Владелец: International Business Machines Corp. Дата публикации: 2017-02-28.

Method of fabricating trimmed fin and fin structure

Номер патента: US20200058773A1. Автор: Yu-Cheng Shen,Guan-Jie Shen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-02-20.

Method for manufacturing suspended fin and gate-all-around field effect transistor

Номер патента: US20120149162A1. Автор: Yi Song,Qiuxia Xu,Huajie Zhou. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-06-14.

Spacer integration scheme for NFET and PFET devices

Номер патента: TW201816847A. Автор: 彭建偉,吳旭升. Владелец: 格羅方德美國公司. Дата публикации: 2018-05-01.

Fabrication of semiconductor fin structures

Номер патента: US09735010B1. Автор: Daniele Caimi,Lukas Czornomaz,Jean Fompeyrine,Emanuele Uccelli. Владелец: International Business Machines Corp. Дата публикации: 2017-08-15.

SEMICONDUCTOR FIN PATTERNING TECHNIQUES TO ACHIEVE UNIFORM FIN PROFILES FOR FIN FIELD EFFECT TRANSISTORS

Номер патента: US20190115263A1. Автор: Cheng Kangguo,Xu Peng,Li Juntao,Bi Zhenxing. Владелец: . Дата публикации: 2019-04-18.

METHOD FOR FABRICATING NFET AND PFET NANOWIRE DEVICES

Номер патента: US20180315665A1. Автор: Kal Subhadeep,Mosden Aelan,Pereira Cheryl. Владелец: . Дата публикации: 2018-11-01.

DOUBLE DENSITY SEMICONDUCTOR FINS AND METHOD OF FABRICATION

Номер патента: US20140145295A1. Автор: Yin Yunpeng,Yeh Chun-chen,He Hong,Tseng Chiahsun. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2014-05-29.

TWO-DIMENSIONAL CONDENSATION FOR UNIAXIALLY STRAINED SEMICONDUCTOR FINS

Номер патента: US20160049513A1. Автор: Cea Stephen M.,Kavalieros Jack T.,Kuhn Markus,ZELICK NANCY,Jin Been-Yih. Владелец: . Дата публикации: 2016-02-18.

SEMICONDUCTOR FIN STRUCTURE AND METHOD OF FABRICATING THE SAME

Номер патента: US20200212205A1. Автор: Witters Liesbeth,Eneman Geert,PAWLAK Bartlomiej,POURTOIS Geoffrey. Владелец: . Дата публикации: 2020-07-02.

TUNNELING FIELD EFFECT TRANSISTOR (TFET) HAVING A SEMICONDUCTOR FIN STRUCTURE

Номер патента: US20180301547A1. Автор: Liu Qing,Celik Salih Muhsin. Владелец: STMicroelectronics, Inc.. Дата публикации: 2018-10-18.

TUNNELING FIELD EFFECT TRANSISTOR (TFET) HAVING A SEMICONDUCTOR FIN STRUCTURE

Номер патента: US20160322479A1. Автор: Liu Qing,Celik Salih Muhsin. Владелец: STMicroelectronics, Inc.. Дата публикации: 2016-11-03.

TWO-DIMENSIONAL CONDENSATION FOR UNIAXIALLY STRAINED SEMICONDUCTOR FINS

Номер патента: US20170317172A1. Автор: Cea Stephen M.,Kavalieros Jack T.,Kuhn Markus,ZELICK NANCY,Jin Been-Yih. Владелец: . Дата публикации: 2017-11-02.

TWO-DIMENSIONAL CONDENSATION FOR UNIAXIALLY STRAINED SEMICONDUCTOR FINS

Номер патента: US20160329403A1. Автор: Cea Stephen M.,Kavalieros Jack T.,Kuhn Markus,ZELICK NANCY,Jin Been-Yih. Владелец: . Дата публикации: 2016-11-10.

METHODS OF FABRICATING SEMICONDUCTOR FIN STRUCTURES

Номер патента: US20150340289A1. Автор: Park Chanro,Bentley Steven. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2015-11-26.

Fabrication of semiconductor fin structures

Номер патента: US20170345656A1. Автор: Daniele Caimi,Lukas Czornomaz,Jean Fompeyrine,Emanuele Uccelli. Владелец: International Business Machines Corp. Дата публикации: 2017-11-30.

Tunneling field effect transistor (TFET) having a semiconductor fin structure

Номер патента: US10388772B2. Автор: Qing Liu,Salih Muhsin Celik. Владелец: STMicroelectronics lnc USA. Дата публикации: 2019-08-20.

Fabrication of semiconductor fin structures

Номер патента: US10424478B2. Автор: Daniele Caimi,Lukas Czornomaz,Jean Fompeyrine,Emanuele Uccelli. Владелец: International Business Machines Corp. Дата публикации: 2019-09-24.

Dual isolation fin and method of making

Номер патента: US09711617B2. Автор: SANGHOON Lee,Effendi Leobandung,Cheng-Wei Cheng. Владелец: International Business Machines Corp. Дата публикации: 2017-07-18.

Silicon germanium fins and integration methods

Номер патента: US20230197849A1. Автор: Haiting Wang,Hong Yu,Zhenyu Hu. Владелец: GlobalFoundries US Inc. Дата публикации: 2023-06-22.

Dual-SiGe epitaxy for MOS devices

Номер патента: US09466716B2. Автор: Yin-Pin Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-11.

Semiconductor device including fin and method for manufacturing the same

Номер патента: US11769803B2. Автор: Sungmin Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-09-26.

Semiconductor device including fin and method for manufacturing the same

Номер патента: US20210305375A1. Автор: Sungmin Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-09-30.

Hybrid Source Drain Regions Formed Based on Same Fin and Methods Forming Same

Номер патента: US20210020524A1. Автор: Wang Chih-hao,Lin Chun-Hsiung,WANG Pei-Hsun,Chen Shih-Cheng. Владелец: . Дата публикации: 2021-01-21.

METHOD OF FABRICATING TRIMMED FIN AND FIN STRUCTURE

Номер патента: US20200058773A1. Автор: Shen Guan-Jie,Shen Yu-Cheng. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2020-02-20.

FIN STRUCTURES AND MULTI-VT SCHEME BASED ON TAPERED FIN AND METHOD TO FORM

Номер патента: US20170084718A1. Автор: Chi Min-Hwa,Wu Xusheng,BANGHART Edmund Kenneth. Владелец: . Дата публикации: 2017-03-23.

Forming iv fins and iii-v fins on insulator

Номер патента: US20160093695A1. Автор: Kangguo Cheng,Bruce B. Doris,Pouya Hashemi,Alexander Reznicek,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2016-03-31.

ADJACENT STRAINED <100> NFET FINS AND <110> PFET FINS

Номер патента: US20170092545A1. Автор: Doris Bruce B.,Cheng Kangguo,Reznicek Alexander,Hashemi Pouya. Владелец: . Дата публикации: 2017-03-30.

SEMICONDUCTOR DEVICE INCLUDING FIN AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20220271129A1. Автор: Kim Sungmin. Владелец: Samsung Electronics Co.. Ltd.. Дата публикации: 2022-08-25.

FORMATION OF VTFET FIN AND VERTICAL FIN PROFILE

Номер патента: US20200144131A1. Автор: Cheng Kangguo,Mignot Yann,Miller Eric R.,Bergendahl Marc. Владелец: . Дата публикации: 2020-05-07.

DUAL ISOLATION FIN AND METHOD OF MAKING

Номер патента: US20170170297A1. Автор: Cheng Cheng-Wei,Leobandung Effendi,Lee Sanghoon. Владелец: . Дата публикации: 2017-06-15.

Fin and heat sink having the same

Номер патента: US20110277965A1. Автор: Kuo-Sheng Lin,FeiFei Shi. Владелец: Asia Vital Components Co Ltd. Дата публикации: 2011-11-17.

Heat sinks having pin-shaped fins and process for producing same

Номер патента: US5421406A. Автор: Tomio Ito,Yoshifumi Shimajiri,Akira Furusawa,Takashi Kiyosue. Владелец: Showa Aluminum Corp. Дата публикации: 1995-06-06.

Riveting apparatus for thin heat sink fin and thin cover plate

Номер патента: US20210389059A1. Автор: Tsung-Hsien Huang. Владелец: Dong Guan Han Xu Hardware & Plastic Technology Co Ltd. Дата публикации: 2021-12-16.

Heat sink with condensing fins and phase change material

Номер патента: US20200340752A1. Автор: Salah Addin Burhan Al Omari. Владелец: UNITED ARAB EMIRATES UNIVERSITY. Дата публикации: 2020-10-29.

Ultra Dense and Stable 4T SRAM Cell Design Having Nfets And Pfets

Номер патента: US20190189195A1. Автор: Myung-Hee Na,Sean Burns,Robert Wong,Jens Haetty. Владелец: International Business Machines Corp. Дата публикации: 2019-06-20.

Semiconductor fin design to mitigate fin collapse

Номер патента: WO2018125179A1. Автор: Tahir Ghani,Glenn A. Glass,Anand S. Murthy,Daniel Pantuso,Chytra PAWASHE. Владелец: Intel Corporation. Дата публикации: 2018-07-05.

Semiconductor fin design to mitigate fin collapse

Номер патента: US20200066595A1. Автор: Tahir Ghani,Glenn A. Glass,Anand S. Murthy,Daniel Pantuso,Chytra PAWASHE. Владелец: Intel Corp. Дата публикации: 2020-02-27.

Method of forming semiconductor fins

Номер патента: US20160043081A1. Автор: Mariappan Hariharaputhiran,Dae-Han Choi,Xiang Hu,Andy Chih-Hung Wei,Dae Geun Yang. Владелец: Globalfoundries Inc. Дата публикации: 2016-02-11.

Forming semiconductor fins with self-aligned patterning

Номер патента: US09704859B1. Автор: Peng Xu,Kangguo Cheng,Fee Li LIE. Владелец: International Business Machines Corp. Дата публикации: 2017-07-11.

Forming semiconductor fins with self-aligned patterning

Номер патента: US09601347B1. Автор: Peng Xu,Kangguo Cheng,Fee Li LIE. Владелец: International Business Machines Corp. Дата публикации: 2017-03-21.

Forming semiconductor fins with self-aligned patterning

Номер патента: US9595613B1. Автор: Peng Xu,Kangguo Cheng,Fee Li LIE. Владелец: International Business Machines Corp. Дата публикации: 2017-03-14.

SEMICONDUCTOR FIN DESIGN TO MITIGATE FIN COLLAPSE

Номер патента: US20200066595A1. Автор: Ghani Tahir,Glass Glenn A.,Murthy Anand S.,Pantuso Daniel,Pawashe Chytra. Владелец: Intel Corporation. Дата публикации: 2020-02-27.

Systems and devices including fin field-effect transistors each having U-shaped semiconductor fin

Номер патента: US9190494B2. Автор: Werner Juengling. Владелец: Micron Technology Inc. Дата публикации: 2015-11-17.

Guard Rings including Semiconductor Fins and Regrown Regions

Номер патента: US20170084495A1. Автор: HU Chia-Hsin,Liang Min-Chang. Владелец: . Дата публикации: 2017-03-23.

Bipolar transistor structure on semiconductor fin and methods to form same

Номер патента: US20230223462A1. Автор: Hong Yu,Judson R. Holt,Alexander M. Derrickson. Владелец: GlobalFoundries US Inc. Дата публикации: 2023-07-13.

SELECTIVE REMOVAL OF SEMICONDUCTOR FINS

Номер патента: US20160013183A1. Автор: Cheng Kangguo,Basker Veeraraghavan S.,Khakifirooz Ali. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2016-01-14.

EPITAXIAL AND SILICIDE LAYER FORMATION AT TOP AND BOTTOM SURFACES OF SEMICONDUCTOR FINS

Номер патента: US20170047411A1. Автор: Cheng Kangguo,Yamashita Tenko,Xie Ruilong,Liu Zuoguang. Владелец: . Дата публикации: 2017-02-16.

ESD Devices Comprising Semiconductor Fins

Номер патента: US20140131765A1. Автор: Tsung-Che Tsai,Jam-Wem Lee,Yi-Feng Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-05-15.

SELECTIVE REMOVAL OF SEMICONDUCTOR FINS

Номер патента: US20200058554A1. Автор: Cheng Kangguo,Basker Veeraraghavan S.,Khakifirooz Ali. Владелец: . Дата публикации: 2020-02-20.

SEMICONDUCTOR FIN LOOP FOR USE WITH DIFFUSION BREAK

Номер патента: US20180130711A1. Автор: Chi Min-Hwa,Zang Hui. Владелец: . Дата публикации: 2018-05-10.

Selective removal of semiconductor fins

Номер патента: US20170178960A1. Автор: Kangguo Cheng,Ali Khakifirooz,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-06-22.

SEMICONDUCTOR FIN STRUCTURES HAVING SILICIDED PORTIONS

Номер патента: US20200194567A1. Автор: Baudot Sylvain,VAN DER PLAS Geert,Hiblot Gaspard. Владелец: . Дата публикации: 2020-06-18.

LOCAL THINNING OF SEMICONDUCTOR FINS

Номер патента: US20160359038A1. Автор: Cheng Kangguo,Radens Carl J.,Divakaruni Ramachandra. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2016-12-08.

Semiconductor Fin Cutting Process and Structures Formed Thereby

Номер патента: US20200411386A1. Автор: Cheng Kai Hung,Lin Chia-Hui,Huang Shih-Wen,CHANG Jaming,CHEN Jei Ming. Владелец: . Дата публикации: 2020-12-31.

Esd devices comprising semiconductor fins

Номер патента: KR101454537B1. Автор: 이펭 창,쩡체 짜이,잠웸 이. Владелец: 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드. Дата публикации: 2014-10-23.

Passive devices over polycrystalline semiconductor fins

Номер патента: US11289474B2. Автор: Wang Zheng,Tung-Hsing Lee,Man Gu,Teng-Yin LIN,Halting Wang. Владелец: GlobalFoundries US Inc. Дата публикации: 2022-03-29.

ESD devices with semiconductor fins

Номер патента: DE102013101705A1. Автор: Tsung-Che Tsai,Jam-Wem Lee,Yi-Feng Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-05-15.

Wrap-around contact structures for semiconductor fins

Номер патента: US11688637B2. Автор: Rishabh Mehandru. Владелец: Intel Corp. Дата публикации: 2023-06-27.

Semiconductor device with body spacer at the bottom of the fin and method for manufacturing the same

Номер патента: US09564434B2. Автор: Huilong Zhu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2017-02-07.

Semiconductor device with silicon-film fins and method of manufacturing the same

Номер патента: US20070090468A1. Автор: Hirohisa Kawasaki,Kazunari Ishimaru. Владелец: Toshiba Corp. Дата публикации: 2007-04-26.

Semiconductor device with silicon-film fins and method of manufacturing the same

Номер патента: US7541245B2. Автор: Hirohisa Kawasaki,Kazunari Ishimaru. Владелец: Toshiba Corp. Дата публикации: 2009-06-02.

Field effect transistors with gate fins and method of making the same

Номер патента: US11967626B2. Автор: Takashi Kobayashi,Mitsuhiro Togo,Sudarshan Narayanan. Владелец: SanDisk Technologies LLC. Дата публикации: 2024-04-23.

FinFETs having Epitaxial Capping Layer on Fin and Methods for Forming the Same

Номер патента: US20200027970A1. Автор: Yu Ming-Hua,CHEN Hou-Yu,TSAO Chih-Pin. Владелец: . Дата публикации: 2020-01-23.

THIN FILM CORE-SHELL FIN AND NANOWIRE TRANSISTORS

Номер патента: US20200127142A1. Автор: Ghani Tahir,Kavalieros Jack T.,Dewey Gilbert,Pillarisetty Ravi,Le Van H.,SHARMA Abhishek A.,SHIVARAMAN Shriram. Владелец: . Дата публикации: 2020-04-23.

Ditches near semiconductor fins and methods for forming the same

Номер патента: US8829606B1. Автор: Mark Van Dal. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-09-09.

Selective and non-selective epitaxy for base intergration in a BiCMOS process

Номер патента: US7795703B1. Автор: Greg D. U&#39;ren. Владелец: Newport Fab LLC. Дата публикации: 2010-09-14.

Semiconductor fin integration using a sacrificial fin

Номер патента: US20080206933A1. Автор: Robert E. Jones,Rickey S. Brownson. Владелец: Individual. Дата публикации: 2008-08-28.

Ultra Dense and Stable 4T SRAM Cell Design Having Nfets And Pfets

Номер патента: US20190189195A1. Автор: Na Myung-Hee,BURNS SEAN,Wong Robert,Haetty Jens. Владелец: . Дата публикации: 2019-06-20.

SEMICONDUCTOR FINS WITH DIELECTRIC ISOLATION AT FIN BOTTOM

Номер патента: US20200152520A1. Автор: Cheng Kangguo,Xu Peng,Strane Jay W.. Владелец: . Дата публикации: 2020-05-14.

Forming semiconductor fins using a sacrificial fin

Номер патента: US7772048B2. Автор: Robert E. Jones,Rickey S. Brownson. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2010-08-10.

SEMICONDUCTOR FINS WITH DIELECTRIC ISOLATION AT FIN BOTTOM

Номер патента: US20190311955A1. Автор: Cheng Kangguo,Xu Peng,Strane Jay W.. Владелец: . Дата публикации: 2019-10-10.

Anti-fuses on semiconductor fins

Номер патента: US09412746B2. Автор: Hsiao-Lan Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-09.

METHOD OF FORMING SEMICONDUCTOR FINS

Номер патента: US20160043081A1. Автор: CHOI Dae-Han,HU Xiang,Wei Andy Chih-Hung,YANG Dae Geun,HARIHARAPUTHIRAN Mariappan. Владелец: . Дата публикации: 2016-02-11.

HIGH MOBILITY SEMICONDUCTOR FINS ON INSULATOR

Номер патента: US20190259672A1. Автор: Cheng Kangguo,XU Wenyu,Zhang Chen,Miao Xin. Владелец: . Дата публикации: 2019-08-22.

Anti-fuses on semiconductor fins

Номер патента: US9040370B2. Автор: Hsiao-Lan Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-05-26.

Anti-Fuses on Semiconductor Fins

Номер патента: US20130153960A1. Автор: Hsiao-Lan Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-06-20.

Anti-Fuses on Semiconductor Fins

Номер патента: US20150221656A1. Автор: Hsiao-Lan Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-08-06.

Anti-Fuses on Semiconductor Fins

Номер патента: US20140179070A1. Автор: Hsiao-Lan Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-06-26.

PATTERNING FINS AND PLANAR AREAS IN SILICON

Номер патента: US20150014772A1. Автор: Ponoth Shom,Cheng Kangguo,Yamashita Tenko,STANDAERT Theodorus E.,Pranatharthiharan Balasubramanian. Владелец: . Дата публикации: 2015-01-15.

INTEGRATED FIN AND STRAP STRUCTURE FOR AN ACCESS TRANSISTOR OF A TRENCH CAPACITOR

Номер патента: US20160099249A1. Автор: Wang Xinhui,Khan Babar A.,Park Dae-Gyu,Chan Kevin K.. Владелец: . Дата публикации: 2016-04-07.

SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE HAVING A STANDARD CELL WHICH INCLUDES A FIN AND A DUMMY TRANSISTOR

Номер патента: US20220278096A1. Автор: SHIMBO Hiroyuki. Владелец: . Дата публикации: 2022-09-01.

Antenna having deployable antenna fins and associated methods

Номер патента: US20200411998A1. Автор: Alan W. Mast,Charles F. Dull,Brinnan C. Riley. Владелец: Eagle Technology LLC. Дата публикации: 2020-12-31.

Battery cooling fin and battery cooling system including the same

Номер патента: EP4340098A1. Автор: Young Chan YOON. Владелец: Hyundai Mobis Co Ltd. Дата публикации: 2024-03-20.

Semiconductor fin structure with extending gate structure

Номер патента: US09502567B2. Автор: Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-22.

Method of forming semiconductor fins on SOI substrate

Номер патента: US09530701B2. Автор: Kangguo Cheng,Geng Wang,Juntao Li,Chengwen Pei,Joseph Ervin. Владелец: International Business Machines Corp. Дата публикации: 2016-12-27.

VERTICALLY STACKED NFETS AND PFETS WITH GATE-ALL-AROUND STRUCTURE

Номер патента: US20190319095A1. Автор: Ando Takashi,Reznicek Alexander,Zhang Jingyun,Lee Choonghyun,Hashemi Pouya. Владелец: . Дата публикации: 2019-10-17.

VERTICALLY STACKED NFET AND PFET WITH DUAL WORK FUNCTION

Номер патента: US20200006479A1. Автор: Ando Takashi,Reznicek Alexander,Zhang Jingyun,Lee Choonghyun,Hashemi Pouya. Владелец: . Дата публикации: 2020-01-02.

VERTICALLY STACKED NFET AND PFET WITH DUAL WORK FUNCTION

Номер патента: US20190131394A1. Автор: Ando Takashi,Reznicek Alexander,Zhang Jingyun,Lee Choonghyun,Hashemi Pouya. Владелец: . Дата публикации: 2019-05-02.

VERTICALLY STACKED NFETS AND PFETS WITH GATE-ALL-AROUND STRUCTURE

Номер патента: US20190131396A1. Автор: Ando Takashi,Reznicek Alexander,Zhang Jingyun,Lee Choonghyun,Hashemi Pouya. Владелец: . Дата публикации: 2019-05-02.

VERTICAL TRANSISTOR TRANSMISSION GATE WITH ADJACENT NFET AND PFET

Номер патента: US20180233501A1. Автор: Cheng Kangguo,Reznicek Alexander,Hashemi Pouya,Balakrishnan Karthik. Владелец: . Дата публикации: 2018-08-16.

VERTICAL TRANSISTOR TRANSMISSION GATE WITH ADJACENT NFET AND PFET

Номер патента: US20180233502A1. Автор: Cheng Kangguo,Reznicek Alexander,Hashemi Pouya,Balakrishnan Karthik. Владелец: . Дата публикации: 2018-08-16.

VERTICALLY STACKED NFET AND PFET WITH DUAL WORK FUNCTION

Номер патента: US20190393307A1. Автор: Ando Takashi,Reznicek Alexander,Zhang Jingyun,Lee Choonghyun,Hashemi Pouya. Владелец: . Дата публикации: 2019-12-26.

Stacked vertical NFET and PFET

Номер патента: US10297513B1. Автор: Chen Zhang,Tenko Yamashita. Владелец: International Business Machines Corp. Дата публикации: 2019-05-21.

Method for fabricating NFET and PFET nanowire devices

Номер патента: US10573564B2. Автор: Subhadeep Kal,Aelan Mosden,Cheryl Pereira. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-02-25.

Structure and method to enhance both nfet and pfet performance using different kinds of stressed layers

Номер патента: US20110195581A1. Автор: Bruce B. Doris,Haining Yang,Huilong Zhu. Владелец: Individual. Дата публикации: 2011-08-11.

Semiconductor fin fabrication method and fin fet device fabrication method

Номер патента: US20150372110A1. Автор: Jing Zhao. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2015-12-24.

Contact window of semiconductor fin shaped element

Номер патента: CN2726125Y. Автор: 胡正明,杨富量,杨育佳. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2005-09-14.

DITCHES NEAR SEMICONDUCTOR FINS AND METHODS FOR FORMING THE SAME

Номер патента: US20140264608A1. Автор: Van Dal Mark. Владелец: . Дата публикации: 2014-09-18.

Semiconductor fin on local oxide

Номер патента: US20140061862A1. Автор: Reinaldo A. Vega,Michael V. Aquilino,Daniel J. JAEGER. Владелец: International Business Machines Corp. Дата публикации: 2014-03-06.

RARE-EARTH OXIDE ISOLATED SEMICONDUCTOR FIN

Номер патента: US20150037939A1. Автор: Cheng Kangguo,Pei Chengwen,Ervin Joseph,Todi Ravi M.,Wang Geng. Владелец: . Дата публикации: 2015-02-05.

METHOD FOR MANUFACTURING SEMICONDUCTOR FIN STRUCTURE WITH EXTENDING GATE STRUCTURE

Номер патента: US20170040439A1. Автор: Chang Che-Cheng,LIN Chih-Han. Владелец: . Дата публикации: 2017-02-09.

Semiconductor fin on local oxide

Номер патента: US20150044843A1. Автор: Reinaldo A. Vega,Michael V. Aquilino,Daniel J. JAEGER. Владелец: International Business Machines Corp. Дата публикации: 2015-02-12.

METHOD FOR MANUFACTURING SEMICONDUCTOR FIN STRUCTURE WITH EXTENDING GATE STRUCTURE

Номер патента: US20180069101A1. Автор: Chang Che-Cheng,LIN Chih-Han. Владелец: . Дата публикации: 2018-03-08.

SEMICONDUCTOR FIN FET DEVICE WITH EPITAXIAL SOURCE/DRAIN

Номер патента: US20170069756A1. Автор: PENG Cheng-Yi,Sheu Jyh-Cherng,CHIANG Hung-Li,Yeo Yee-Chia. Владелец: . Дата публикации: 2017-03-09.

WRAP-AROUND CONTACT STRUCTURES FOR SEMICONDUCTOR FINS

Номер патента: US20220093460A1. Автор: Mehandru Rishabh. Владелец: . Дата публикации: 2022-03-24.

Method for Producing a Gate Cut Structure on an Array of Semiconductor Fins

Номер патента: US20200083090A1. Автор: Chan Boon Teik,Kim Ryan Ryoung Han,Altamirano Sanchez Efrain. Владелец: . Дата публикации: 2020-03-12.

MULTI-HEIGHT MULTI-COMPOSITION SEMICONDUCTOR FINS

Номер патента: US20150108616A1. Автор: Greene Brian J.,Hong Augustin J.,Kim Byeong Y.,Mocuta Dan M.. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2015-04-23.

SEMICONDUCTOR FIN FET DEVICE WITH EPITAXIAL SOURCE/DRAIN

Номер патента: US20180102436A1. Автор: PENG Cheng-Yi,Sheu Jyh-Cherng,CHIANG Hung-Li,Yeo Yee-Chia. Владелец: . Дата публикации: 2018-04-12.

CROSSBAR REINFORCED SEMICONDUCTOR FINS HAVING REDUCED WIGGLING

Номер патента: US20200135539A1. Автор: Cheng Kangguo,Sankarapandian Muthumanickam,Liu Chi-chun,Mignot Yann. Владелец: . Дата публикации: 2020-04-30.

SEMICONDUCTOR DEVICE AND METHOD OF FORMING SEMICONDUCTOR FIN THEREOF

Номер патента: US20180151739A1. Автор: Mor Yi-Shien,LIANG CHIA-MING,Chiu Huai-Hsien. Владелец: . Дата публикации: 2018-05-31.

Semiconductor Fin Length Variability Control

Номер патента: US20200144069A1. Автор: SIEG STUART A.,Miller Eric,De Silva Ekmini A.,Joseph Praveen. Владелец: . Дата публикации: 2020-05-07.

LOCAL THINNING OF SEMICONDUCTOR FINS

Номер патента: US20150200276A1. Автор: Cheng Kangguo,Radens Carl J.,Divakaruni Ramachandra. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2015-07-16.

Anti-Fuses on Semiconductor Fins

Номер патента: US20150221656A1. Автор: Yang Hsiao-Lan. Владелец: . Дата публикации: 2015-08-06.

Hardmask trimming in semiconductor fin patterning

Номер патента: US20150228503A1. Автор: Ying Zhang,Hua Chung. Владелец: Applied Materials Inc. Дата публикации: 2015-08-13.

METHOD OF FORMING SEMICONDUCTOR FINS

Номер патента: US20150279684A1. Автор: CHOI Dae-Han,HU Xiang,Wei Andy Chih-Hung,YANG Dae Geun,HARIHARAPUTHIRAN Mariappan. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2015-10-01.

BULK SEMICONDUCTOR FINS WITH SELF-ALIGNED SHALLOW TRENCH ISOLATION STRUCTURES

Номер патента: US20150279723A1. Автор: Cheng Kangguo,Reznicek Alexander,Khakifirooz Ali,Adam Thomas N.. Владелец: . Дата публикации: 2015-10-01.

SEMICONDUCTOR FIN STRUCTURE AND METHOD OF FORMING THE SAME

Номер патента: US20170278947A1. Автор: Jenq Jyh-Shyang,Hsu Chih-Kai,Tsai Shih-Hung,Feng Li-Wei. Владелец: . Дата публикации: 2017-09-28.

METHOD OF FORMING SEMICONDUCTOR FIN STRUCTURE

Номер патента: US20180286966A1. Автор: Jenq Jyh-Shyang,Hsu Chih-Kai,Tsai Shih-Hung,Feng Li-Wei. Владелец: . Дата публикации: 2018-10-04.

WRAP-AROUND CONTACT STRUCTURES FOR SEMICONDUCTOR FINS

Номер патента: US20190311950A1. Автор: Mehandru Rishabh. Владелец: . Дата публикации: 2019-10-10.

METHODS FOR FORMING SEMICONDUCTOR FIN SUPPORT STRUCTURES

Номер патента: US20150340470A1. Автор: Zang Hui. Владелец: . Дата публикации: 2015-11-26.

METHOD FOR MAKING SEMICONDUCTOR DEVICE WITH ISOLATION PILLARS BETWEEN ADJACENT SEMICONDUCTOR FINS

Номер патента: US20150357439A1. Автор: Liu Qing,Yeh Chun-chen,Xie Ruilong,Cai Xiuyu. Владелец: . Дата публикации: 2015-12-10.

Semiconductor Fin Length Variability Control

Номер патента: US20190371613A1. Автор: SIEG STUART A.,Miller Eric,De Silva Ekmini A.,Joseph Praveen. Владелец: . Дата публикации: 2019-12-05.

Processes and apparatus having a semiconductor fin

Номер патента: US7880232B2. Автор: H. Montgomery Manning,Mark Fischer,T. Earl Allen. Владелец: Micron Technology Inc. Дата публикации: 2011-02-01.

Semiconductor fin length variability control

Номер патента: US10535529B2. Автор: Praveen Joseph,Eric Miller,Stuart A. Sieg,Ekmini A. De Silva. Владелец: International Business Machines Corp. Дата публикации: 2020-01-14.

Nanofin transistors with crystalline semiconductor fins

Номер патента: US8823006B2. Автор: Leonard Forbes. Владелец: Micron Technology Inc. Дата публикации: 2014-09-02.

Smooth and vertical semiconductor fin structure

Номер патента: US8268729B2. Автор: Ying Zhang,Kangguo Cheng,Bruce B. Doris,Johnathan E. Faltermeier. Владелец: International Business Machines Corp. Дата публикации: 2012-09-18.

Doping of semiconductor fin devices

Номер патента: US20060234431A1. Автор: Yee-Chia Yeo,Chenming Hu,Fu-Liang Yang,Hao-Yu Chen,Ping-Wei Wang. Владелец: Ping-Wei Wang. Дата публикации: 2006-10-19.

Contacts to semiconductor fin devices

Номер патента: US20060244066A1. Автор: Yee-Chia Yeo,Chenming Hu,Fu-Liang Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2006-11-02.

Doping of semiconductor fin devices

Номер патента: US8053839B2. Автор: Yee-Chia Yeo,Chenming Hu,Fu-Liang Yang,Hao-Yu Chen,Ping-Wei Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2011-11-08.

Gate electrode for a semiconductor fin device.

Номер патента: SG116565A1. Автор: Yeo Yee-Chia,Hu Chenming,Chen Hao-Yu,Liang Yang Fu. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2005-11-28.

Contacts to semiconductor fin device and method for manufacturing the same

Номер патента: TWI228318B. Автор: Yee-Chia Yeo,Chenming Hu,Fu-Liang Yang. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2005-02-21.

Rare-earth oxide isolated semiconductor fin

Номер патента: GB2510525B. Автор: Kangguo Cheng,Geng Wang,Chengwen Pei,Joseph Ervin,Ravi M Todi. Владелец: International Business Machines Corp. Дата публикации: 2015-12-16.

Gate electrode for a semiconductor fin device

Номер патента: TW200601593A. Автор: Yee-Chia Yeo,Fu-Liang Yang,Chen-Ming Hu,How-Yu Chen. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2006-01-01.

Rare-earth oxide isolated semiconductor fin

Номер патента: GB201408644D0. Автор: . Владелец: International Business Machines Corp. Дата публикации: 2014-07-02.

Semiconductor structures including dual fins and methods of fabrication

Номер патента: US20120175748A1. Автор: David Hwang,Aaron R. Wilson,Larson Lindholm. Владелец: Micron Technology Inc. Дата публикации: 2012-07-12.

Devices with contact-to-gate shorting through conductive paths between fins and fabrication methods

Номер патента: US20180061842A1. Автор: Min-Hwa Chi,Hui Zang. Владелец: Globalfoundries Inc. Дата публикации: 2018-03-01.

Fin and finfet formation by angled ion implantation

Номер патента: EP2396813A1. Автор: Kangguo Cheng,Geng Wang,Bruce Doris. Владелец: International Business Machines Corp. Дата публикации: 2011-12-21.

FinFETs with Vertical Fins and Methods for Forming the Same

Номер патента: US20140070360A1. Автор: LIU MING-CHYI. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2014-03-13.

Non-Planar Transistors with Replacement Fins and Methods of Forming the Same

Номер патента: US20160013106A1. Автор: Fung Ka-Hing. Владелец: . Дата публикации: 2016-01-14.

GRADED AND STEPPED EPITAXY FOR CONSTRUCTING POWER CIRCUITS AND DEVICES

Номер патента: US20180019335A1. Автор: Radhakrishnan Rahul. Владелец: . Дата публикации: 2018-01-18.

FinFETs with Vertical Fins and Methods for Forming the Same

Номер патента: US20160064530A1. Автор: LIU MING-CHYI. Владелец: . Дата публикации: 2016-03-03.

DEVICES WITH CONTACT-TO-GATE SHORTING THROUGH CONDUCTIVE PATHS BETWEEN FINS AND FABRICATION METHODS

Номер патента: US20180061842A1. Автор: Chi Min-Hwa,Zang Hui. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2018-03-01.

Epitaxial Features Confined by Dielectric Fins and Spacers

Номер патента: US20190067445A1. Автор: Wang Chih-hao,Ching Kuo-Cheng,Cheng Kuan-Lun. Владелец: . Дата публикации: 2019-02-28.

FINFETS HAVING EPITAXIAL CAPPING LAYER ON FIN AND METHODS FOR FORMING THE SAME

Номер патента: US20170084725A1. Автор: Yu Ming-Hua,CHEN Hou-Yu,TSAO Chih-Pin. Владелец: . Дата публикации: 2017-03-23.

FinFET with Dummy Fins and Methods of Making the Same

Номер патента: US20200091311A1. Автор: LAI Kao-Ting,Liang Yu-Chang,Hsu Chun-Hao,Ko Yu-Chun. Владелец: . Дата публикации: 2020-03-19.

Epitaxial Features Confined by Dielectric Fins and Spacers

Номер патента: US20200091312A1. Автор: Wang Chih-hao,Ching Kuo-Cheng,Cheng Kuan-Lun. Владелец: . Дата публикации: 2020-03-19.

Fin and Gate Dimensions for Optimizing Gate Formation

Номер патента: US20200091320A1. Автор: LIAW Jhon Jhy. Владелец: . Дата публикации: 2020-03-19.

DEVICES AND METHODS OF FORMING UNMERGED EPITAXY FOR FINFET DEVICE

Номер патента: US20180097089A1. Автор: Zang Hui,Liu Bingwu. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2018-04-05.

Epitaxial Features Confined by Dielectric Fins and Spacers

Номер патента: US20190097021A1. Автор: Chih-Hao Wang,Kuan-Lun Cheng,Kuo-Cheng Ching. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-03-28.

DIFFERENT SHALLOW TRENCH ISOLATION FILL IN FIN AND NON-FIN REGIONS OF FINFET

Номер патента: US20180102277A1. Автор: Cheng Kangguo,Xu Peng,Zhang Chen. Владелец: . Дата публикации: 2018-04-12.

FIN STRUCTURES AND MULTI-VT SCHEME BASED ON TAPERED FIN AND METHOD TO FORM

Номер патента: US20160118500A1. Автор: Chi Min-Hwa,Wu Xusheng,BANGHART Edmund Kenneth. Владелец: . Дата публикации: 2016-04-28.

Epitaxial Features Confined by Dielectric Fins and Spacers

Номер патента: US20210210618A1. Автор: Wang Chih-hao,Cheng Kuan-Lun,Chiang Kuo-Cheng. Владелец: . Дата публикации: 2021-07-08.

CONTINUOUSLY SCALABLE WIDTH AND HEIGHT SEMICONDUCTOR FINS

Номер патента: US20150115365A1. Автор: Liu Yang,Pei Chengwen,Guo Dechao,Tan Yue. Владелец: . Дата публикации: 2015-04-30.

Continuously scalable width and height semiconductor fins

Номер патента: US8927432B2. Автор: Yang Liu,Dechao Guo,Chengwen Pei,Yue Tan. Владелец: International Business Machines Corp. Дата публикации: 2015-01-06.

SPACER INTEGRATION SCHEME FOR NFET AND PFET DEVICES

Номер патента: US20180114730A1. Автор: Wu Xusheng,PENG Jianwei. Владелец: . Дата публикации: 2018-04-26.

Guard Rings Including Semiconductor Fins and Regrown Regions

Номер патента: US20180144989A1. Автор: HU Chia-Hsin,Liang Min-Chang. Владелец: . Дата публикации: 2018-05-24.

Method for Forming a Buried Dielectric Layer Underneath a Semiconductor Fin

Номер патента: US20140065794A1. Автор: Kim Min-Soo,Kar Gouri Sankar,Cacciato Antonino. Владелец: IMEC. Дата публикации: 2014-03-06.

METHOD OF FORMING SEMICONDUCTOR FINS

Номер патента: US20140148011A1. Автор: CHOI Dae-Han,Maeng Chang Ho,YANG Dae Geun. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2014-05-29.

Anti-Fuses on Semiconductor Fins

Номер патента: US20140179070A1. Автор: Yang Hsiao-Lan. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2014-06-26.

Method for measuring roughness of semiconductor fin portion

Номер патента: CN108550532B. Автор: 黄仁东,李铭,曾绍海,左青云. Владелец: Shanghai IC R&D Center Co Ltd. Дата публикации: 2020-10-02.

Contacts to semiconductor fin device and method for manufacturing the same

Номер патента: TW200607038A. Автор: Chun-Chih Lin,Chun-Keng Hsu. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2006-02-16.

Heat exchanger fin and manufacturing method of the same

Номер патента: US20220299271A1. Автор: Shan-Yin Cheng,Chia Yu Lin. Владелец: Cooler Master Co Ltd. Дата публикации: 2022-09-22.

Heat exchanger fin and manufacturing method of the same

Номер патента: US20230003460A1. Автор: Shan-Yin Cheng,Chia Yu Lin. Владелец: Cooler Master Co Ltd. Дата публикации: 2023-01-05.

Heat exchanger fin and manufacturing method of the same

Номер патента: US20210213511A1. Автор: Shan-Yin Cheng,Chia Yu Lin. Владелец: Cooler Master Co Ltd. Дата публикации: 2021-07-15.

Fin-and-pin heatsinks and methods

Номер патента: CA3164534A1. Автор: Surendran Ramasamy,Rajesh Alayil. Владелец: Lennox Industries Inc. Дата публикации: 2022-12-25.

Extrusion tool, method for manufacturing shaped article with fins, and heat sink

Номер патента: AU2002353538A1. Автор: Makoto Fujioka. Владелец: Showa Denko KK. Дата публикации: 2003-06-30.

Fin and Heat Sink

Номер патента: US20090151901A1. Автор: Ming-Yang Hsiao. Владелец: ASUSTeK Computer Inc. Дата публикации: 2009-06-18.

Fin and heat sink

Номер патента: TW200926950A. Автор: Ming-Yang Hsiao. Владелец: Asustek Comp Inc. Дата публикации: 2009-06-16.

ATOMIC LAYER EPITAXY FOR SEMICONDUCTOR GATE STACK LAYER FOR ADVANCED CHANNEL DEVICES

Номер патента: US20170011917A1. Автор: BAUER Matthias,Hunter Aaron Muir,SADE AMIKAM,SRINIVASAN SWAMINATHAN T.. Владелец: . Дата публикации: 2017-01-12.

ATOMIC LAYER EPITAXY FOR SEMICONDUCTOR GATE STACK LAYER FOR ADVANCED CHANNEL DEVICES

Номер патента: US20160013046A1. Автор: BAUER Matthias,Hunter Aaron Muir,SADE AMIKAM,SRINIVASAN SWAMINATHAN T.. Владелец: . Дата публикации: 2016-01-14.

Heat dissipation fin and heat dissipation module

Номер патента: US11781818B2. Автор: Yi Kun Lin. Владелец: Asrock Inc. Дата публикации: 2023-10-10.

Heat sink with pin fins and non-straight constant volume flow channel

Номер патента: WO2023235065A1. Автор: Nithin GANGADHARAN,Brandon J. WEGMANN. Владелец: PARKER-HANNIFIN CORPORATION. Дата публикации: 2023-12-07.

Extrusion tool, method for manufacturing shaped article with fins, and heat sink

Номер патента: EP1455961A4. Автор: Makoto Fujioka. Владелец: Showa Denko KK. Дата публикации: 2007-04-25.

Cooling fin and heat dissipation module having the same

Номер патента: US20150289415A1. Автор: Cheng-Yu Wang,Chun-Chieh Wong,Ing-Jer Chiou. Владелец: ASUSTeK Computer Inc. Дата публикации: 2015-10-08.

Direct Growth of Graphene by Molecular Beam Epitaxy for the Formation of Graphene Heterostructures

Номер патента: US20140212671A1. Автор: KELBER JEFFRY. Владелец: . Дата публикации: 2014-07-31.

Heat dissipating fin and heat dissipating device

Номер патента: US20150168082A1. Автор: Yung-Ching Huang. Владелец: ASUSTeK Computer Inc. Дата публикации: 2015-06-18.

Battery module cooling fins and footings system and method

Номер патента: US09620764B2. Автор: Richard M. Dekeuster. Владелец: Johnson Controls Technology Co. Дата публикации: 2017-04-11.

Magnetron cooling fin and magnetron having the same

Номер патента: US09991083B2. Автор: Dong Ho Park,Eung Ryeol Seo,Myoung Keun Kwon,Seung Chul YANG,Hak-Jae Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-06-05.

Substrate stack epitaxies for photocathodes for extended wavelengths

Номер патента: US20240145202A1. Автор: Jon D. Burnsed,Jacob J. Becker,Bed Pantha. Владелец: L3Harris Technologies Inc. Дата публикации: 2024-05-02.

Motorized Surfboard Fin and Remote Control

Номер патента: US20210147040A1. Автор: Aleksei Ostanin,Dmitriy Kozhevnikov. Владелец: Boost Surf Inc. Дата публикации: 2021-05-20.

Substrate stack epitaxies for photocathodes for extended wavelengths

Номер патента: EP4365924A1. Автор: Jon D. Burnsed,Jacob J. Becker,Bed Pantha. Владелец: L3Harris Technologies Inc. Дата публикации: 2024-05-08.

Frame Device Of Iron Core Of Static Electrical Machine Having Outwardly-Extended Heat Dissipation Fin And/Or Heat Dissipation Hole

Номер патента: US20200058432A1. Автор: YANG Tai-Her. Владелец: . Дата публикации: 2020-02-20.

Gas turbine engine part with annular fin and gas turbine engine

Номер патента: RU2451187C2. Автор: Клод МОН,Жоэль ВИНЬО. Владелец: Снекма. Дата публикации: 2012-05-20.

Jet drive and retractable rudder fin and filter systems and methods for watercraft

Номер патента: US20040157508A1. Автор: Howarth Henry. Владелец: Individual. Дата публикации: 2004-08-12.

Fin and bending type heat exchanger having the fin

Номер патента: US20170030658A1. Автор: Yan He,Chao Liu,Zhiming Dong,Huilai FU. Владелец: Sanhua Hangzhou Micro Channel Heat Exchanger Co Ltd. Дата публикации: 2017-02-02.

Heat exchange fin and heat exchange device

Номер патента: US20240240878A1. Автор: Tong Liu,Chengjun ZHANG,Zhiping Zhang. Владелец: AO Smith China Water Heater Co Ltd. Дата публикации: 2024-07-18.

Fins and heat exchanger comprising same

Номер патента: GB2629104A. Автор: Sik Moon Seong,Chul Jeong In,Ki Lee Hong,Ho Eum Jun. Владелец: Kyungdong Navien Co Ltd. Дата публикации: 2024-10-16.

Low-drag fin and foil system for surfboards

Номер патента: US09957020B2. Автор: Kirby J. Mead. Владелец: Individual. Дата публикации: 2018-05-01.

Fin-and-tube heat exchanger and refrigeration cycle device

Номер патента: US09644896B2. Автор: Masanobu Wada,Masaaki Nagai. Владелец: Panasonic Intellectual Property Management Co Ltd. Дата публикации: 2017-05-09.

Stabilizer fin and active stabilizer system for a watercraft

Номер патента: US09527556B2. Автор: Ronny Skauen. Владелец: Individual. Дата публикации: 2016-12-27.

An aircraft fin and rudder

Номер патента: EP1100718A1. Автор: Leslie Leigh British Aerospace Airbus HYDE. Владелец: BAE SYSTEMS plc. Дата публикации: 2001-05-23.

An aircraft fin and rudder

Номер патента: AU5185199A. Автор: Leslie Leigh Hyde. Владелец: British Aerospace PLC. Дата публикации: 2000-02-28.

Stabilizing fin and active stabilization system of vessel

Номер патента: RU2617159C1. Автор: Ронни СКЁУЭН. Владелец: Ронни СКЁУЭН. Дата публикации: 2017-04-21.

Rocket with folding fins and braking device

Номер патента: US3598345A. Автор: Xaver Suter. Владелец: Werkzeugmaschinenfabrik Oerlikon Buhrle AG. Дата публикации: 1971-08-10.

Window unit nailing fin and corner lock

Номер патента: CA2058218C. Автор: Merlan J. Rolffs,Mearl Minter,Bruce A. Hagemeyer,Jay T. Scripter. Владелец: Pella Corp. Дата публикации: 1995-06-27.

Heat exchanger fins and method for fabricating fins particularly suitable for stirling engines

Номер патента: WO2006022979A2. Автор: James Gary Wood. Владелец: SUNPOWER, INC.. Дата публикации: 2006-03-02.

Fin and bending type heat exchanger having the fin

Номер патента: US10539374B2. Автор: Yan He,Chao Liu,Zhiming Dong,Huilai FU. Владелец: Sanhua Hangzhou Micro Channel Heat Exchanger Co Ltd. Дата публикации: 2020-01-21.

Defroster having heating wire coupled to cooling fin and low temperature warehouse using the same

Номер патента: WO2011025090A1. Автор: Kwan Bin Im,Kab Seok Choi. Владелец: Cooltainer Co., Ltd.. Дата публикации: 2011-03-03.

Fin and heat exchanger having same

Номер патента: US20180356166A1. Автор: Yan He,XIN Liang,Leilei Wang. Владелец: Sanhua Hangzhou Micro Channel Heat Exchanger Co Ltd. Дата публикации: 2018-12-13.

Low-drag fin and foil system for surfboards

Номер патента: US20160347427A1. Автор: Kirby J. Mead. Владелец: Individual. Дата публикации: 2016-12-01.

Low-drag fin and foil system for surfboards

Номер патента: US20140094074A1. Автор: Kirby J. Mead. Владелец: Individual. Дата публикации: 2014-04-03.

Low-drag fin and foil system for surfboards

Номер патента: US20130012083A1. Автор: Kirby J. Mead. Владелец: Individual. Дата публикации: 2013-01-10.

Detachable fins and fin assemblies for watersport boards

Номер патента: WO2016079594A1. Автор: Katsuyoshi Kumano. Владелец: Ishida, Michiaki. Дата публикации: 2016-05-26.

Low-drag fin and foil system for surfboards

Номер патента: WO2006066140A3. Автор: Kirby J Mead. Владелец: Kirby J Mead. Дата публикации: 2007-11-22.

Electric fin and water transport instrument

Номер патента: US20220315175A1. Автор: Zhiyue Wang. Владелец: Oriental Recreational Products Shanghai Co Ltd. Дата публикации: 2022-10-06.

Electric fin and water transport instrument

Номер патента: EP4005916A1. Автор: Zhiyue Wang. Владелец: Oriental Recreational Products Shanghai Co Ltd. Дата публикации: 2022-06-01.

Fin And Tube-Evaporator With Mini-Slab Circuit Extenders

Номер патента: US20170261244A1. Автор: Daniel J. Schutte. Владелец: Liebert Corp. Дата публикации: 2017-09-14.

Retractable fin and fin box

Номер патента: US20170096199A1. Автор: Peter Hall. Владелец: Twitch LLC. Дата публикации: 2017-04-06.

Memory device having planarized fins and method of manufacturing the same

Номер патента: US20240341077A1. Автор: Ying-Cheng Chuang. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-10.

Memory device having planarized fins and method of manufacturing the same

Номер патента: US20240341078A1. Автор: Ying-Cheng Chuang. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-10.

Electric defrost heater for fin and tube refrigeration heat exchanger

Номер патента: US4091637A. Автор: Kenneth E. Vogel,Dean R. Peterson. Владелец: McQuay Perfex Inc. Дата публикации: 1978-05-30.

Fin and insert cooling device

Номер патента: US11982498B2. Автор: Ramdane Lateb,Jose-Carlos Magno. Владелец: Thales SA. Дата публикации: 2024-05-14.

Shielding cover having cooling fin and optical scanning device

Номер патента: US7326861B2. Автор: Takahiro Kojima. Владелец: Toshiba TEC Corp. Дата публикации: 2008-02-05.

FIN AND INSTALLATION FOR CONVERTING HYDRAULIC-ENERGY COMPRISING SUCH A FIN

Номер патента: US20130264826A1. Автор: Vuillerod Gerard,Mazzouji Farid,Bomchil Yoann. Владелец: Alstom Hydro France. Дата публикации: 2013-10-10.

Frame device of iron core of static electrical machine having outwardly-extended heat dissipation fins and/or heat dissipation hole

Номер патента: US20200059136A1. Автор: YANG Tai-Her. Владелец: . Дата публикации: 2020-02-20.

Phase Change Evaporator with Heat-Dissipating Fins and Phase Change Cooling Device Using the Same

Номер патента: US20190069444A1. Автор: Wan Cheng-Chien,Wan Cheng-Feng,Lin Hao-Hui. Владелец: . Дата публикации: 2019-02-28.

Fins And Foams Heat Exchangers With Phase Change For Cryogenic Thermal Energy Storage And Fault Current Limiters

Номер патента: US20160141866A1. Автор: Bromberg Leslie,Michael Philip C.. Владелец: . Дата публикации: 2016-05-19.

FIN AND INSERT COOLING DEVICE

Номер патента: US20210194326A1. Автор: LATEB Ramdane,MAGNO Jose-Carlos. Владелец: . Дата публикации: 2021-06-24.

Surfboard Fins and Related Components

Номер патента: US20180022428A1. Автор: Michiaki Ishida. Владелец: Individual. Дата публикации: 2018-01-25.

Swim fin and its combination with sports shoe or the like

Номер патента: WO2001089635A3. Автор: Fabio Testa,Renzo Maggi. Владелец: Salvas Sub S P A. Дата публикации: 2002-04-11.

Swim fin and its combination with sports shoe or the like

Номер патента: WO2001089635A2. Автор: Fabio Testa,Renzo Maggi. Владелец: Salvas Sub S.P.A.. Дата публикации: 2001-11-29.

Propeller assembly incorporating spindle with fins and overmolded bushing

Номер патента: WO2009085979A1. Автор: Liheng Chen. Владелец: Turning Point Propellers, Inc.. Дата публикации: 2009-07-09.

Cover fin and heat exchanger unit including the same

Номер патента: US20240219128A1. Автор: In Chul JEONG,Hong Ki Lee. Владелец: Kyungdong Navien Co Ltd. Дата публикации: 2024-07-04.

Heat fins and related systems and methods

Номер патента: WO2011103410A3. Автор: Thomas William Perry. Владелец: 3Ip, Llc. Дата публикации: 2011-12-01.

Cover fin and heat exchanger unit including the same

Номер патента: GB2627851A. Автор: Chul Jeong In,Ki Lee Hong. Владелец: Kyungdong Navien Co Ltd. Дата публикации: 2024-09-04.

LED luminaire having lateral cooling fins and adaptive LED assembly

Номер патента: US09523491B2. Автор: Perry Romano,Adam J. CLARK,Christopher L. Bailey. Владелец: Hubbell Inc. Дата публикации: 2016-12-20.

Handling spine fin coils, winders, reels, shapers and/or processing spine fin and transition tube splicing and rework

Номер патента: US8393079B2. Автор: Mark Anthony Parmer. Владелец: Individual. Дата публикации: 2013-03-12.

Handling spine fin coils, winders, reels, shapers and/or processing spine fin and transition tube splicing and rework

Номер патента: US20100101089A1. Автор: Mark Anthony Parmer. Владелец: Individual. Дата публикации: 2010-04-29.

Swim and scuba fin and combination of a fin and a footware, a sports shoe or boot or the like

Номер патента: AU2001269011A1. Автор: Fabio Testa,Renzo Maggi. Владелец: Salvas Sub SpA. Дата публикации: 2001-12-03.

Method for combining heat sink fins and heat pipe

Номер патента: TWI286194B. Автор: Shin-Mau Shie. Владелец: Adda Corp. Дата публикации: 2007-09-01.

An aircraft fin and rudder

Номер патента: TW424063B. Автор: Leslie Leigh Hyde. Владелец: British Aerospace. Дата публикации: 2001-03-01.

Manufacturing apparatus of a corrugated fin and method of manufacturing the same

Номер патента: GB9706108D0. Автор: . Владелец: Denso Corp. Дата публикации: 1997-05-14.

Die set for welding fins and a base plate of a heat sink

Номер патента: GB9911060D0. Автор: . Владелец: Individual. Дата публикации: 1999-07-14.

Combined warm gas fin and reaction control servo

Номер патента: GB1544727A. Автор: . Владелец: Ford Aerospace and Communications Corp. Дата публикации: 1979-04-25.

Propeller assembly incorporating spindle with fins and overmolded bushing

Номер патента: AU2008343061A1. Автор: Liheng Chen. Владелец: Turning Point Propellers Inc. Дата публикации: 2009-07-09.

Method for combining heat sink fins and heat pipe

Номер патента: TW200742830A. Автор: xin-mao Xie. Владелец: Adda Corp. Дата публикации: 2007-11-16.

Connecting heat-radiating fins and tubes for radiator

Номер патента: AU2003251205A1. Автор: Hae Hwan Lee. Владелец: Individual. Дата публикации: 2004-03-11.

Combined wheel fin and weight

Номер патента: US1807757A. Автор: . Владелец: . Дата публикации: 1931-06-02.

Airship fins and stabilization

Номер патента: US1750141A. Автор: Ralph H Upson. Владелец: AIRCRAFT DEV CORP. Дата публикации: 1930-03-11.

Fins and heat exchanger comprising same

Номер патента: GB202409714D0. Автор: . Владелец: Kyungdong Navien Co Ltd. Дата публикации: 2024-08-21.

Collector for solar energy - made of copper tubes with aluminium finning and fluid flow between them

Номер патента: PT76752A. Автор: . Владелец: Companhia Portuguesa Dos Petro. Дата публикации: 1983-06-01.

Fin and tube heat exchanger

Номер патента: GB201109855D0. Автор: . Владелец: General Electric Co. Дата публикации: 2011-07-27.

Heat transfer tube for fin-and-tube heat exchanger and fin-and-tube heat exchanger using the same

Номер патента: JP6415976B2. Автор: 史郎 柿山. Владелец: UACJ Extrusion Corp. Дата публикации: 2018-10-31.

Tabbed transfer fins and air-cooled heat exchangers with tabbed fins

Номер патента: CA2532331A1. Автор: Charles F. Kutscher,Eric Joseph Kozubal. Владелец: Eric Joseph Kozubal. Дата публикации: 2005-02-24.

Pile weatherstrip having a diamond-shaped barrier fin and method of manufacture

Номер патента: US4358497A. Автор: Stanley R. Miska. Владелец: Schlegel Corp. Дата публикации: 1982-11-09.

Heat transfer tube for fin-and-tube heat exchanger and fin-and-tube heat exchanger using the same

Номер патента: JPWO2013125625A1. Автор: 史郎 柿山. Владелец: UACJ Corp. Дата публикации: 2015-07-30.

Plate fin-and-tube heat exchanger, and refrigeration and air-conditioning system with same

Номер патента: EP2803930A4. Автор: Keisuke Hokazono. Владелец: Mitsubishi Electric Corp. Дата публикации: 2016-01-13.

Improvements in fins and keels for airships with gas bags

Номер патента: GB161179A. Автор: . Владелец: PAUL YARAY. Дата публикации: 1922-09-04.

High strength aluminum alloy for forming fin and method of manufacturing the same

Номер патента: US5554234A. Автор: Hiroshi Kano,Hiroaki Takeuchi. Владелец: Furukawa Aluminum Co Ltd. Дата публикации: 1996-09-10.

Method of manufacture of wire fin and tube heat exchanger

Номер патента: US3591910A. Автор: Byron L Brucken. Владелец: Motors Liquidation Co. Дата публикации: 1971-07-13.

Automotive radiator with flat and grooved water tubes and with overlapped and alternating fins, and method of manufacture

Номер патента: FR414705A. Автор: Louis Establie. Владелец: Louis Establie. Дата публикации: 1910-09-09.

Louver Fin and Corrugation Cutter

Номер патента: US20080179048A1. Автор: Kenji Tochigi,Hirokazu Yaezawa. Владелец: Calsonic Kansei Corp. Дата публикации: 2008-07-31.

Fin and tube type heat exchanger

Номер патента: GB1382783A. Автор: . Владелец: Westinghouse Electric Corp. Дата публикации: 1975-02-05.

High strength aluminum alloy for forming fin and method of manufacturing the same

Номер патента: CA2099697C. Автор: Hiroshi Kano,Hiroaki Takeuchi. Владелец: Furukawa Aluminum Co Ltd. Дата публикации: 2000-06-27.

Adjustable water ski fin and wing

Номер патента: US4439166A. Автор: Ralph A. Maxwell. Владелец: Individual. Дата публикации: 1984-03-27.

Radiating fin and radiating method using the radiating fin

Номер патента: EP1372368B1. Автор: Masami Kujirai. Владелец: Suikoh Top Line Co Ltd. Дата публикации: 2009-08-05.

Vacuum pump, rotor, rotor fin, and casing

Номер патента: US20200340492A1. Автор: Manabu Nonaka,Toshiki Yamaguchi. Владелец: Edwards Japan Ltd. Дата публикации: 2020-10-29.

Vacuum pump, rotor, rotor fin, and casing

Номер патента: US11408437B2. Автор: Manabu Nonaka,Toshiki Yamaguchi. Владелец: Edwards Japan Ltd. Дата публикации: 2022-08-09.

Fin fixing structure, fin and paddle board

Номер патента: EP3995390A1. Автор: Peng Lin,Leqiang Lin,Yongjiang Shi. Владелец: Weihai Boat Outdoor Co Ltd. Дата публикации: 2022-05-11.

Heat conduction fin and solid state hydrogen storage device having same

Номер патента: US11761713B2. Автор: Dong Hoon Nam,Ji Hye Park,Jun Seok SEO,Hoon Mo Park,Kyung Moon Lee. Владелец: Kia Motors Corp. Дата публикации: 2023-09-19.

Fin and tube heat exchanger

Номер патента: WO2007089619A2. Автор: Kevin M. Conroy,David J. Abrahamian. Владелец: Cameron International Corporation. Дата публикации: 2007-08-09.

Extended range motorized surfboard fin and remote control

Номер патента: WO2024075035A1. Автор: Aleksei Ostanin,Dmitriy Kozhevnikov. Владелец: Boost Surf, Inc.. Дата публикации: 2024-04-11.

Wall and ceiling anchor with fins and hinge

Номер патента: WO2010030502A1. Автор: Brian A. Brown,Gregory A. M. Brown. Владелец: International Patent Development Group, Llc. Дата публикации: 2010-03-18.

Led luminaire having lateral cooling fins and adaptive led assembly

Номер патента: US20120087118A1. Автор: Perry Romano,Adam J. CLARK,Christopher L. Bailey. Владелец: Hubbell Inc. Дата публикации: 2012-04-12.

Pressing-shaping method for manufacturing circular cooling base for being embedded with fins and mold used in the method

Номер патента: US20120318035A1. Автор: Shih-Ming Chen. Владелец: Individual. Дата публикации: 2012-12-20.

Low-drag fin and foil system for surfboards

Номер патента: US20130012083A1. Автор: Kirby J. Mead. Владелец: Individual. Дата публикации: 2013-01-10.

ALUMINUM FIN AND TUBE HEAT EXCHANGER

Номер патента: US20130098591A1. Автор: Scarcella Jason,Taras Michael F.,Poplawski Bruce J.,Garosshen Thomas J.,Esformes Jack L.,Lombardo Mary T.. Владелец: . Дата публикации: 2013-04-25.

MULTI-ZONE CIRCUITING FOR A PLATE-FIN AND CONTINUOUS TUBE HEAT EXCHANGER

Номер патента: US20130213626A1. Автор: Anderson Timothy D.,Nguyen Ken,Laurentius Paul R.. Владелец: HUSSMANN CORPORATION. Дата публикации: 2013-08-22.

FIN AND HEAT EXCHANGER USING THE SAME

Номер патента: US20130248150A1. Автор: Ninagawa Toshihide,HONMA Nobuhiro. Владелец: Denso Corporation. Дата публикации: 2013-09-26.

PROJECTILE WITH STEERABLE FINS AND CONTROL METHOD OF THE FINS OF SUCH A PROJECTILE

Номер патента: US20140061365A1. Автор: ROY Richard. Владелец: NEXTER MUNITIONS. Дата публикации: 2014-03-06.

LOW-DRAG FIN AND FOIL SYSTEM FOR SURFBOARDS

Номер патента: US20140094074A1. Автор: MEAD Kirby J.. Владелец: . Дата публикации: 2014-04-03.

Adjustable Surfboard Fin and Method of Use

Номер патента: US20210001961A1. Автор: West Benjamin Gene. Владелец: . Дата публикации: 2021-01-07.

HEAT SINK HAVING AN INTEGRATED HEAT SINK FIN AND FAN BLADE

Номер патента: US20160010928A1. Автор: Huang Shih-Wei,TSAI Shui-Fa,KUO CHU-YI. Владелец: . Дата публикации: 2016-01-14.

MAGNETIC DRIVE WITH REMOVABLE FINS AND WEIGHT BALANCE FOR AN UNMANNED UNDERSEA VEHICLE

Номер патента: US20210016863A1. Автор: Charles David F.,Aiello Jason D.. Владелец: . Дата публикации: 2021-01-21.

Surfboard Fins and Related Components

Номер патента: US20180022428A1. Автор: Michiaki Ishida. Владелец: Individual. Дата публикации: 2018-01-25.

FIN AND BENDING TYPE HEAT EXCHANGER HAVING THE FIN

Номер патента: US20170030658A1. Автор: LIU CHAO,Dong Zhiming,He Yan,FU Huilai. Владелец: . Дата публикации: 2017-02-02.

LOW-DRAG FIN AND FOIL SYSTEM FOR SURFBOARDS

Номер патента: US20150044921A1. Автор: MEAD Kirby J.. Владелец: . Дата публикации: 2015-02-12.

FIN-AND-TUBE HEAT EXCHANGER AND REFRIGERATION CYCLE DEVICE

Номер патента: US20160054065A1. Автор: NAGAI Masaaki,WADA Masanobu. Владелец: . Дата публикации: 2016-02-25.

OFFSET FIN AND HEAT EXCHANGER HAVING SAME

Номер патента: US20170051982A1. Автор: Asaida Yasuhiro,Hojo Satoaki,SEGAWA TERUTSUGU,TAKAMI FUMINORI. Владелец: . Дата публикации: 2017-02-23.

HEAT SINK HAVING HEAT DISSIPATING FIN AND LIGHTING DEVICE

Номер патента: US20160084489A1. Автор: INOUE Michinobu,YAMAGUCHI Kakeru. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2016-03-24.

SPEED REDUCER COOLING FINS AND METHOD OF MANUFACTURING

Номер патента: US20210088123A1. Автор: Liou Joe J.. Владелец: . Дата публикации: 2021-03-25.

HEAT DISSIPATION FIN AND HEAT DISSIPATION MODULE

Номер патента: US20220136784A1. Автор: Lin Yi Kun. Владелец: ASROCK INC.. Дата публикации: 2022-05-05.

CORRUGATED FIN AND METHOD FOR PRODUCING IT

Номер патента: US20150096728A1. Автор: SCHMIDT Florian,Pantow Eberhard,Haller Bjoern,Ruckwied Jens,Schleier Gerd,Kilian Jonas,AGNER Carolin. Владелец: . Дата публикации: 2015-04-09.

RETRACTABLE FIN AND FIN BOX

Номер патента: US20170096199A1. Автор: Hall Peter. Владелец: . Дата публикации: 2017-04-06.

LED LUMINAIRE HAVING LATERAL COOLING FINS AND ADAPTIVE LED ASSEMBLY

Номер патента: US20170102140A1. Автор: Clark Adam,Bailey Christopher,Romano Perry. Владелец: . Дата публикации: 2017-04-13.

FIN AND MICRO-CHANNEL HEAT EXCHANGER

Номер патента: US20180106549A1. Автор: Wang Feng,Liu Huazhao. Владелец: . Дата публикации: 2018-04-19.

DETACHABLE FINS AND FIN ASSEMBLIES FOR WATERSPORT BOARDS

Номер патента: US20160144933A1. Автор: Kumano Katsuyoshi. Владелец: . Дата публикации: 2016-05-26.

HEAT EXCHANGER FIN AND MANUFACTURING METHOD OF THE SAME

Номер патента: US20220290928A1. Автор: CHENG Shan-Yin,LIN Chia Yu. Владелец: . Дата публикации: 2022-09-15.

FIN AND ACCESSORY ASSEMBLY AND WATER CRAFT HAVING THE SAME

Номер патента: US20150166153A1. Автор: YEH TZONG IN. Владелец: . Дата публикации: 2015-06-18.

HEAT EXCHANGER FIN AND MANUFACTURING METHOD OF THE SAME

Номер патента: US20220299271A1. Автор: CHENG Shan-Yin,LIN Chia Yu. Владелец: . Дата публикации: 2022-09-22.

Stabilizing fin and construction comprising said fin

Номер патента: US20140261134A1. Автор: Henricus Maria Van Aken Arnoldus. Владелец: Van Aken Group BV. Дата публикации: 2014-09-18.

HYDROPHILIC FILM, AND HEAT EXCHANGER FIN AND HEAT EXCHANGER USING THE HYDROPHILIC FILM

Номер патента: US20190178591A1. Автор: NINOMIYA Junji,UEDA Kaoru,OGIHARA Kana,SHIOMI Kohei,FUJIMURA Ryoko. Владелец: . Дата публикации: 2019-06-13.

HEAT EXCHANGER FIN AND MANUFACTURING METHOD OF THE SAME

Номер патента: US20210213511A1. Автор: CHENG Shan-Yin,LIN Chia Yu. Владелец: . Дата публикации: 2021-07-15.

Monitoring method for source-drain epitaxial technology

Номер патента: CN105405782A. Автор: 杨涛,赵超,李俊峰,陈韬,王桂磊. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2016-03-16.

Fishing lure with fins and concave face

Номер патента: CA154263S. Автор: . Владелец: SUSAN BROOKER. Дата публикации: 2015-06-29.

Semiconductor Device with Semiconductor Fins and Floating Gate

Номер патента: US20130285135A1. Автор: Hui Frank,Kistler Neal. Владелец: BROADCOM CORPORATION. Дата публикации: 2013-10-31.

PROCESSES AND APPARATUS HAVING A SEMICONDUCTOR FIN

Номер патента: US20120190184A1. Автор: . Владелец: . Дата публикации: 2012-07-26.

TWO-DIMENSIONAL CONDENSATION FOR UNIAXIALLY STRAINED SEMICONDUCTOR FINS

Номер патента: US20120241818A1. Автор: . Владелец: . Дата публикации: 2012-09-27.

Anti-Fuses on Semiconductor Fins

Номер патента: US20130153960A1. Автор: Yang Hsiao-Lan. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2013-06-20.

RARE-EARTH OXIDE ISOLATED SEMICONDUCTOR FIN

Номер патента: US20130154007A1. Автор: Cheng Kangguo,Pei Chengwen,Ervin Joseph,Todi Ravi M.,Wang Geng. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-06-20.

CONTINUOUSLY SCALABLE WIDTH AND HEIGHT SEMICONDUCTOR FINS

Номер патента: US20130334602A1. Автор: Liu Yang,Pei Chengwen,Guo Dechao,Tan Yue. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-12-19.

Semiconductor Fins with Reduced Widths and Methods for Forming the Same

Номер патента: US20140113432A1. Автор: Ching Kuo-Cheng,Ju Shi Ning. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2014-04-24.

Method and apparatus for constructing a fin-and-tube heat exchanger having a bend formed therein

Номер патента: CA890119A. Автор: R. Clausing Dale. Владелец: TRANE Co OF CANADA Ltd. Дата публикации: 1972-01-11.

Method for press fitting of heat pipe and fin and its device

Номер патента: TWI271234B. Автор: Shr-Ming Chen. Владелец: Shr-Ming Chen. Дата публикации: 2007-01-21.

Method and machine of forming radiating fin, and product thereof

Номер патента: TW388809B. Автор: Jau-Kuen Tzeng,Yu-Shan Chen,Guo-Chuan Lin. Владелец: Hon Hai Prec Ind Co Ltd. Дата публикации: 2000-05-01.

Planting and tightening method for cylindrical heat dissipation fins and application device thereof

Номер патента: TW201031882A. Автор: li-hua Qin. Владелец: li-hua Qin. Дата публикации: 2010-09-01.

Method for press fitting of heat pipe and fin and its device

Номер патента: TW200706280A. Автор: Shi-Ming Chen. Владелец: Shi-Ming Chen. Дата публикации: 2007-02-16.

Tubing having a plurality of inwardly and/or outwardly extending axial fins, and the method of producing the same

Номер патента: CA709280A. Автор: F. Grimm Donald. Владелец: Individual. Дата публикации: 1965-05-11.

Buckling and fastening device for combining column- shape cooling fin and CPU

Номер патента: TW407756U. Автор: Jen-Yu Liou. Владелец: Gigacool Internat Inc. Дата публикации: 2000-10-01.

Structure of heat-dissipating fins and heat sink thereof

Номер патента: TWM371915U. Автор: Xiao-Zhen Zeng,ya-wen Liu. Владелец: Asia Vital Components Co Ltd. Дата публикации: 2010-01-01.

Improved combination of heat dissipation fins and heat pipe of heat radiator

Номер патента: TWM324770U. Автор: Chung-Shian Huang. Владелец: Chung-Shian Huang. Дата публикации: 2008-01-01.

Corner rail for fin-and-tube baseboard heating elements

Номер патента: USD221852S. Автор: Claude William Schaefer. Владелец: . Дата публикации: 1971-09-14.

Surfboard fin and method for use thereof

Номер патента: AU2021900124A0. Автор: Neal Cameron. Владелец: Individual. Дата публикации: 2021-02-04.

One step assembly of heat-dissipation fins and heat pipes

Номер патента: TW200850134A. Автор: zong-du Huang. Владелец: Kunshan Jue Choung Electonics Co Ltd. Дата публикации: 2008-12-16.

Improved coupling structure for heat dissipating fin and heat tube

Номер патента: TWM287712U. Автор: Wen Wu,Frank Shiau,Chris Chuang. Владелец: THERMOSHUTTLE CO Ltd. Дата публикации: 2006-02-21.

Fin and Fin Attachment

Номер патента: AU2006901367A0. Автор: . Владелец: Individual. Дата публикации: 2006-03-30.

Improvements in swim fins and method of manufacture thereof

Номер патента: AU2003258381A1. Автор: Shane Vassallo. Владелец: Pod Ware Pty Ltd. Дата публикации: 2004-04-30.

Fixing structure of heat dissipation fin and fan mask

Номер патента: TWM248212U. Автор: Tian-Lin Wu. Владелец: CpuMate Inc. Дата публикации: 2004-10-21.

Heat sink with high-density heat dissipation fins and its assembling method

Номер патента: TW506247B. Автор: Yung-Cheng Chang,Jui-Yuan Hsu,Yu Timothy-Yu Chen. Владелец: Delta Electronics Inc. Дата публикации: 2002-10-11.

Combining structure of heat dissipating fin and heat pipe

Номер патента: TWM263474U. Автор: Guo-Ren Lin,Shu-Bin Wang,Huei-Min Tsuei,Jen-Feng Shie. Владелец: CpuMate Inc. Дата публикации: 2005-05-01.

Structure for heat dissipation fin and heat dissipation module thereof

Номер патента: TWM351393U. Автор: Xiang-Yu Wen,xin-liang Zhu. Владелец: Asia Vital Components Shen Zhen Co Ltd. Дата публикации: 2009-02-21.

Method for constructing a fin-and-tube heat exchanger having a bend formed therein

Номер патента: CA890120A. Автор: R. Clausing Dale. Владелец: TRANE Co OF CANADA Ltd. Дата публикации: 1972-01-11.

Fastening apparatus for cooling fin and fan for computer CPU

Номер патента: TW347107U. Автор: Chun-Sheng Lin. Владелец: Chun-Sheng Lin. Дата публикации: 1998-12-01.

Heat dissipation fin and heat pipe assembly structure improvement

Номер патента: TWM271361U. Автор: Shin-Wei He,Ming-Chiuan Shr,Jang-Ren Huang. Владелец: Forcecon Technology Co Ltd. Дата публикации: 2005-07-21.

Improved cooling fin and lamp comprising thereof

Номер патента: TWM420653U. Автор: Shang-Wu Chen. Владелец: Trend Lighting Corp. Дата публикации: 2012-01-11.

Forming structure of the fin and heat dissipating base

Номер патента: TWM408798U. Автор: Shih-Ming Chen. Владелец: Shih-Ming Chen. Дата публикации: 2011-08-01.

Process module for welding heat sink fin and bottom panel

Номер патента: TW433099U. Автор: Yang-Shiau Chen. Владелец: Chen Yang Shiau. Дата публикации: 2001-05-01.

Discontinuous fins and heat exchangers

Номер патента: TWI530659B. Автор: Wei-Ji Liu,Jian-Yu Yang,Zhao-Xiong Huang. Владелец: Univ Nat Central. Дата публикации: 2016-04-21.

Fin for use in a fin and tube heat exchanger in an air conditioner

Номер патента: USD329281S. Автор: Takayuki Yoshida,Tomohumi Tezuka,Masao Huzii. Владелец: Mitsubishi Electric Corp. Дата публикации: 1992-09-08.

Fin for use in a fin and tube heat exchanger in an air conditioner

Номер патента: USD331624S. Автор: Takayuki Yoshida,Tomohumi Tezuka,Masao Huzii. Владелец: Mitsubishi Electric Corp. Дата публикации: 1992-12-08.

Structure of heat-dissipating fins and heat sink thereof

Номер патента: TWM394506U. Автор: Sheng-Huang Lin. Владелец: Asia Vital Components Co Ltd. Дата публикации: 2010-12-11.

Tight integration structure for thin fin and heat conduction pipe

Номер патента: TWM285691U. Автор: Shr-Ming Chen. Владелец: Shr-Ming Chen. Дата публикации: 2006-01-11.

Improvement on the combination structure of the computer^s CPU, ventilation fin and the fan

Номер патента: TW374463U. Автор: mao-qin Chen. Владелец: Aavid Thermalloy Taiwan Inc. Дата публикации: 1999-11-11.

Fin and tube stock assemblies for heat exchange units

Номер патента: CA749828A. Автор: W. Kritzer Richard. Владелец: Individual. Дата публикации: 1967-01-03.

Method of forming a cooling fin and a cylinder for internal combustion engines equipped with such fin

Номер патента: CA490565A. Автор: Spagnola Samuel. Владелец: Individual. Дата публикации: 1953-02-17.

Heat dissipating fin and heat dissipating device

Номер патента: TWM441306U. Автор: Chia-Yu Lin,Yen Tsai. Владелец: Cooler Master Co Ltd. Дата публикации: 2012-11-11.

Heat exchanger fin and plate heat exchanger

Номер патента: CN118936203A. Автор: 王斌,穆景阳,余兆开,吕伟业. Владелец: Air International Shanghai Co ltd. Дата публикации: 2024-11-12.

Fin and accessory assembly and water craft having the same

Номер патента: CA2788879C. Автор: Tzong In Yeh. Владелец: Individual. Дата публикации: 2015-05-19.

METHOD FOR MANUFACTURING HEAT SINK HAVING HEAT-DISSIPATING FINS AND STRUCTURE OF THE SAME

Номер патента: US20120037351A1. Автор: . Владелец: NENG TYI PRECISION INDUSTRIES CO., LTD.. Дата публикации: 2012-02-16.

INTEGRATED COOLING FIN AND FRAME

Номер патента: US20120040223A1. Автор: . Владелец: GM GLOBAL TECHNOLOGY OPERATIONS, INC.. Дата публикации: 2012-02-16.

METHOD FOR MANUFACTURING SUSPENDED FIN AND GATE-ALL-AROUND FIELD EFFECT TRANSISTOR

Номер патента: US20120149162A1. Автор: Xu Qiuxia,Song Yi,Zhou Huajie. Владелец: . Дата публикации: 2012-06-14.

SEMICONDUCTOR STRUCTURES INCLUDING DUAL FINS AND METHODS OF FABRICATION

Номер патента: US20120175748A1. Автор: Wilson Aaron R.,Lindholm Larson,Hwang David. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2012-07-12.

FIN AND TUBE HEAT EXCHANGER

Номер патента: US20120305227A1. Автор: . Владелец: GENERAL ELECTRIC COMPANY. Дата публикации: 2012-12-06.

CORRUGATED FIN AND HEAT EXCHANGER INCLUDING THE SAME

Номер патента: US20120318485A1. Автор: Yabe Mitsuo,Shikazono Naoki. Владелец: . Дата публикации: 2012-12-20.

HEAT FINS AND RELATED SYSTEMS AND METHODS

Номер патента: US20130048257A1. Автор: Perry Thomas William. Владелец: . Дата публикации: 2013-02-28.

HEAT-DISSIPATING FIN AND HEAT-DISSIPATING FIN ASSEMBLY

Номер патента: US20130075073A1. Автор: Chiang Meng-Ting,Tsai Yao-Lung,Wang Wei-Hsing. Владелец: WISTRON CORPORATION. Дата публикации: 2013-03-28.

HEAT SINK FIN AND HEAT SINK DEVICE

Номер патента: US20130105132A1. Автор: Huang Tsung-Hsien. Владелец: . Дата публикации: 2013-05-02.

HEAT EXCHANGER WITH FINS AND METHOD FOR FORMING SAME

Номер патента: US20130146268A1. Автор: Peoples Michael Dean,Myers Steven Franklin. Владелец: UNISON INDUSTRIES, LLC. Дата публикации: 2013-06-13.

FinFETs with Vertical Fins and Methods for Forming the Same

Номер патента: US20130175659A1. Автор: LIU MING-CHYI. Владелец: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY. LTD.. Дата публикации: 2013-07-11.

Fin And Accessory Assembly And Watercraft Having Same

Номер патента: US20130189886A1. Автор: YEH TZONG IN. Владелец: . Дата публикации: 2013-07-25.

HEAT SINK RADIATION FIN AND BASE BLOCK MOUNTING STRUCTURE

Номер патента: US20130264043A1. Автор: Huang Tsung-Hsien. Владелец: . Дата публикации: 2013-10-10.