• Главная
  • Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium

Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

SUBSTRATE PROCESSING APPARATUS, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND COMPUTER-READABLE RECORDING MEDIUM

Номер патента: US20150270119A1. Автор: YAHATA Takashi. Владелец: . Дата публикации: 2015-09-24.

Substrate processing apparatus and manufacturing method of semiconductor device

Номер патента: US20090088001A1. Автор: Takashi Nakagawa. Владелец: HITACHI KOKUSAI ELECTRIC INC. Дата публикации: 2009-04-02.

SUBSTRATE PROCESSING APPARATUS, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND COMPUTER-READABLE RECORDING MEDIUM

Номер патента: US20150267294A1. Автор: ITATANI Hideharu. Владелец: . Дата публикации: 2015-09-24.

Substrate processing tool with integrated metrology and method of using

Номер патента: US20190295870A1. Автор: Robert Clark,Kandabara Tapily. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-09-26.

SUBSTRATE PROCESSING APPARATUS, REFLECTOR AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE

Номер патента: US20220005678A1. Автор: YASUI Takeshi,Inada Tetsuaki. Владелец: KOKUSAI ELECTRIC CORPORATION. Дата публикации: 2022-01-06.

Substrate processing system, semiconductor device manufacturing method, and recording medium

Номер патента: JP5859586B2. Автор: 武敏 佐藤. Владелец: HITACHI KOKUSAI ELECTRIC INC. Дата публикации: 2016-02-10.

Method of Manufacturing Semiconductor Device

Номер патента: US20170372894A1. Автор: Naofumi Ohashi,Tsuyoshi Takeda,Yukinori Aburatani,Shin Hiyama. Владелец: HITACHI KOKUSAI ELECTRIC INC. Дата публикации: 2017-12-28.

Substrate processing apparatus and producing method of semiconductor device

Номер патента: US20120034788A1. Автор: Masanori Sakai,Hirohisa Yamazaki,Toru Kagaya. Владелец: Toru Kagaya. Дата публикации: 2012-02-09.

Substrate processing apparatus and producing method of semiconductor device

Номер патента: US8598047B2. Автор: Masanori Sakai,Hirohisa Yamazaki,Toru Kagaya. Владелец: HITACHI KOKUSAI ELECTRIC INC. Дата публикации: 2013-12-03.

Substrate processing apparatus and method of cleaning the same

Номер патента: US20190055647A1. Автор: Kook Tae Kim,Bongjin Kuh,In-Sun Yi,Soojin HONG,Sukjin CHUNG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-02-21.

Substrate processing apparatus and manufacturing method of semiconductor device

Номер патента: TW201118971A. Автор: Tadashi Horie,Akito Hirano,Tadashi Terasaki. Владелец: Hitachi Int Electric Inc. Дата публикации: 2011-06-01.

Substrate processing apparatus and control method of substrate processing apparatus

Номер патента: US20220399209A1. Автор: Tomonori Okumura,Keisuke Tsugao. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-12-15.

Ceramic film and method of manufacturing the same, semiconductor device, and piezoelectric device

Номер патента: US20030213426A1. Автор: Eiji Natori,Koichi Furuyama,Yuzo Tasaki. Владелец: Seiko Epson Corp. Дата публикации: 2003-11-20.

Edge ring, plasma processing apparatus, and manufacturing method of edge ring

Номер патента: US11887821B2. Автор: Masato Kon. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-01-30.

Substrate processing apparatus and manufacturing method of semiconductor device

Номер патента: US20200090965A1. Автор: Tomoyasu Miyashita,Atsushi UMEKAWA,Daigi KAMIMURA. Владелец: Kokusai Electric Corp. Дата публикации: 2020-03-19.

Method of manufacturing interconnection and semiconductor device

Номер патента: US8940628B2. Автор: Tadashi Sakai,Yuichi Yamazaki. Владелец: Toshiba Corp. Дата публикации: 2015-01-27.

Method of forming insulating film in semiconductor device

Номер патента: US20050014389A1. Автор: Sung Hwang. Владелец: Individual. Дата публикации: 2005-01-20.

Method of forming isolation film of semiconductor device

Номер патента: US20040127035A1. Автор: Sung Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-07-01.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US20210167173A1. Автор: Takumi Fujimoto. Владелец: Fuji Electric Co Ltd. Дата публикации: 2021-06-03.

Method of manufacturing silicon carbide semiconductor device

Номер патента: US20160240380A1. Автор: Taku Horii. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2016-08-18.

Method of manufacturing silicon carbide semiconductor device

Номер патента: US20190157398A1. Автор: Makoto Utsumi,Fumikazu Imai,Yasuhiko OONISHI. Владелец: Fuji Electric Co Ltd. Дата публикации: 2019-05-23.

Method of selectively depositing glass on semiconductor devices

Номер патента: CA1038329A. Автор: Robert B. Comizzoli. Владелец: RCA Corp. Дата публикации: 1978-09-12.

Method of selectively depositing glass on semiconductor devices

Номер патента: US3895127A. Автор: Robert Benedict Comizzoli. Владелец: RCA Corp. Дата публикации: 1975-07-15.

Method of forming isolation layer for semiconductor device

Номер патента: US5913133A. Автор: Byung Seok Lee. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1999-06-15.

Method of forming isolation film of semiconductor device

Номер патента: US7060630B2. Автор: Sung Hoon Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-06-13.

Methods of fabricating a capacitor and semiconductor device

Номер патента: US20230107346A1. Автор: Hyunjun Kim,Yukyung Shin,Cheoljin Cho,Changhwa JUNG,Jongbeom SEO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-04-06.

Substrate processing apparatus and manufacturing method of substrate holding unit

Номер патента: US20180342479A1. Автор: Takashi Terada,Yoshitaka Otsuka,Munehisa Kodama. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-11-29.

Substrate processing apparatus and manufacturing method of substrate holding unit

Номер патента: US10833045B2. Автор: Takashi Terada,Yoshitaka Otsuka,Munehisa Kodama. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-11-10.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US12046642B2. Автор: Shinji Fujikake. Владелец: Fuji Electric Co Ltd. Дата публикации: 2024-07-23.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US20220231128A1. Автор: Shinji Fujikake. Владелец: Fuji Electric Co Ltd. Дата публикации: 2022-07-21.

Control device of substrate processing apparatus and control method of substrate processing apparatus

Номер патента: US20200135515A1. Автор: Jun Nonaka. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-04-30.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US20200279947A1. Автор: Fumitoshi Yamamoto. Владелец: Mitsubishi Electric Corp. Дата публикации: 2020-09-03.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US11942517B2. Автор: Takeyoshi Masuda. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2024-03-26.

Method of manufacturing silicon carbide semiconductor device

Номер патента: CA2669949A1. Автор: Kazuhiro Fujikawa. Владелец: Kazuhiro Fujikawa. Дата публикации: 2008-06-05.

Semiconductor device and method of forming patterns for a semiconductor device

Номер патента: US20200144391A1. Автор: Dae Sung EOM. Владелец: SK hynix Inc. Дата публикации: 2020-05-07.

Method of fabrication of adjacent coplanar semiconductor devices

Номер патента: US5376229A. Автор: Steven D. Lester,Jeffrey N. Miller,Danny E. Mars. Владелец: Hewlett Packard Co. Дата публикации: 1994-12-27.

Method of forming a pattern in semiconductor device manufacturing process

Номер патента: US5236547A. Автор: Shigeki Takahashi,Yasuhiro Shiraki. Владелец: Toshiba Corp. Дата публикации: 1993-08-17.

Method of forming contacts for a semiconductor device

Номер патента: US20120094485A1. Автор: Shih-Chang Chen,Huan-Just Lin,Tsai-Chun Li,Yuan-Tien Tu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2012-04-19.

Method of manufacturing hexagonal ferrite powder, hexagonal ferrite powder, and magnetic recording medium

Номер патента: US20150279407A1. Автор: Yoichi Hosoya. Владелец: Fujifilm Corp. Дата публикации: 2015-10-01.

Method of manufacturing hexagonal ferrite powder, hexagonal ferrite powder, and magnetic recording medium

Номер патента: US10102874B2. Автор: Masashi Shirata. Владелец: Fujifilm Corp. Дата публикации: 2018-10-16.

Method of manufacturing hexagonal ferrite powder, hexagonal ferrite powder, and magnetic recording medium

Номер патента: US20150279406A1. Автор: Masashi Shirata. Владелец: Fujifilm Corp. Дата публикации: 2015-10-01.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US11469303B2. Автор: Makoto Utsumi,Masaki Miyazato. Владелец: Fuji Electric Co Ltd. Дата публикации: 2022-10-11.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US20240290842A1. Автор: Naoyuki Ohse. Владелец: Fuji Electric Co Ltd. Дата публикации: 2024-08-29.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US11114560B2. Автор: Keiji Okumura. Владелец: Fuji Electric Co Ltd. Дата публикации: 2021-09-07.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US12080762B2. Автор: Makoto Utsumi,Naoyuki Ohse. Владелец: Fuji Electric Co Ltd. Дата публикации: 2024-09-03.

Method of manufacturing silicon carbide semiconductor device

Номер патента: US20240234496A1. Автор: Shinsuke Harada,Kensuke Takenaka. Владелец: Fuji Electric Co Ltd. Дата публикации: 2024-07-11.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US20210328025A1. Автор: Takahito Kojima,Naoyuki Ohse. Владелец: Fuji Electric Co Ltd. Дата публикации: 2021-10-21.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US11929400B2. Автор: Takahito Kojima,Naoyuki Ohse. Владелец: Fuji Electric Co Ltd. Дата публикации: 2024-03-12.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US20200303541A1. Автор: Keiji Okumura. Владелец: Fuji Electric Co Ltd. Дата публикации: 2020-09-24.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US20210074863A1. Автор: Takahito Kojima,Naoyuki Ohse. Владелец: Fuji Electric Co Ltd. Дата публикации: 2021-03-11.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US20210111250A1. Автор: Setsuko Wakimoto. Владелец: Fuji Electric Co Ltd. Дата публикации: 2021-04-15.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US20240021737A1. Автор: Yuichi HASHIZUME. Владелец: Fuji Electric Co Ltd. Дата публикации: 2024-01-18.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US20220302251A1. Автор: Masanobu IWAYA,Kensuke HATA. Владелец: Fuji Electric Co Ltd. Дата публикации: 2022-09-22.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US20230326960A1. Автор: Keishirou KUMADA. Владелец: Fuji Electric Co Ltd. Дата публикации: 2023-10-12.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US11600702B2. Автор: Yasuyuki Kawada. Владелец: Fuji Electric Co Ltd. Дата публикации: 2023-03-07.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US20180138273A1. Автор: Takashi Tsuji. Владелец: Fuji Electric Co Ltd. Дата публикации: 2018-05-17.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US20220013641A1. Автор: Yasuyuki Kawada. Владелец: Fuji Electric Co Ltd. Дата публикации: 2022-01-13.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US20200144371A1. Автор: Takeshi Tawara,Mina OHSE. Владелец: Fuji Electric Co Ltd. Дата публикации: 2020-05-07.

Method of manufacturing silicon carbide semiconductor device

Номер патента: CA2667247A1. Автор: Takeyoshi Masuda,Kazuhiro Fujikawa. Владелец: Kazuhiro Fujikawa. Дата публикации: 2008-05-08.

Method of manufacturing silicon carbide semiconductor device

Номер патента: US20220336219A1. Автор: Daisuke Taniguchi,Toshikazu Tanioka,Junya MIWA. Владелец: Mitsubishi Electric Corp. Дата публикации: 2022-10-20.

Method of manufacturing silicon carbide semiconductor device

Номер патента: US20230154999A1. Автор: Hidemoto Tomita. Владелец: Mirise Technologies Corp. Дата публикации: 2023-05-18.

Method of manufacturing silicon carbide semiconductor device

Номер патента: US20160314973A1. Автор: Yasuyuki Kawada. Владелец: Fuji Electric Co Ltd. Дата публикации: 2016-10-27.

Method of forming beam leads on semiconductor devices and integrated circuits

Номер патента: US3653999A. Автор: Clyde Rhea Fuller. Владелец: Texas Instruments Inc. Дата публикации: 1972-04-04.

Methods of making passivating layers for semiconductor devices

Номер патента: GB1532456A. Автор: . Владелец: Sony Corp. Дата публикации: 1978-11-15.

Methods of forming ohmic contacts on semiconductor devices with trench/mesa structures

Номер патента: US20230420536A1. Автор: Sei-Hyung Ryu,Rahul R. Potera,Madankumar Sampath. Владелец: Wolfspeed Inc. Дата публикации: 2023-12-28.

Semiconductor device and method of manufacture

Номер патента: US20210091231A1. Автор: Vicente Calvo Alonso,Jouko LÅNG,Johnny Dahl. Владелец: Comptek Solutions Oy. Дата публикации: 2021-03-25.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US11424325B2. Автор: Yasuyuki Kawada. Владелец: Fuji Electric Co Ltd. Дата публикации: 2022-08-23.

Method of manufacturing multilayer structured semiconductor device

Номер патента: US20040067636A1. Автор: Motoki Kobayashi. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2004-04-08.

Substrate Processing Apparatus and Inspection Method of Magnet Assembly

Номер патента: KR102007867B1. Автор: 김준호,신동열,이재승,서문선. Владелец: 에이피시스템 주식회사. Дата публикации: 2019-08-07.

Semiconductor device and method of manufacture

Номер патента: WO2019020869A1. Автор: Vicente Calvo Alonso,Jouko LÅNG,Johnny Dahl. Владелец: Comptek Solutions Oy. Дата публикации: 2019-01-31.

SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND RECORDING MEDIUM

Номер патента: US20170287707A1. Автор: NAKAYAMA Masanori. Владелец: HITACHI KOKUSAI ELECTRIC INC.. Дата публикации: 2017-10-05.

Processing apparatus using laser, method of laser lift-off and method of manufacturing semiconductor device

Номер патента: US20240096682A1. Автор: Hidekazu Hayashi,Takuro Okubo. Владелец: Kioxia Corp. Дата публикации: 2024-03-21.

Method of manufacturing multi-die semiconductor devices and corresponding multi-die semiconductor device

Номер патента: US20240249955A1. Автор: Paolo Crema. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2024-07-25.

Method of manufacturing stacked-type semiconductor device

Номер патента: US20090191666A1. Автор: Souu Kumagai. Владелец: Disco Corp. Дата публикации: 2009-07-30.

Method of manufacturing multi-die semiconductor devices

Номер патента: EP4016614A1. Автор: Paolo Crema. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2022-06-22.

Substrate processing apparatus and holding method of substrate

Номер патента: US20240105497A1. Автор: yosuke Omori,Norifumi Kohama. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-03-28.

Plasma processing apparatus and manufacturing method of semiconductor device

Номер патента: US20240203707A1. Автор: Seiwa NISHIO. Владелец: Kioxia Corp. Дата публикации: 2024-06-20.

Methods of forming a device having semiconductor devices on two sides of a buried dielectric layer

Номер патента: EP3504734A1. Автор: Sinan Goktepeli,Stephen Alan Fanelli. Владелец: Qualcomm Inc. Дата публикации: 2019-07-03.

Method of manufacturing multi-die semiconductor devices and corresponding multi-die semiconductor device

Номер патента: US11948806B2. Автор: Paolo Crema. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2024-04-02.

Method of manufacturing GaN-based semiconductor device

Номер патента: US8633087B2. Автор: Hideki Matsubara,Kuniaki Ishihara. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2014-01-21.

Method of manufacturing 3-D semiconductor device

Номер патента: US10636699B2. Автор: Zongliang Huo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2020-04-28.

Semiconductor device and method of manufacturing the same semiconductor device

Номер патента: US20160268163A1. Автор: Kenro Nakamura. Владелец: Toshiba Corp. Дата публикации: 2016-09-15.

Method of manufacturing substrates for semiconductor devices, corresponding substrate and semiconductor device

Номер патента: EP4125121A1. Автор: Mauro Mazzola. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2023-02-01.

Method of forming buried wiring in semiconductor device

Номер патента: US20050186795A1. Автор: Kazuhide Abe. Владелец: Individual. Дата публикации: 2005-08-25.

Method of Manufacturing a Power Semiconductor Device

Номер патента: US20190157401A1. Автор: Hans-Joachim Schulze,Christoph Weiss,Daniel Schloegl,Matthias Kuenle. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2019-05-23.

Method of manufacturing a multilayer semiconductor device

Номер патента: US4596604A. Автор: Shin-ichi Ogawa,Yasuaki Terui,Shigenobu Akiyama. Владелец: Agency of Industrial Science and Technology. Дата публикации: 1986-06-24.

Method of manufacturing III-nitride semiconductor devices

Номер патента: US6486050B1. Автор: Ching-ting Lee. Владелец: Opto Tech Corp. Дата публикации: 2002-11-26.

Process of manufacturing trench gate semiconductor device

Номер патента: EP2020681A3. Автор: Richard K. Williams,Wayne B. Grabowski. Владелец: Advanced Analogic Technologies Inc. Дата публикации: 2009-06-10.

Method of making silicon-on-sapphire semiconductor devices

Номер патента: US4775641A. Автор: Glenn W. Cullen,Michael T. Duffy. Владелец: General Electric Co. Дата публикации: 1988-10-04.

Vacuum processing apparatus and operating method of the same

Номер патента: US20130142595A1. Автор: Yoshifumi Ogawa,Susumu Tauchi,Takahiro Shimomura. Владелец: Hitachi High Technologies Corp. Дата публикации: 2013-06-06.

Method of forming contacts to a semiconductor device

Номер патента: CA2011235A1. Автор: Kathleen A. Perry,San-Mei Ku. Владелец: International Business Machines Corp. Дата публикации: 1990-11-15.

Method of forming bit line in semiconductor device

Номер патента: US20060240673A1. Автор: Sung Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-10-26.

Method of producing epoxy resin encapsulated semiconductor device

Номер патента: MY112215A. Автор: ITO Hideo,MINAMI Katsunori. Владелец: Sumitomo Bakelite Co. Дата публикации: 2001-04-30.

Method of forming metal line in semiconductor device

Номер патента: US7790609B2. Автор: Ji-Ho Hong. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2010-09-07.

Method of forming conductive layer and semiconductor device

Номер патента: US20120189781A1. Автор: Takashi Sakaki. Владелец: Canon Inc. Дата публикации: 2012-07-26.

Method of forming gate line of semiconductor device

Номер патента: US20100048014A1. Автор: Chang Ki Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-02-25.

Method of forming conductive layer and semiconductor device

Номер патента: US8173542B2. Автор: Takashi Sakaki. Владелец: Canon Inc. Дата публикации: 2012-05-08.

Method of forming conductive layer and semiconductor device

Номер патента: US8273656B2. Автор: Takashi Sakaki. Владелец: Canon Inc. Дата публикации: 2012-09-25.

Method of forming wiring layer of semiconductor device

Номер патента: US20090227101A1. Автор: Sun-jung Lee,Mu-Kyeng Jung,Ki-Chul Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-09-10.

Methods of forming silicide layer of semiconductor device

Номер патента: US20050142727A1. Автор: Jin Jung. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Method of Fabricating Landing Plug in Semiconductor Device

Номер патента: US20100330801A1. Автор: Kyoung Bong Rouh. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-12-30.

Method of forming gate electrode in semiconductor device

Номер патента: US20040259369A1. Автор: Cha Dong,Ho Son. Владелец: Individual. Дата публикации: 2004-12-23.

Method of forming gate pattern and semiconductor device

Номер патента: US20120276727A1. Автор: QIYANG He,YIYING Zhang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2012-11-01.

Method of bevel trimming three dimensional semiconductor device

Номер патента: US20120270394A1. Автор: Yi-Nan Chen,Hsien-Wen Liu,Shing-Yih Shih. Владелец: Nanya Technology Corp. Дата публикации: 2012-10-25.

Method of forming metal lines of semiconductor device

Номер патента: US20120040527A1. Автор: Suk Joong Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-02-16.

Method of forming metal contact for semiconductor device

Номер патента: US11715763B2. Автор: Sung-Li Wang,Yasutoshi Okuno,Mrunal A. Khaderbad. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-08-01.

Method of forming conductive layer of semiconductor device

Номер патента: US20240038545A1. Автор: Yu Shu Lin. Владелец: Nanya Technology Corp. Дата публикации: 2024-02-01.

Method of forming metal lines of semiconductor device

Номер патента: US8193088B2. Автор: Suk Joong Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-06-05.

Method of detecting failure of a semiconductor device

Номер патента: US20190385918A1. Автор: ZHAN Zhan,Ji-Young Choi,Ju-Hyun Kim,Hwa-Sung Rhee,Sung-Gun Kang,Min-Seob KIM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-12-19.

Methods of forming metal wiring of semiconductor devices

Номер патента: US20040132283A1. Автор: Dong-Ki Jeon,Jae-Won Han. Владелец: Anam Semiconductor Inc. Дата публикации: 2004-07-08.

Method of producing a complementary-type semiconductor device

Номер патента: US5036019A. Автор: Yasushi Higuchi,Hiroyuki Yamane. Владелец: NipponDenso Co Ltd. Дата публикации: 1991-07-30.

Method of forming isolation layer of semiconductor device

Номер патента: US7682928B2. Автор: Myung IL Kang. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2010-03-23.

Method of forming buried wiring in semiconductor device

Номер патента: US6903020B2. Автор: Kazuhide Abe. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2005-06-07.

Method of manufacturing silicon carbide semiconductor device and silicon carbide semiconductor device

Номер патента: US20220393002A1. Автор: Takafumi Uchida. Владелец: Fuji Electric Co Ltd. Дата публикации: 2022-12-08.

Semiconductor substrate processing methods

Номер патента: US11823953B2. Автор: Michael J. Seddon. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2023-11-21.

Semiconductor substrate processing methods

Номер патента: US20190363016A1. Автор: Michael J. Seddon. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2019-11-28.

Semiconductor substrate processing methods

Номер патента: US20210375679A1. Автор: Michael J. Seddon. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2021-12-02.

Semiconductor device and method for fabricating the same

Номер патента: US20010000914A1. Автор: Young Park,Jong Lee,Hyeok Lee. Владелец: LG Semicon Co Ltd. Дата публикации: 2001-05-10.

Detection of seed layers on a semiconductor device

Номер патента: US20070087530A1. Автор: Jae Kim,Ji Young Yim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-04-19.

SUBSTRATE PROCESSING APPARATUS AND PROCESSING METHOD OF SUBSTRATE PROCESSING APPARATUS

Номер патента: US20170287703A1. Автор: Morita Satoshi,Amano Yoshifumi,Ikebe Ryoji,Miyamoto Isamu. Владелец: . Дата публикации: 2017-10-05.

SUBSTRATE PROCESSING APPARATUS, SUBSTRATE DETECTION METHOD OF SUBSTRATE PROCESSING APPARATUS AND STORAGE MEDIUM

Номер патента: US20150318194A1. Автор: MORI Kohei,KURUSU Kento. Владелец: . Дата публикации: 2015-11-05.

Method for fabricating semiconductor device including fin shaped structure

Номер патента: US20170194193A1. Автор: Chao-Hung Lin,Chung-Yi Chiu,Shih-Fang Hong. Владелец: United Microelectronics Corp. Дата публикации: 2017-07-06.

Method for fabricating semiconductor device including fin shaped structure

Номер патента: US9881831B2. Автор: Chao-Hung Lin,Chung-Yi Chiu,Shih-Fang Hong. Владелец: United Microelectronics Corp. Дата публикации: 2018-01-30.

Substrate Processing Apparatus and Manufacturing Method of Substrate Processing Apparatus

Номер патента: KR100929817B1. Автор: 장성호,강병만. Владелец: 세메스 주식회사. Дата публикации: 2009-12-07.

Semiconductor device with single-crystal nanowire finfet

Номер патента: US20180102411A1. Автор: Hsin-Yu Chen,Sheng-Hao Lin,Huai-Tzu Chiang,Hao-Ming Lee. Владелец: United Microelectronics Corp. Дата публикации: 2018-04-12.

CLEANING APPARATUS OF CLEANING TOOL, SUBSTRATE PROCESSING APPARATUS, AND CLEANING METHOD OF CLEANING TOOL

Номер патента: US20200243351A1. Автор: Tanaka Hideaki. Владелец: . Дата публикации: 2020-07-30.

Semiconductor device and manufacturing method

Номер патента: US20200274332A1. Автор: Vicente Calvo Alonso,Jouko LÅNG,Johnny Dahl. Владелец: Comptek Solutions Oy. Дата публикации: 2020-08-27.

Semiconductor device and manufacturing method

Номер патента: WO2019020871A1. Автор: Vicente Calvo Alonso,Jouko LÅNG,Johnny Dahl. Владелец: Comptek Solutions Oy. Дата публикации: 2019-01-31.

Semiconductor device and method of manufacturing the same

Номер патента: US5889335A. Автор: Takashi Kuroi,Hirokazu Sayama,Maiko Sakai,Katsuyuki Horita. Владелец: Mitsubishi Electric Corp. Дата публикации: 1999-03-30.

Methods of forming replacement gate structures on finfet devices and the resulting devices

Номер патента: US20160133719A1. Автор: Xiuyu Cai,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2016-05-12.

Optical semiconductor device, optoelectronic device and method of manufacturing an optical semiconductor device

Номер патента: WO2023165869A1. Автор: Jens Hofrichter. Владелец: Ams-Osram Ag. Дата публикации: 2023-09-07.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US11742392B2. Автор: Shingo Hayashi,Takumi Fujimoto. Владелец: Fuji Electric Co Ltd. Дата публикации: 2023-08-29.

Substrate processing apparatus and control method of substrate processing apparatus

Номер патента: US20170263477A1. Автор: Hideo Eto. Владелец: Toshiba Corp. Дата публикации: 2017-09-14.

Method of manufacturing support structures for lighting devices and corresponding device

Номер патента: US20180062054A1. Автор: Lorenzo Baldo,Alessio Griffoni,Federico Poggi. Владелец: OSRAM GMBH. Дата публикации: 2018-03-01.

Image processing apparatus and method for inspecting defects of enclosures of semiconductor devices

Номер патента: US5568564A. Автор: Takayuki Ozaki. Владелец: Toshiba Corp. Дата публикации: 1996-10-22.

Method of forming a non-volatile resistance variable device, and non-volatile resistance variable device

Номер патента: US20040157416A1. Автор: John Moore,Terry Gilton. Владелец: Individual. Дата публикации: 2004-08-12.

Method of fabricating memory cell in semiconductor device

Номер патента: US20050189581A1. Автор: Tae Park. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-09-01.

Semiconductor Device and a Method of Manufacturing of a Semiconductor Device

Номер патента: US20240079494A1. Автор: Manoj Kumar,Kilian ONG. Владелец: Nexperia BV. Дата публикации: 2024-03-07.

A semiconductor device and a method of manufacturing of a semiconductor device

Номер патента: EP4333074A1. Автор: Manoj Kumar,Kilian ONG. Владелец: Nexperia BV. Дата публикации: 2024-03-06.

Method of producing a radiation sensor semiconductor device comprising a multiple colour filter

Номер патента: WO2014127988A1. Автор: Rainer Minixhofer. Владелец: ams AG. Дата публикации: 2014-08-28.

Method of manufacturing capacitors for semiconductor devices

Номер патента: US7163859B2. Автор: Dong-Woo Kim,Jae-hee Oh. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-01-16.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US20240063269A1. Автор: Akimasa Kinoshita. Владелец: Fuji Electric Co Ltd. Дата публикации: 2024-02-22.

Method of manufacturing integrated circuit semiconductor device

Номер патента: US4806457A. Автор: Masayuki Yanagisawa. Владелец: NEC Corp. Дата публикации: 1989-02-21.

Method of manufacturing super-junction semiconductor device

Номер патента: US20110287598A1. Автор: Takayuki SHIMATOU. Владелец: Fuji Electric Co Ltd. Дата публикации: 2011-11-24.

Method of manufacturing super-junction semiconductor device

Номер патента: US20110287617A1. Автор: Naoko Kodama. Владелец: Fuji Electric Co Ltd. Дата публикации: 2011-11-24.

Method of producing electrical component, electrical component production device, and photosensitive resist

Номер патента: US20110207048A1. Автор: Yuki ITOU. Владелец: Oki Semiconductor Co Ltd. Дата публикации: 2011-08-25.

Processing method, processing apparatus, and evaluation method of metal member

Номер патента: US20190271085A1. Автор: Hiroshi Nagaike. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-09-05.

Method of manufacturing trench type semiconductor device

Номер патента: US20220157958A1. Автор: Jau-Yan Lin. Владелец: Advanced Power Electronics Corp USA. Дата публикации: 2022-05-19.

Method of manufacturing a tab semiconductor device

Номер патента: US5972739A. Автор: Koji Matsui,Yoshitsugu Funada. Владелец: NEC Corp. Дата публикации: 1999-10-26.

Method of manufacturing radiation-emitting semiconductor devices

Номер патента: US5358897A. Автор: Adriaan Valster,Coen T. H. F. Liedenbaum. Владелец: US Philips Corp. Дата публикации: 1994-10-25.

Stack type semiconductor device and method of testing the stack type semiconductor device

Номер патента: US20230307420A1. Автор: Seong Hwi Song. Владелец: SK hynix Inc. Дата публикации: 2023-09-28.

Methods of forming dielectric structures in semiconductor devices

Номер патента: WO2008054689A1. Автор: Leonard Forbes,Kie Y. Ahn. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2008-05-08.

System and method of determining pulse properties of semiconductor device

Номер патента: US7495456B2. Автор: Hideki Horii,Yong-ho Ha. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-02-24.

Method of fabricating vertical structure compound semiconductor devices

Номер патента: US20060148115A1. Автор: Myung Yoo. Владелец: Supergate Technology USA Inc. Дата публикации: 2006-07-06.

Structure and method of power supply routing in semiconductor device

Номер патента: US20230369310A1. Автор: Shih-Wei Peng,Jiann-Tyng Tzeng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-16.

Fabricating method of trench-gate metal oxide semiconductor device

Номер патента: US20140094013A1. Автор: Kuan-Ling LIU,Shih-Yuan UENG. Владелец: United Microelectronics Corp. Дата публикации: 2014-04-03.

Methods of forming connection bump of semiconductor device

Номер патента: US20130082090A1. Автор: Sun-Hee Park,Moon-Gi Cho,Hwan-Sik Lim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2013-04-04.

Bending and forming method of fabricating exposed leadframes for semiconductor devices

Номер патента: SG71148A1. Автор: David R Kee,Buford H Carter Jr,Jesse E Clark. Владелец: Texas Instruments Inc. Дата публикации: 2000-03-21.

Structure and method of forming a multiple leadframe semiconductor device

Номер патента: US20030209804A1. Автор: James Knapp,Stephen Germain. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2003-11-13.

Methods of removing photoresist and fabricating semiconductor devices

Номер патента: US20070093031A1. Автор: Min-Chieh Yang,Wen-Hsien Huang,Jiunn-Hsing Liao. Владелец: United Microelectronics Corp. Дата публикации: 2007-04-26.

Semiconductor element mounting member, method of producing the same, and semiconductor device

Номер патента: US20120292769A1. Автор: Eiji Kamijo,Kouichi Takashima,Yoshifumi Aoi. Владелец: ALMT Corp. Дата публикации: 2012-11-22.

Method of alignment mark protection and semiconductor device formed thereby

Номер патента: US20110304006A1. Автор: Chih-Hao Huang,Chiao-Wen Yeh. Владелец: Macronix International Co Ltd. Дата публикации: 2011-12-15.

Method of making metal electrode of semiconductor device

Номер патента: US4293637A. Автор: Kenzo Hatada,Takao Kajiwara. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1981-10-06.

Method of making a thin film semiconductor device

Номер патента: US5897344A. Автор: Satoshi Teramoto,Yasuhiko Takemura,Hongyong Zhang. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 1999-04-27.

Method of manufacturing cold cathode field emission device and method of manufacturing cold cathode field emission display

Номер патента: EP1073085A3. Автор: Ishiwata Mika. Владелец: Sony Corp. Дата публикации: 2003-04-09.

Plasma processing apparatus and prediction method of the condition of plasma processing apparatus

Номер патента: US12080529B2. Автор: Masahiro Sumiya,Yoshito Kamaji. Владелец: Hitachi High Tech Corp. Дата публикации: 2024-09-03.

Plasma processing apparatus and prediction method of the condition of plasma processing apparatus

Номер патента: US20210082673A1. Автор: Masahiro Sumiya,Yoshito Kamaji. Владелец: Hitachi High Tech Corp. Дата публикации: 2021-03-18.

Protection device having a sleeve and method of assembling a battery with a protection device and an electrical component

Номер патента: CA2346221C. Автор: Robert Zayatz. Владелец: Greatbatch Ltd. Дата публикации: 2008-12-23.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US20210074849A1. Автор: Akimasa Kinoshita. Владелец: Fuji Electric Co Ltd. Дата публикации: 2021-03-11.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US12027617B2. Автор: Akimasa Kinoshita. Владелец: Fuji Electric Co Ltd. Дата публикации: 2024-07-02.

Method of manufacturing silicon carbide semiconductor device

Номер патента: US20150371856A1. Автор: Hiroyuki Kitabayashi. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2015-12-24.

Semiconductor device and method of manufacturing the same

Номер патента: US20110233563A1. Автор: Yasuyuki Sakaguchi,Akihiko Sugai. Владелец: Showa Denko KK. Дата публикации: 2011-09-29.

SiC SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20130237043A1. Автор: Yoichiro Tarui,Noriaki Tsuchiya. Владелец: Mitsubishi Electric Corp. Дата публикации: 2013-09-12.

Semiconductor device and manufacturing method thereof

Номер патента: US20030124811A1. Автор: Hiroaki Uchida. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2003-07-03.

Semiconductor device and method of manufacturing the same

Номер патента: US20020019129A1. Автор: Masahiro Shimizu. Владелец: Mitsubishi Electric Corp. Дата публикации: 2002-02-14.

Semiconductor device and manufacturing method thereof

Номер патента: US20010049191A1. Автор: Hiroaki Uchida. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2001-12-06.

Method of purging wafer receiving jig, wafer transfer device, and method of manufacturing semiconductor device

Номер патента: TW200425379A. Автор: Kenji Tokunaga. Владелец: Trecenti Technologies Inc. Дата публикации: 2004-11-16.

Method of purging wafer receiving jig, wafer transfer device, and method of manufacturing semiconductor device

Номер патента: TWI246147B. Автор: Kenji Tokunaga. Владелец: Trecenti Technologies Inc. Дата публикации: 2005-12-21.

Electrostatic chuck, substrate processing apparatus, and manufacturing method of electrostatic chuck

Номер патента: US20240234107A1. Автор: Masato Minami,Tomoya Watanabe. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-07-11.

Method of manufacturing semiconductor device and semiconductor device

Номер патента: US20030062575A1. Автор: Masakatsu Tsuchiaki. Владелец: Toshiba Corp. Дата публикации: 2003-04-03.

Semiconductor device with tiered pillar and manufacturing method thereof

Номер патента: US20190237343A1. Автор: Ronald Patrick Huemoeller,Michael G. Kelly,Curtis Zwenger. Владелец: Amkor Technology Inc. Дата публикации: 2019-08-01.

Semiconductor device with tiered pillar and manufacturing method thereof

Номер патента: US10748786B2. Автор: Ronald Patrick Huemoeller,Michael G. Kelly,Curtis Zwenger. Владелец: Amkor Technology Inc. Дата публикации: 2020-08-18.

Layout of semiconductor device, semiconductor device and method of forming the same

Номер патента: US10553577B2. Автор: Yu-Cheng Tung. Владелец: United Microelectronics Corp. Дата публикации: 2020-02-04.

Substrate processing device, semiconductor device manufacturing method and recording medium

Номер патента: TWI735819B. Автор: 佐佐木伸也. Владелец: 日商國際電氣股份有限公司. Дата публикации: 2021-08-11.

Semiconductor device and method for manufacturing semiconductor device

Номер патента: US6787430B2. Автор: Jun Kanamori. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2004-09-07.

Semiconductor device having polysilicon bit line contact

Номер патента: US7884441B2. Автор: Nam Yoon Kim. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2011-02-08.

A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium

Номер патента: TWI534341B. Автор: Hitoshi Nakagawa. Владелец: Hitachi Int Electric Inc. Дата публикации: 2016-05-21.

Method of manufacturing semiconductor device and semiconductor device

Номер патента: US20130001549A1. Автор: Amane Oishi. Владелец: Toshiba Corp. Дата публикации: 2013-01-03.

Method of manufacturing nitride semiconductor device

Номер патента: US20130143373A1. Автор: Ki Yeol Park,Young Hwan Park,Woo Chul Jeon. Владелец: Samsung Electro Mechanics Co Ltd. Дата публикации: 2013-06-06.

Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device

Номер патента: US20020098672A1. Автор: Theodore Houston. Владелец: Individual. Дата публикации: 2002-07-25.

Semiconductor device and method of fabricating the same

Номер патента: US20230223306A1. Автор: Wei-Lun Huang,Chia-Ling Wang,Ping-Hung Chiang,Ta-wei Chiu,Chia-Wen Lu. Владелец: United Microelectronics Corp. Дата публикации: 2023-07-13.

Manufacturing method of semiconductor device

Номер патента: US20080142901A1. Автор: Yutaka Takeshima,Yasuko Yoshida,Shuji Matsuo,Kota Funayama,Katsuhiro Uchimura. Владелец: Individual. Дата публикации: 2008-06-19.

Contact via structures of semiconductor devices

Номер патента: US20230395425A1. Автор: Yung Fu Chong,Rui Tze TOH,Fangyue Liu. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2023-12-07.

Method of fabricating semiconductor device

Номер патента: US20170025519A1. Автор: Yikun Chen,Duan Quan Liao,Ching Hwa Tey. Владелец: United Microelectronics Corp. Дата публикации: 2017-01-26.

Semiconductor device and method of fabricating the same

Номер патента: US20200105765A1. Автор: Yoosang Hwang,Keunnam Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-04-02.

Semiconductor device and method of fabricating the same

Номер патента: US11314919B2. Автор: Bonghyun LEE,Yongdeok Kim,Munjun Seo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-04-26.

Semiconductor device and method of fabricating the same

Номер патента: US20240313097A1. Автор: Taehyun Kim,Junggil YANG,Taewon HA. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-09-19.

Semiconductor device packaging warpage control

Номер патента: EP4099367A2. Автор: Vivek Gupta,Michael B. Vincent,Scott M. Hayes,Zhiwei Gong,Richard Te GAN. Владелец: NXP USA Inc. Дата публикации: 2022-12-07.

Semiconductor device packaging warpage control

Номер патента: EP4099367A3. Автор: Vivek Gupta,Michael B. Vincent,Scott M. Hayes,Zhiwei Gong,Richard Te GAN. Владелец: NXP USA Inc. Дата публикации: 2022-12-28.

Methods of forming and operating semiconductor device

Номер патента: US20110194356A1. Автор: Yoon Kim,Byung-gook Park,Wook-Hyun Kwon,Yun-Heub Song. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2011-08-11.

Semiconductor device and methods of forming and operating the same

Номер патента: US20100001339A1. Автор: Yoon Kim,Byung-gook Park,Wook-Hyun Kwon,Yun-Heub Song. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2010-01-07.

A substrate processing apparatus, and a method of manufacturing the semiconductor device

Номер патента: TWI492324B. Автор: Atsushi UMEKAWA,Shinji Yashima. Владелец: Hitachi Int Electric Inc. Дата публикации: 2015-07-11.

Substrate processing apparatus and method of fabricating same

Номер патента: US20220139680A1. Автор: Dong Mok Lee,Jin Il SUNG. Владелец: Semes Co Ltd. Дата публикации: 2022-05-05.

Semiconductor device and method of fabricating the same

Номер патента: US20170092771A1. Автор: Chun-Yuan Wu,Chia-Fu Hsu,Zhibiao Zhou,Qinggang Xing,Xu Yang Shen. Владелец: United Microelectronics Corp. Дата публикации: 2017-03-30.

Contact via structures of semiconductor devices

Номер патента: US11776844B2. Автор: Yung Fu Chong,Rui Tze TOH,Fangyue Liu. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2023-10-03.

Method of manufacturing substrates for semiconductor devices, corresponding substrate and semiconductor device

Номер патента: US20230031422A1. Автор: Mauro Mazzola. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2023-02-02.

Semiconductor device and method

Номер патента: US20230299136A1. Автор: Tze-Liang Lee,Pei-Yu Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-21.

CONTROL DEVICE OF SUBSTRATE PROCESSING APPARATUS AND CONTROL METHOD OF SUBSTRATE PROCESSING APPARATUS

Номер патента: US20200135515A1. Автор: NONAKA Jun. Владелец: . Дата публикации: 2020-04-30.

Substrate processing apparatus and management method of substrate processing apparatus

Номер патента: JP4462912B2. Автор: 龍彦 稲田,浩之 辻野. Владелец: Screen Holdings Co Ltd. Дата публикации: 2010-05-12.

Method of manufacturing substrates for semiconductor devices, corresponding substrate and semiconductor device

Номер патента: EP4125121B1. Автор: Mauro Mazzola. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2024-08-28.

SUBSTRATE PROCESSING APPARATUS AND MANUFACTURING METHOD OF SUBSTRATE HOLDING UNIT

Номер патента: US20180342479A1. Автор: Terada Takashi,Otsuka Yoshitaka,KODAMA Munehisa. Владелец: . Дата публикации: 2018-11-29.

Semiconductor device with at least one truncated corner and/or side cut-out

Номер патента: US20160211219A1. Автор: Petko Nedelev,Luc BUYDENS,Sam Maddalena. Владелец: MELEXIS TECHNOLOGIES NV. Дата публикации: 2016-07-21.

Vertical semiconductor device in narrow slots within trench

Номер патента: US20230178599A1. Автор: Mark I. Gardner,H. Jim Fulford. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-06-08.

Method of manufacturing multi-die semiconductor devices

Номер патента: EP4016614B1. Автор: Paolo Crema. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2024-03-13.

Vertical type semiconductor devices including a metal gate and methods of forming the same

Номер патента: US9093547B2. Автор: Chang-Hyun Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-07-28.

Vertical type semiconductor devices including a metal gate and methods of forming the same

Номер патента: US20140203346A1. Автор: Chang-Hyun Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2014-07-24.

Method of manufacturing a lateral semiconductor device

Номер патента: EP1914797B1. Автор: Puo-Yu Chiang,Shun-Liang Hsu,Tsung-Yi Huang,Ruey-Hsien Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-08-12.

Method of manufacturing base for semiconductor device

Номер патента: JPS5270764A. Автор: Tokuo Satou. Владелец: Individual. Дата публикации: 1977-06-13.

Trench MOSFET with depleted gate shield and method of manufacture

Номер патента: US10832915B2. Автор: Patrick M. Shea. Владелец: Great Wall Semiconductor Corp. Дата публикации: 2020-11-10.

Trench mosfet with depleted gate shield and method of manufacture

Номер патента: US20170012111A1. Автор: Patrick M. Shea. Владелец: Great Wall Semiconductor Corp. Дата публикации: 2017-01-12.

Reduced Size Semiconductor Device And Method For Manufacture Thereof

Номер патента: US20160005468A1. Автор: Ya Jui Lee,Kaun Fu Chen. Владелец: Macronix International Co Ltd. Дата публикации: 2016-01-07.

Trench mosfet with depleted gate shield and method of manufacture

Номер патента: US20190115218A1. Автор: Patrick M. Shea. Владелец: Renesas Electronics America Inc. Дата публикации: 2019-04-18.

Method of forming junction of semiconductor device

Номер патента: US20090111233A1. Автор: Dong Ho Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-04-30.

Semiconductor device and method for manufacturing the same

Номер патента: US4425700A. Автор: Nobuo Sasaki,Motoo Nakano. Владелец: Fujitsu Ltd. Дата публикации: 1984-01-17.

Multiple well device and process of manufacture

Номер патента: US5698458A. Автор: Chen-Chiu Hsue,Ming-Tzong Yang,Sun-Chieh Chien,Chung-Yuan Lee. Владелец: United Microelectronics Corp. Дата публикации: 1997-12-16.

Semiconductor device interconnection pattern with rim

Номер патента: CA1203643A. Автор: Johannes A. Appels,Henricus G.R. Maas. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1986-04-22.

Semiconductor device having aluminum contacts or vias and method of manufacture therefor

Номер патента: US5913146A. Автор: Sailesh M. Merchant,Binh Nguyenphu. Владелец: Lucent Technologies Inc. Дата публикации: 1999-06-15.

Semiconductor device and manufacturing method thereof

Номер патента: USRE41696E1. Автор: Michiko Yamauchi. Владелец: Oki Semiconductor Co Ltd. Дата публикации: 2010-09-14.

Semiconductor device and manufacturing method thereof

Номер патента: US6642124B1. Автор: Michiko Yamauchi. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2003-11-04.

Method for manufacturing a semiconductor device

Номер патента: US10978308B2. Автор: Masaaki Kanazawa. Владелец: Renesas Electronics Corp. Дата публикации: 2021-04-13.

Method of Forming Patterns of Semiconductor Device

Номер патента: US20100323520A1. Автор: Tae Kyung Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-12-23.

Fabrication method of trenched metal-oxide-semiconductor device

Номер патента: US20110230025A1. Автор: Chun Ying Yeh. Владелец: Niko Semiconductor Co Ltd. Дата публикации: 2011-09-22.

Fabrication method of trenched metal-oxide-semiconductor device

Номер патента: US20100151642A1. Автор: Chun Ying Yeh. Владелец: Niko Semiconductor Co Ltd. Дата публикации: 2010-06-17.

Method of Forming Patterns of Semiconductor Device

Номер патента: US20120086134A1. Автор: Tae Kyung Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-04-12.

Reference wafer management method and system for semiconductor device manufacturing line, and recording medium

Номер патента: JP3420149B2. Автор: 寛 杉川. Владелец: NEC Electronics Corp. Дата публикации: 2003-06-23.

Printed material processing device and non-transitory recording medium storing computer readable program

Номер патента: US20170006181A1. Автор: Shoichi Nomura. Владелец: KONICA MINOLTA INC. Дата публикации: 2017-01-05.

Information processing apparatus and control method of the information processing apparatus

Номер патента: US20180131830A1. Автор: Minoru Hashimoto. Владелец: Canon Inc. Дата публикации: 2018-05-10.

Parallel processing apparatus and replacing method of failing optical transmission line

Номер патента: US20200119809A1. Автор: Atsushi Miki. Владелец: Fujitsu Ltd. Дата публикации: 2020-04-16.

Compound semiconductor device and method of manufacturing compound semiconductor device

Номер патента: US20230036228A1. Автор: Yoshikazu Motoyama,Akito Iwao. Владелец: Sony Semiconductor Solutions Corp. Дата публикации: 2023-02-02.

Methods of manufacturing phase-change memory device and semiconductor device

Номер патента: US20130102120A1. Автор: Hye Jin Seo,Keum Bum Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2013-04-25.

Information processing apparatus and control method of the information processing apparatus

Номер патента: US9420125B2. Автор: Makoto Tomita. Владелец: Canon Inc. Дата публикации: 2016-08-16.

Information processing apparatus and control method of the information processing apparatus

Номер патента: US20100231969A1. Автор: Makoto Tomita. Владелец: Canon Inc. Дата публикации: 2010-09-16.

Superjunction semiconductor device and method of manufacturing same

Номер патента: US20230223436A1. Автор: Myeong Bum PYUN,Min Gi JO,Jin Young Goh. Владелец: DB HiTek Co Ltd. Дата публикации: 2023-07-13.

System, information processing apparatus, and control method of the same

Номер патента: US20240214505A1. Автор: Kimio Hayashi. Владелец: Canon Inc. Дата публикации: 2024-06-27.

System, information processing apparatus, and control method of the same

Номер патента: US20230095581A1. Автор: Kimio Hayashi. Владелец: Canon Inc. Дата публикации: 2023-03-30.

Apparatus, methods and articles of manufacture for linear signal modification

Номер патента: EP1552604A2. Автор: Pierce Joseph Nagle,Finbarr Joseph McGrath. Владелец: MA Com Inc. Дата публикации: 2005-07-13.

Information processing apparatus, and control method of information processing apparatus, and program

Номер патента: US20120311028A1. Автор: Makiya Tamura. Владелец: Canon Inc. Дата публикации: 2012-12-06.

Information processing apparatus, and control method of information processing apparatus, and program

Номер патента: US20110119333A1. Автор: Makiya Tamura. Владелец: Canon Inc. Дата публикации: 2011-05-19.

Apparatus, methods and articles of manufacture for digital modification in electromagnetic signal processing

Номер патента: WO2004034307A2. Автор: Walid Khairy Mohamed Ahmed. Владелец: M/A-Com, Inc.. Дата публикации: 2004-04-22.

Information processing apparatus and control method of information processing apparatus

Номер патента: US20190005697A1. Автор: Shinichi Mitsumoto. Владелец: Canon Inc. Дата публикации: 2019-01-03.

Image processing apparatus and control method of image processing apparatus

Номер патента: US20220392096A1. Автор: Koji Maeda,Satoru Komatsu,Akinari Takagi. Владелец: Canon Inc. Дата публикации: 2022-12-08.

Apparatus, methods and articles of manufacture for electromagnetic processing

Номер патента: WO2004034571A1. Автор: Pierce Joseph Nagle,Finbarr Joseph McGrath. Владелец: M/A-Com Eurotec, Bv. Дата публикации: 2004-04-22.

Apparatus, methods and articles of manufacture for digital modification in electromagnetic signal processing

Номер патента: WO2004034307A3. Автор: Walid Khairy Mohamed Ahmed. Владелец: MA Com Inc. Дата публикации: 2004-07-22.

Trench mosfet with depleted gate shield and method of manufacture

Номер патента: US20170179277A1. Автор: Patrick M. Shea. Владелец: Great Wall Semiconductor Corp. Дата публикации: 2017-06-22.

Information processing apparatus, server system, method of controlling a server system, and computer program

Номер патента: EP4141643A1. Автор: Hiroaki Hanai. Владелец: Canon Inc. Дата публикации: 2023-03-01.

Image forming device and non-transitory recording medium

Номер патента: US20180262631A1. Автор: Masaki Nakata. Владелец: KONICA MINOLTA INC. Дата публикации: 2018-09-13.

Information processing apparatus and control method of the same

Номер патента: US20230094438A1. Автор: Kimio Hayashi. Владелец: Canon Inc. Дата публикации: 2023-03-30.

Information processing apparatus and control method of the same

Номер патента: EP4161049A1. Автор: Kimio Hayashi. Владелец: Canon Inc. Дата публикации: 2023-04-05.

Information processing apparatus, information terminal, method of controlling the same, print system, and storage medium

Номер патента: US20200244825A1. Автор: Naoya Kakutani. Владелец: Canon Inc. Дата публикации: 2020-07-30.

Information processing apparatus and control method of the same for color validation

Номер патента: US12058298B2. Автор: Kimio Hayashi. Владелец: Canon Inc. Дата публикации: 2024-08-06.

Memory cell, method of forming the same, and semiconductor device having the same

Номер патента: US11864477B2. Автор: Tung-Ying Lee,Yu-Chao Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-02.

Method of transmitting information in unlicensed band, network device, and terminal

Номер патента: US20200163117A1. Автор: Xueming PAN,Lei Jiang. Владелец: Vivo Mobile Communication Co Ltd. Дата публикации: 2020-05-21.

Method of Handling Capability Information of a Mobile Device and Related Communication Device

Номер патента: US20120008557A1. Автор: Chih-Hsiang Wu. Владелец: HTC Corp. Дата публикации: 2012-01-12.

Method of switching video sequences and corresponding switching device and decoding system

Номер патента: US20020097801A1. Автор: Francois Martin. Владелец: US Philips Corp. Дата публикации: 2002-07-25.

Method of manufacturing and testing an electronic device, and an electronic device

Номер патента: US6104280A. Автор: Mark E. Tuttle,Rickie C. Lake,Curtis M. Medlen. Владелец: Micron Technology Inc. Дата публикации: 2000-08-15.

Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device

Номер патента: US20230055755A1. Автор: Yasuyuki Kawada. Владелец: Fuji Electric Co Ltd. Дата публикации: 2023-02-23.

Method of impedance matching, electronic device and computer-readable recording medium

Номер патента: US20220416753A1. Автор: Su Min Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-12-29.

Printed material processing device and non-transitory recording medium storing computer readable program

Номер патента: US10079958B2. Автор: Shoichi Nomura. Владелец: KONICA MINOLTA INC. Дата публикации: 2018-09-18.

Method of shutting down cell, terminal device, network device, and storage medium

Номер патента: US20240244500A1. Автор: Haitao Li,Yi Hu. Владелец: GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP LTD. Дата публикации: 2024-07-18.

Display control device and computer-readable recording medium

Номер патента: RU2643445C2. Автор: Сейитиро ФУКУСИ. Владелец: Рикох Компани, Лимитед. Дата публикации: 2018-02-01.

Parallel processing apparatus and replacing method of failing optical transmission line

Номер патента: US10771150B2. Автор: Atsushi Miki. Владелец: Fujitsu Ltd. Дата публикации: 2020-09-08.

Image processing apparatus and control method of the image processing apparatus

Номер патента: US20240106937A1. Автор: Yusuke Kobana. Владелец: Canon Inc. Дата публикации: 2024-03-28.

Information processing apparatus and control method of information processing apparatus

Номер патента: US20180060322A1. Автор: Tetsuo Tomimatsu. Владелец: Kyocera Document Solutions Inc. Дата публикации: 2018-03-01.

Method of manufacturing semiconductor device including such input protection transistor

Номер патента: US5183773A. Автор: Kazuaki Miyata. Владелец: Mitsubishi Electric Corp. Дата публикации: 1993-02-02.

Storage medium, information processing apparatus, and control method of information processing apparatus

Номер патента: US20240007572A1. Автор: Takaaki Yano. Владелец: Canon Inc. Дата публикации: 2024-01-04.

Storage medium, information processing apparatus, and control method of information processing apparatus

Номер патента: US11770486B2. Автор: Koichi Kuno. Владелец: Canon Inc. Дата публикации: 2023-09-26.

Information processing apparatus, and control method of information processing apparatus

Номер патента: GB2616943A. Автор: ITO Yuki. Владелец: Canon Inc. Дата публикации: 2023-09-27.

Wearable audio user interface apparatus, method, and article of manufacture

Номер патента: US20210014607A1. Автор: Lee Cohen,Ross Snyder. Владелец: Individual. Дата публикации: 2021-01-14.

Method of manufacturing organic semiconductor device

Номер патента: US20200176697A1. Автор: Lixuan Chen. Владелец: Shenzhen China Star Optoelectronics Semiconductor Display Technology Co Ltd. Дата публикации: 2020-06-04.

Image processing apparatus and control method of the same

Номер патента: US10200606B2. Автор: Ryuichi Ishikuri. Владелец: Canon Inc. Дата публикации: 2019-02-05.

Information processing apparatus, and control method of information processing apparatus, and program

Номер патента: WO2010150467A1. Автор: Makiya Tamura. Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2010-12-29.

Apparatus, method and article of manufacture for producing an edible multi-color ink-image

Номер патента: WO2021234528A1. Автор: Assaf Zeira. Владелец: Ripples Ltd.. Дата публикации: 2021-11-25.

Apparatus, method and article of manufacture for producing an edible multi-color ink-image

Номер патента: US20230225372A1. Автор: Assaf Zeira. Владелец: Ripples Ltd.. Дата публикации: 2023-07-20.

Image processing apparatus and control method of the same, orientation adjustment system and program

Номер патента: EP3879492A1. Автор: Takashi Kawai. Владелец: Canon Inc. Дата публикации: 2021-09-15.

System, information processing apparatus, and control method of the same preliminary class

Номер патента: US11956406B2. Автор: Kimio Hayashi. Владелец: Canon Inc. Дата публикации: 2024-04-09.

Apparatus, method and article of manufacture for producing an edible multi-color ink-image

Номер патента: EP4149282A1. Автор: Assaf Zeira. Владелец: Ripples Ltd. Дата публикации: 2023-03-22.

Stackable semiconductor device with 2d material layer and methods of manufacturing thereof

Номер патента: US20230231058A1. Автор: Mark I. Gardner,H. Jim Fulford. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-07-20.

Information processing apparatus and control method of information processing apparatus

Номер патента: US20200073605A1. Автор: Dai Tanaka. Владелец: Seiko Epson Corp. Дата публикации: 2020-03-05.

Video processing apparatus and processing method of video stream

Номер патента: US20220038747A1. Автор: Hsiao-Wen Tin,Yuan-Bin Lee. Владелец: Wistron Corp. Дата публикации: 2022-02-03.

Image processing apparatus and controlling method of the same

Номер патента: US20110061020A1. Автор: Eun-Seok Choi,Sang-on Choi,Byung-seok Soh,Ho-June Yoo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2011-03-10.

Information processing apparatus and a method of determining whether or not to transmit failure information

Номер патента: US20180241466A1. Автор: Takanori INAGAKI. Владелец: Fujitsu Ltd. Дата публикации: 2018-08-23.

Image forming system, photographing device, image forming device and non-transitory recording medium

Номер патента: US20200341696A1. Автор: Yoshiaki Shibuta. Владелец: KONICA MINOLTA INC. Дата публикации: 2020-10-29.

Image processing apparatus and control method of image processing apparatus

Номер патента: US20150341639A1. Автор: Koji Okawa. Владелец: Canon Inc. Дата публикации: 2015-11-26.

Semiconductor device and method of operation

Номер патента: US20190123139A1. Автор: Adam Richard Brown,Steven Waterhouse,Saurabh Pandey,Phil Rutter,Jim Brett PARKIN. Владелец: Nexperia BV. Дата публикации: 2019-04-25.

Semiconductor device and method of operation

Номер патента: US20200066840A9. Автор: Adam Richard Brown,Steven Waterhouse,Saurabh Pandey,Phil Rutter,Jim Brett PARKIN. Владелец: Nexperia BV. Дата публикации: 2020-02-27.

Method of measuring trench depth of semiconductor device

Номер патента: US6500683B1. Автор: Masakazu Nakabayashi,Tadayuki Yoshiyama. Владелец: Mitsubishi Electric Corp. Дата публикации: 2002-12-31.

Fan-out packaging device using bridge and method of manufacturing fan-out packaging device using bridge

Номер патента: US20240014111A1. Автор: Byung Joon Han,Byung Hoon Ahn. Владелец: Individual. Дата публикации: 2024-01-11.

Semiconductor device

Номер патента: US20160163653A1. Автор: Coenraad Cornelis Tak,Jeroen Antoon CROON. Владелец: NXP BV. Дата публикации: 2016-06-09.

Semiconductor Device and Method of Forming the Same

Номер патента: US20240194731A1. Автор: Tao DOU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-06-13.

Resistive random access memory devices, and related semiconductor device structures

Номер патента: US20140145138A1. Автор: Timothy A. Quick. Владелец: Micron Technology Inc. Дата публикации: 2014-05-29.

Transistors and methods of forming transistors using vertical nanowires

Номер патента: US20180233583A1. Автор: Alexander Reznicek,Dominic J. Schepis. Владелец: Globalfoundries Inc. Дата публикации: 2018-08-16.

Semiconductor device and method of wire bonding for semiconductor device

Номер патента: US20040188858A1. Автор: Yoshifumi Watanabe. Владелец: Denso Corp. Дата публикации: 2004-09-30.

Method of fabrication of an amorphous semiconductor device on a substrate

Номер патента: US4167806A. Автор: Pierre Kumurdjian. Владелец: Commissariat a lEnergie Atomique CEA. Дата публикации: 1979-09-18.

A method of cooling a static electronic power converter device, and a corresponding device

Номер патента: CA2595301C. Автор: Roger Marchand. Владелец: Intelligent Electronic Systems IES. Дата публикации: 2015-04-21.

Method of current management in a battery powered device and battery powered device

Номер патента: EP1552319A1. Автор: Finn c/o Oticon A/S DANIELSEN. Владелец: Oticon AS. Дата публикации: 2005-07-13.

Methods of correcting data errors and semiconductor devices used therein

Номер патента: US20170371746A1. Автор: Chang Hyun Kim. Владелец: SK hynix Inc. Дата публикации: 2017-12-28.

Method of handling cell selection and related network device and mobile device

Номер патента: AU2020223645A1. Автор: Ching-Wen Cheng. Владелец: Acer Inc. Дата публикации: 2021-03-18.

Method of handling cell selection and related network device and mobile device

Номер патента: AU2020223645B2. Автор: Ching-Wen Cheng. Владелец: Acer Inc. Дата публикации: 2021-12-02.

A method of associating a first type of node device and a second type of node device in a network

Номер патента: WO2023208758A1. Автор: Lili Wu,Zhan HUANG. Владелец: SIGNIFY HOLDING B.V.. Дата публикации: 2023-11-02.

A method of signal conversion, a device, an electronic device and a storage medium

Номер патента: EP3974997A1. Автор: HAO Zhang,Mingzhi QIU. Владелец: Shenzhen Lingfeng Intelligent Technology Co Ltd. Дата публикации: 2022-03-30.

Method of Signal Conversion, A Device, An Electronic Device and A Storage Medium

Номер патента: US20220092018A1. Автор: HAO Zhang,Mingzhi QIU. Владелец: Shenzhen Lingfeng Intelligent Technology Co Ltd. Дата публикации: 2022-03-24.

Method of notifying of business audit, gateway, electronic device, and readable medium

Номер патента: US20230188620A1. Автор: HAO Zhang,Cong Yu,Ke Tao,Yuanxu Liu. Владелец: Baidu China Co Ltd. Дата публикации: 2023-06-15.

Control method and update method of electronic ink display apparatus, and related devices and system

Номер патента: US20220368143A1. Автор: Xin Li,Xiaohong Wang. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2022-11-17.

Method of handling cell selection and related network device and mobile device

Номер патента: US20210068013A1. Автор: Ching-Wen Cheng. Владелец: Acer Inc. Дата публикации: 2021-03-04.

Method of logging in to operating system, electronic device and readable storage medium

Номер патента: US11972002B2. Автор: Shiaw-Herng Liu. Владелец: Hon Hai Precision Industry Co Ltd. Дата публикации: 2024-04-30.

Semiconductor device and method of fabricating the same

Номер патента: EP4386844A2. Автор: Jeongho Lee,Junsun HWANG,Sewoong PARK. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-19.

Semiconductor device and method of formation

Номер патента: US20160005830A1. Автор: Ming-Han Liao. Владелец: National Taiwan University NTU. Дата публикации: 2016-01-07.

Method of shutting down cell, terminal device, network device, and storage medium

Номер патента: US20240179624A1. Автор: Haitao Li,Yi Hu. Владелец: GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP LTD. Дата публикации: 2024-05-30.

Method to produce a 3d multilayer semiconductor device and structure

Номер патента: US20240274534A1. Автор: Zvi Or-Bach,Jin-Woo Han. Владелец: Monolithic 3D Inc. Дата публикации: 2024-08-15.

Solid-state image pickup device, method of manufacturing solid-state image pickup device, and electronic apparatus

Номер патента: US8835991B2. Автор: Shinichi Arakawa. Владелец: Sony Corp. Дата публикации: 2014-09-16.

Method to produce a 3D multilayer semiconductor device and structure

Номер патента: US12100658B2. Автор: Zvi Or-Bach,Jin-Woo Han. Владелец: Monolithic 3D Inc. Дата публикации: 2024-09-24.

Substrate processing apparatus and control method of substrate processing apparatus

Номер патента: US20170263477A1. Автор: Hideo Eto. Владелец: Toshiba Corp. Дата публикации: 2017-09-14.

Solid-state image pickup device and electronic apparatus

Номер патента: EP2939267A1. Автор: Atsushi Toda. Владелец: Sony Corp. Дата публикации: 2015-11-04.

Method of manufacturing super-junction semiconductor device

Номер патента: TW201145361A. Автор: Hitoshi Kuribayashi,Ayako YAJIMA,Akihiko Ohi,Masanobu IWAYA. Владелец: Fuji Electric Co Ltd. Дата публикации: 2011-12-16.

Method of manufacturing point contact semiconductor devices

Номер патента: US3127659A. Автор: John V Jenkinson. Владелец: Microwave Associates Inc. Дата публикации: 1964-04-07.

SUBSTRATE PROCESSING APPARATUS AND CLEANING METHOD OF PROCESSING CHAMBER

Номер патента: US20170084470A1. Автор: SUZUKI Hiroyuki,Otsuka Takahisa,Nakazawa Takashi,Tateyama Kiyohisa. Владелец: . Дата публикации: 2017-03-23.

Ferroelectric semiconductor device and method of manufacture

Номер патента: US5874755A. Автор: Daniel S. Marshall,William J. Ooms,Jerald A. Hallmark. Владелец: Motorola Inc. Дата публикации: 1999-02-23.

Trench mosfet with depleted gate shield and method of manufacture

Номер патента: US20190123194A1. Автор: Patrick M. Shea. Владелец: Renesas Electronics America Inc. Дата публикации: 2019-04-25.

Trench MOSFET with depleted gate shield and method of manufacture

Номер патента: US10825926B2. Автор: Patrick M. Shea. Владелец: Great Wall Semiconductor Corp. Дата публикации: 2020-11-03.

Semiconductor device and a method of manufacturing the same

Номер патента: US4937645A. Автор: Osamu Tsuchiya,Fumio Ootsuka. Владелец: HITACHI LTD. Дата публикации: 1990-06-26.

Semiconductor structure, preparation method of same, and semiconductor device

Номер патента: US20220238639A1. Автор: Xianlei CAO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-07-28.

Method of making silicon carbide semiconductor device

Номер патента: US7947555B2. Автор: Eiichi Okuno,Atsuya Akiba. Владелец: Denso Corp. Дата публикации: 2011-05-24.

Ion beam processing apparatus and method of operating ion source therefor

Номер патента: US20030030009A1. Автор: Shigeru Tanaka,Isao Hashimoto. Владелец: HITACHI LTD. Дата публикации: 2003-02-13.

Substrate processing apparatus and cleaning method of the same

Номер патента: SG161153A1. Автор: Einstein Noel Abarra,Masahiro Shibamoto. Владелец: Canon Anelva Corp. Дата публикации: 2010-05-27.

Data generation method, charged particle beam irradiation device, and computer-readable recording medium

Номер патента: US20230053272A1. Автор: Kenichi Yasui. Владелец: Nuflare Technology Inc. Дата публикации: 2023-02-16.

Method of designing and manufacturing semiconductor device

Номер патента: US20080256496A1. Автор: Hiroshi Watanabe,Toshitake Yaegashi,Shigeyuki Takagi,Shigeru Kinoshita. Владелец: Toshiba Corp. Дата публикации: 2008-10-16.

Method of manufacturing a three-dimensional medical device and resulting medical device

Номер патента: US20230181795A1. Автор: Anthony Peres,Guillaume Hofmanski. Владелец: Ph Tech. Дата публикации: 2023-06-15.

Medium processing apparatus and control method of medium processing apparatus

Номер патента: US20190248611A1. Автор: Shinya Okazaki. Владелец: Seiko Epson Corp. Дата публикации: 2019-08-15.

Method, electronic device, and computer-readable recording medium for setting screen timeout time

Номер патента: US20180260012A1. Автор: Chien-Heng Lai. Владелец: Wistron Corp. Дата публикации: 2018-09-13.

Medium processing apparatus and control method of medium processing apparatus

Номер патента: US10815088B2. Автор: Shinya Okazaki. Владелец: Seiko Epson Corp. Дата публикации: 2020-10-27.

Extraction method, extraction device, and computer-readable recording medium

Номер патента: US20200279141A1. Автор: Tatsuya Asai,Keisuke Goto,Hiroaki Iwashita,Kotaro Ohori. Владелец: Fujitsu Ltd. Дата публикации: 2020-09-03.

Apparatus and method of exposing light to a semiconductor device having a curved surface

Номер патента: WO2004063813A1. Автор: Nobuo Takeda,Ikuo Nishimoto,Ichitaroh Satoh. Владелец: YAMATAKE CORPORATION. Дата публикации: 2004-07-29.

Information processing apparatus, and control method of information processing system

Номер патента: US20190012110A1. Автор: Kenji Tagashira,Kenji Okano,Takafumi ANRAKU. Владелец: Fujitsu Ltd. Дата публикации: 2019-01-10.

Image processing apparatus and control method of image processing apparatus

Номер патента: US20230285003A1. Автор: Tetsurou Ebata. Владелец: Fujifilm Corp. Дата публикации: 2023-09-14.

Storage medium, information processing apparatus, and control method of information processing apparatus

Номер патента: US20220035576A1. Автор: Yuichi Inoue. Владелец: Canon Inc. Дата публикации: 2022-02-03.

A fluid treatment system, a fluid processing apparatus and a method of treating a mixture

Номер патента: WO2014096178A1. Автор: Mark E. Wolf. Владелец: Stephen HALEY. Дата публикации: 2014-06-26.

Prediction method, prediction device, and computer-readable recording medium

Номер патента: US20200364744A1. Автор: Tatsuya Asai,Keisuke Goto,Kotaro Ohori,Yoshinobu Shiota. Владелец: Fujitsu Ltd. Дата публикации: 2020-11-19.

Prediction method, prediction device, and computer-readable recording medium

Номер патента: US11663623B2. Автор: Tatsuya Asai,Keisuke Goto,Kotaro Ohori,Yoshinobu Shiota. Владелец: Fujitsu Ltd. Дата публикации: 2023-05-30.

Arithmetic processing apparatus and control method of arithmetic processing apparatus

Номер патента: US20140331013A1. Автор: Hiroyuki Kojima,Hiroyuki Ishii,Hideki Sakata. Владелец: Fujitsu Ltd. Дата публикации: 2014-11-06.

A method of enhancing distorted signal, a mobile communication device and a computer program product

Номер патента: WO2019096807A1. Автор: Marcin Kuropatwinski. Владелец: Talking 2 Rabbit Sarl. Дата публикации: 2019-05-23.

A method of enhancing distorted signal, a mobile communication device and a computer program product

Номер патента: US20200321016A1. Автор: Marcin Kuropatwinski. Владелец: Talking 2 Rabbit Sarl. Дата публикации: 2020-10-08.

A fluid treatment system, a fluid processing apparatus and a method of treating a mixture

Номер патента: EP2934715A1. Автор: Mark E. Wolf. Владелец: National Oilwell Varco LP. Дата публикации: 2015-10-28.

Method of determining state of target object, electronic device, and storage medium

Номер патента: US11995154B2. Автор: Yongqing Wang. Владелец: Beijing Baidu Netcom Science And Technology Co Ltd. Дата публикации: 2024-05-28.

Image processing apparatus and control method of data writing

Номер патента: US20120127530A1. Автор: Yutaka Hosono. Владелец: KONICA MINOLTA BUSINESS TECHNOLOGIES INC. Дата публикации: 2012-05-24.

Information processing apparatus and switching method of device drivers

Номер патента: US20190012195A1. Автор: Motoyoshi Hirose. Владелец: Fujitsu Ltd. Дата публикации: 2019-01-10.

Processing apparatus and processing method of sound signal

Номер патента: US20240096342A1. Автор: Chang-Hsin Lai,Han-Yi Liu. Владелец: Wistron Corp. Дата публикации: 2024-03-21.

Storage management device and computer-readable recording medium

Номер патента: US20160170843A1. Автор: Hajime Kondo,Akio Yamaguchi,Makoto Yashiro,Yuki Tamura. Владелец: Fujitsu Ltd. Дата публикации: 2016-06-16.

System for and method of designing and manufacturing a semiconductor device

Номер патента: US20020045280A1. Автор: Keith Strickland,Arshad Madni,Lance Trodd,Chris Powell. Владелец: Zarlink Semiconductor Ltd. Дата публикации: 2002-04-18.

Methods of activating input/output lines of memory devices, and related devices and systems

Номер патента: US20210110864A1. Автор: Atsushi Shimizu,Yosuke Takano. Владелец: Micron Technology Inc. Дата публикации: 2021-04-15.

Method of accessing word line in semiconductor device

Номер патента: US20050232064A1. Автор: Yin Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-10-20.

Pattern correcting method of mask for manufacturing a semiconductor device

Номер патента: US20080212869A1. Автор: Koji Hashimoto,Satoshi Usui,Kei Yoshikawa. Владелец: Toshiba Corp. Дата публикации: 2008-09-04.

Information processing apparatus and associated method of prioritizing content for playback

Номер патента: US20060045475A1. Автор: Tatsuya Kubota,Khoki Watanabe. Владелец: Sony Corp. Дата публикации: 2006-03-02.

Information processing apparatus and control method of information processing device

Номер патента: US7684288B2. Автор: Yoshihiro Kaneko,Hideaki Andou. Владелец: Toshiba Corp. Дата публикации: 2010-03-23.

Information processing apparatus and control method of information processing device

Номер патента: US20080301721A1. Автор: Yoshihiro Kaneko,Hideaki Andou. Владелец: Toshiba Corp. Дата публикации: 2008-12-04.

Method of actuating ultrasonic drive device, ultrasonic drive device, and ultrasonic treatment system

Номер патента: US20230182172A1. Автор: Shunsuke Matsui,Gen Kato,Ko KAWASIMA. Владелец: Olympus Corp. Дата публикации: 2023-06-15.

Color filter substrate as well as method of manufacturing the same, electro-optic device and electronic equipment

Номер патента: US6906841B2. Автор: Isao Adachi. Владелец: Seiko Epson Corp. Дата публикации: 2005-06-14.

Method of driving light emitting device, light emitting device and electronic apparatus

Номер патента: US20100328364A1. Автор: Takehiko Kubota. Владелец: Seiko Epson Corp. Дата публикации: 2010-12-30.

Method, electronic device, and computer-readable recording medium for setting screen timeout time

Номер патента: US10503236B2. Автор: Chien-Heng Lai. Владелец: Wistron Corp. Дата публикации: 2019-12-10.

Generation method, generation device, and computer-readable recording medium

Номер патента: US20190243811A1. Автор: Tatsuya Asai. Владелец: Fujitsu Ltd. Дата публикации: 2019-08-08.

Information processing apparatus, authentication system, method of controlling same, and medium

Номер патента: US10997398B2. Автор: Hirotaka Shiiyama,Yumiko Uchida. Владелец: Canon Inc. Дата публикации: 2021-05-04.

Learning method, learning device, and computer-readable recording medium

Номер патента: US20190279085A1. Автор: Yuhei Umeda. Владелец: Fujitsu Ltd. Дата публикации: 2019-09-12.

Processing apparatus, and manufacturing method of movable body

Номер патента: US20200361036A1. Автор: Yuichi Shibazaki,Masayuki Shiraishi,Yosuke Tatsuzaki,Yoshio KAWABE. Владелец: Nikon Corp. Дата публикации: 2020-11-19.

Storage medium, information processing apparatus, and control method of information processing apparatus

Номер патента: US11579819B2. Автор: Yukinobu Akado. Владелец: Canon Inc. Дата публикации: 2023-02-14.

Information processing apparatus and control method of information processing apparatus

Номер патента: US11620063B2. Автор: Hiroki Ito. Владелец: Canon Inc. Дата публикации: 2023-04-04.

Method for suggesting equipment maintenance, electronic device and computer readable recording medium

Номер патента: US20240126252A1. Автор: Chun-Hsien Li,Chia-Chiung Liu. Владелец: Wistron Corp. Дата публикации: 2024-04-18.

A waste processing apparatus, and a method of processing waste

Номер патента: GB2624508A. Автор: Hume Joshua. Владелец: Sangenic International Ltd. Дата публикации: 2024-05-22.

Image processing system, image processing apparatus, and control method of image processing apparatus

Номер патента: US20090235261A1. Автор: Chie Ito. Владелец: Canon Inc. Дата публикации: 2009-09-17.

Apparatus, methods and articles of manufacture for computer virus testing

Номер патента: US20040210769A1. Автор: Richard Perry,Peter Radatti. Владелец: Cybersoft Inc. Дата публикации: 2004-10-21.

Information processing apparatus and control method of display apparatus

Номер патента: US20230267690A1. Автор: Satoshi Sugaya. Владелец: Canon Inc. Дата публикации: 2023-08-24.

Information processing apparatus and control method of display apparatus

Номер патента: US20210035363A1. Автор: Satoshi Sugaya. Владелец: Canon Inc. Дата публикации: 2021-02-04.

Information processing apparatus and control method of display apparatus

Номер патента: US10846929B2. Автор: Satoshi Sugaya. Владелец: Canon Inc. Дата публикации: 2020-11-24.

Storage medium storing program, image processing apparatus, and training method of machine learning model

Номер патента: US11900564B2. Автор: Masaki Kondo. Владелец: Brother Industries Ltd. Дата публикации: 2024-02-13.

Processing apparatus, and manufacturing method of movable body

Номер патента: CA3080302A1. Автор: Masayuki Shiraishi,Yosuke Tatsuzaki. Владелец: Nikon Corp. Дата публикации: 2019-05-02.

Processing apparatus, and manufacturing method of movable body

Номер патента: US20210197315A1. Автор: Masayuki Shiraishi,Yosuke Tatsuzaki. Владелец: Nikon Corp. Дата публикации: 2021-07-01.

Data processing apparatus and prediction method of pattern frequency thereof

Номер патента: US20160092509A1. Автор: Ki Sung Kim,Cheol Sun Kang. Владелец: BITNINE Co Ltd. Дата публикации: 2016-03-31.

Information processing apparatus and control method of information processing apparatus

Номер патента: US20110239023A1. Автор: Kazuhiro Koga. Владелец: Canon Inc. Дата публикации: 2011-09-29.

Information processing apparatus and control method of information processing apparatus

Номер патента: US20130159636A1. Автор: Yuka Hosokawa,Makoto Hataida,Daisuke Itou,Susumu Akiu. Владелец: Fujitsu Ltd. Дата публикации: 2013-06-20.

Data processing apparatus and a method of operating a data processing apparatus

Номер патента: US20180225223A1. Автор: Jani Kokkonen. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2018-08-09.

Information processing apparatus, information processing method of information processing apparatus, and program

Номер патента: EP3893199A1. Автор: Atsushi Nogami. Владелец: Canon Inc. Дата публикации: 2021-10-13.

A waste processing apparatus, and a method of processing waste

Номер патента: WO2024057030A1. Автор: Joshua HUME. Владелец: Sangenic International Limited. Дата публикации: 2024-03-21.

Information processing apparatus and control method of information processing apparatus

Номер патента: US20230367873A1. Автор: Nobuyasu Ito. Владелец: Canon Inc. Дата публикации: 2023-11-16.

A data processing apparatus and a method of operating a data processing apparatus

Номер патента: WO2017059972A1. Автор: Jani Kokkonen. Владелец: Huawei Technologies Co., Ltd.. Дата публикации: 2017-04-13.

Information processing apparatus and control method of information processing apparatus

Номер патента: US20130262773A1. Автор: Naoya Ishimura,Go Sugizaki. Владелец: Fujitsu Ltd. Дата публикации: 2013-10-03.

Information processing device, method for booting information processing device, and non-transitory recording medium

Номер патента: US20170147455A1. Автор: Kazunori Sakuma. Владелец: Ricoh Co Ltd. Дата публикации: 2017-05-25.

Ultrasound observation device, operating method for ultrasound observation device, and computer readable recording medium

Номер патента: US20210386403A1. Автор: Tatsuya Miyake. Владелец: Olympus Corp. Дата публикации: 2021-12-16.

Calculation processing apparatus, program, and method of controlling the calculation processing apparatus

Номер патента: EP3686733A1. Автор: Takahiro NOTSU. Владелец: Fujitsu Ltd. Дата публикации: 2020-07-29.

Information processing apparatus and presenting method of related items

Номер патента: EP2113849A3. Автор: Naoki Kamimaeda,Tomohiro Tsunoda. Владелец: Sony Corp. Дата публикации: 2012-02-01.

Reticle for use in exposing semiconductor, method of producing the reticle, and semiconductor device

Номер патента: US6352800B1. Автор: Toshifumi Suganaga. Владелец: Mitsubishi Electric Corp. Дата публикации: 2002-03-05.

Semiconductor device, device, and electronic device

Номер патента: US20150227378A1. Автор: Yoshiyuki Kurokawa. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2015-08-13.

Method of detecting vibration anomalies in an electronic device and associated system

Номер патента: EP4305391A1. Автор: Federico Di Santo,Davide DA RÙ,Gianluca IABICHINO. Владелец: KSB SE and Co KGaA. Дата публикации: 2024-01-17.

Systems and methods of calibration of low fill-factor sensor devices and object detection therewith

Номер патента: WO2023022998A1. Автор: Hod Finkelstein,Allan STEINHARDT. Владелец: Aeye, Inc.. Дата публикации: 2023-02-23.

Method of active flash management, and associated memory device and controller thereof

Номер патента: US20110138108A1. Автор: Xiangrong Li. Владелец: Silicon Motion Inc. Дата публикации: 2011-06-09.

Methods of testing cell arrays and semiconductor devices executing the same

Номер патента: US20180102183A1. Автор: Young Bo Shim. Владелец: SK hynix Inc. Дата публикации: 2018-04-12.

Learning device, operating method of learning device, operating program of learning device, and operating device

Номер патента: EP3995997A1. Автор: Masataka Hasegawa. Владелец: Fujifilm Corp. Дата публикации: 2022-05-11.

Methods of modeling a 3d object, and related devices and computer program products

Номер патента: US20200357166A1. Автор: Pal Szasz,Daniel LINÅKER. Владелец: Sony Corp. Дата публикации: 2020-11-12.

Method of reading data in non-volatile memory device, and device thereof

Номер патента: US20120033502A1. Автор: Jae Hong Kim,Kyoung Lae Cho,Hee Seok EUN. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2012-02-09.

Method of automatically setting up a code reading device and camera-based code reading device

Номер патента: US20230032900A1. Автор: Pascal SCHÜLER. Владелец: SICK AG. Дата публикации: 2023-02-02.

Method of automatically setting up a code reading device and camera-based code reading device

Номер патента: US11922263B2. Автор: Pascal SCHÜLER. Владелец: SICK AG. Дата публикации: 2024-03-05.

Method of Controlling Belt Fixing Device, Belt Fixing Device, and Image Forming Apparatus

Номер патента: US20090245843A1. Автор: Makoto Sato. Владелец: Seiko Epson Corp. Дата публикации: 2009-10-01.

Methods of modeling a 3d object, and related devices and computer program products

Номер патента: EP3756164A1. Автор: Pal Szasz,Daniel LINÅKER. Владелец: Sony Corp. Дата публикации: 2020-12-30.

Display panel and method for manufacturing the same, display device and electronic apparatus

Номер патента: US12029085B2. Автор: LI Wang,BO Wang,Jingquan WANG. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2024-07-02.

Information processing apparatus, method of controlling the same, and storage medium

Номер патента: US20180176405A1. Автор: Makiya Tamura,Ryuta Mori,Daijiro Miyamoto,Natsuki Kato. Владелец: Canon Inc. Дата публикации: 2018-06-21.

Semiconductor device, and programming method and programming system therefor

Номер патента: US8106681B2. Автор: Tadashi Fujita. Владелец: Renesas Electronics Corp. Дата публикации: 2012-01-31.

Information processing apparatus, method of controlling the same, and storage medium

Номер патента: US10791232B2. Автор: Munetaka Sakata. Владелец: Canon Inc. Дата публикации: 2020-09-29.

Printing Device and Computer-Readable Record Medium Storing Program for Printing Device

Номер патента: US20100053667A1. Автор: Masato Honda. Владелец: Brother Industries Ltd. Дата публикации: 2010-03-04.

Image formation device and computer-readable record medium

Номер патента: US20120194829A1. Автор: Kunihiko Sakurai. Владелец: Brother Industries Ltd. Дата публикации: 2012-08-02.

Recording medium, device and method of decoding data

Номер патента: RU2404463C2. Автор: Канг Соо СЕО. Владелец: Эл Джи Электроникс Инк.. Дата публикации: 2010-11-20.

Image processing apparatus and method of operating the same

Номер патента: EP4379651A1. Автор: Sol NAMKUNG,Seungwon Choi,Jongseong Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-05.

Digital image processing apparatus and method of controlling the same

Номер патента: US20090201389A1. Автор: Hyun-seok Kim,Jae-hyo Jung. Владелец: Samsung Techwin Co Ltd. Дата публикации: 2009-08-13.

Terminal apparatus, method for controlling external device, and computer-readable recording medium

Номер патента: SG11201505103TA. Автор: Hideki Nimbe. Владелец: NEC Solution Innovators Ltd. Дата публикации: 2015-08-28.

Method of renewing display of web browser in real time and recording medium for recording the same

Номер патента: AU2003225380A1. Автор: Ho-Jung Shin. Владелец: ROAD TO FUTURE CO Ltd. Дата публикации: 2003-11-03.

Sound processing apparatus and controlling method of sound processing apparatus

Номер патента: US20220279301A1. Автор: Takeshi Nonaka,Kotaro Terada,Arata IMAI. Владелец: Yamaha Corp. Дата публикации: 2022-09-01.

Image inspection device, image forming device, and computer-readable recording medium storing a program

Номер патента: US20240249400A1. Автор: Yasumasa Tsukamoto. Владелец: KONICA MINOLTA INC. Дата публикации: 2024-07-25.

Copy machine, copying-operation control device and computer readable recording medium

Номер патента: EP1172707A3. Автор: Satoshi Kotaka. Владелец: Seiko Epson Corp. Дата публикации: 2002-01-23.

Communication disturbance analysis device and non-transitory recording medium storing a computer readable program

Номер патента: US20190058802A1. Автор: Kazunori Ikeda. Владелец: KONICA MINOLTA INC. Дата публикации: 2019-02-21.

ENDOSCOPIC DEVICE, METHOD OF DETERMINING SWITCHING OF OPTICAL SYSTEM IN THE SAME, AND RECORDING MEDIUM

Номер патента: US20190302444A1. Автор: YOKOHAMA Tomohiro. Владелец: OLYMPUS CORPORATION. Дата публикации: 2019-10-03.

Information processing apparatus, method of controlling the same, and storage medium

Номер патента: US12039052B2. Автор: Takashi Fujii. Владелец: Canon Inc. Дата публикации: 2024-07-16.

Optical recording medium and method of information recording/reading an optical recording medium

Номер патента: US6137769A. Автор: Eiji Noda,Yasuo Sawada,Toru Yashiro. Владелец: Ricoh Co Ltd. Дата публикации: 2000-10-24.

Surface light emission device, method of manufacturing the same, and liquid crystal display device

Номер патента: US20020114150A1. Автор: Sadao Nakamura. Владелец: Alps Electric Co Ltd. Дата публикации: 2002-08-22.

Retrieval of configuration information from information processing apparatus

Номер патента: US20060015656A1. Автор: Katsutoshi Hayashi. Владелец: Nec Infrontia Corp. Дата публикации: 2006-01-19.

Mold, Method Of Manufacturing The Same, Injection Molding Device, And Injection Molding Method

Номер патента: US20080031996A1. Автор: Tsuneo Mamada. Владелец: Individual. Дата публикации: 2008-02-07.

Method of determining recording area of multi-layer optical disc, and recording and playback apparatus

Номер патента: US20070109942A1. Автор: Masao Kubo,Seigo Ito. Владелец: Individual. Дата публикации: 2007-05-17.

INFORMATION PROCESSING APPARATUS, ROBOT SYSTEM, METHOD OF MANUFACTURING PRODUCTS, INFORMATION PROCESSING METHOD, AND RECORDING MEDIUM

Номер патента: US20220281103A1. Автор: Maeda Yasuharu. Владелец: . Дата публикации: 2022-09-08.

Information processing apparatus, information processing method, and recording medium

Номер патента: US20240185204A1. Автор: Osamu Nishimura,Yuta DENCHIDA. Владелец: NEC Corp. Дата публикации: 2024-06-06.

Ultrasound observation device, method of operating ultrasound observation device, and computer readable recording medium

Номер патента: US20190336106A1. Автор: Shigenori Kozai. Владелец: Olympus Corp. Дата публикации: 2019-11-07.

Method of providing user interface

Номер патента: EP1215617A3. Автор: Glen W. Petrie,David L. Hecht,Noah L. Flores,Matthew G. Gorbet. Владелец: Xerox Corp. Дата публикации: 2002-11-27.

Processing method and image processing apparatus

Номер патента: US20160279968A1. Автор: Tomomi Ishimi,Toshiaki Asai,Shinya Kawahara,Katsuya Ohi. Владелец: Ricoh Co Ltd. Дата публикации: 2016-09-29.

Image processing method and image processing apparatus

Номер патента: EP2978607A1. Автор: Tomomi Ishimi,Toshiaki Asai,Shinya Kawahara,Katsuya Ohi. Владелец: Ricoh Co Ltd. Дата публикации: 2016-02-03.

Information processing device and method, recording medium, and program

Номер патента: US20170154650A1. Автор: Hideki Ando,Junichi Horigome. Владелец: Sony Corp. Дата публикации: 2017-06-01.

Information processing device and method, recording medium, and program

Номер патента: US9911459B2. Автор: Hideki Ando,Junichi Horigome. Владелец: Sony Corp. Дата публикации: 2018-03-06.

SUBSTRATE PROCESSING APPARATUS, ALARM MANAGEMENT METHOD OF SUBSTRATE PROCESSING APPARATUS, AND STORAGE MEDIUM

Номер патента: US20140203941A1. Автор: KANEKO Tomohiro. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2014-07-24.

Optical pickup device and optical recording medium driving device

Номер патента: US7821903B2. Автор: Hiroshi Shibata,Yoichi Yamamoto,Akihiro Arai,Hideki Nakata. Владелец: Panasonic Corp. Дата публикации: 2010-10-26.

Method of reproducing documents comprising impaired sequences and, associated reproduction device

Номер патента: US20060150102A1. Автор: Goulven Querre. Владелец: THOMSON LICENSING. Дата публикации: 2006-07-06.

Information processing apparatus, operation method of information processing apparatus, and program

Номер патента: US20240266026A1. Автор: Aya OGASAWARA. Владелец: Fujifilm Corp. Дата публикации: 2024-08-08.

Method of controlling recording of optical disk, its drive unit and recording system

Номер патента: TWI257611B. Автор: Masato Higashi. Владелец: Matsushita Electric Ind Co Ltd. Дата публикации: 2006-07-01.

Information processing apparatus and control method of information processing apparatus

Номер патента: US20150183608A1. Автор: Tetsuya Onishi. Владелец: Canon Inc. Дата публикации: 2015-07-02.

Optical pickup device and method of adjusting the same

Номер патента: US20030103438A1. Автор: MITSUHIRO Togashi,Ichiro Morishita,Naoki Kaiho,Noriyoshi Takeya. Владелец: Samsung Electro Mechanics Co Ltd. Дата публикации: 2003-06-05.

Entity recognition method and device, dictionary creating method, device and medium

Номер патента: US12039274B2. Автор: Yafei DAI. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2024-07-16.

Device and method for engine control, starter and vehicle

Номер патента: RU2533365C1. Автор: Коуки МОРИЯ. Владелец: Тойота Дзидося Кабусики Кайся. Дата публикации: 2014-11-20.

Endoscope device, control method of endoscope device, control program of endoscope device, and recording medium

Номер патента: JP7012549B2. Автор: 正充 小笠原. Владелец: Olympus Corp. Дата публикации: 2022-01-28.

Information processing apparatus and computer readable medium

Номер патента: US11400706B2. Автор: Ryosuke Takahashi,Yasuhiro Otsuka. Владелец: FUJIFILM BUSINESS INNOVATION CORP. Дата публикации: 2022-08-02.

Information processing apparatus and computer readable medium

Номер патента: US20210245498A1. Автор: Ryosuke Takahashi,Yasuhiro Otsuka. Владелец: FUJIFILM BUSINESS INNOVATION CORP. Дата публикации: 2021-08-12.

Information processing apparatus and computer readable medium

Номер патента: US11518162B2. Автор: Ryosuke Takahashi,Yasuhiro Otsuka. Владелец: FUJIFILM BUSINESS INNOVATION CORP. Дата публикации: 2022-12-06.

Information processing apparatus and computer readable medium

Номер патента: US11179929B2. Автор: Ryosuke Takahashi,Yasuhiro Otsuka. Владелец: FUJIFILM BUSINESS INNOVATION CORP. Дата публикации: 2021-11-23.

Information processing apparatus and computer readable medium

Номер патента: US20210245501A1. Автор: Ryosuke Takahashi,Yasuhiro Otsuka. Владелец: FUJIFILM BUSINESS INNOVATION CORP. Дата публикации: 2021-08-12.

Information processing apparatus and computer readable medium

Номер патента: US20210245499A1. Автор: Ryosuke Takahashi,Yasuhiro Otsuka. Владелец: FUJIFILM BUSINESS INNOVATION CORP. Дата публикации: 2021-08-12.

Agent Control Device, Learning Device, and Computer-Readable Recording Medium

Номер патента: US20240094731A1. Автор: Ryo Yonetani,Mai Nishimura Kurose,Hikaru Asano. Владелец: Omron Corp. Дата публикации: 2024-03-21.

Method of controlling wearable device, wearable device and computer-readable storage medium

Номер патента: US20240211041A1. Автор: Chao Zhang,Xudong QIU,Hongyan TAO. Владелец: Goertek Inc. Дата публикации: 2024-06-27.

Method of fusing image feature, electronic device, and storage medium

Номер патента: US20240265687A1. Автор: Gang Zhang,teng Xi,Nan PENG,Bi Li. Владелец: Beijing Baidu Netcom Science And Technology Co Ltd. Дата публикации: 2024-08-08.

Encrypted file retrieval method and device and computer-readable recording medium

Номер патента: US7318161B2. Автор: Tomohiro Hamada,Kazuhiko Aoki. Владелец: NEC Corp. Дата публикации: 2008-01-08.

Method of Controlling Recording of Optical Disc Device and Optical Disc Device Using the Method

Номер патента: US20090059756A1. Автор: Soo-Yong Kim,Seok-Min Yun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-03-05.

Method of cleaning, support, and cleaning apparatus

Номер патента: US20240278295A1. Автор: Ker-Hsun LIAO,Yi-Chen Ho,Chih Ping Liao,Chi-Hsun Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-22.

Information providing system, information providing device, and computer readable recording medium

Номер патента: US12086737B2. Автор: Hiroshi Majima,Masato Endo. Владелец: Toyota Motor Corp. Дата публикации: 2024-09-10.

Digital modulation method, demodulation method, and recorded recording medium

Номер патента: JP4265101B2. Автор: 光治 藤宮. Владелец: Sony Corp. Дата публикации: 2009-05-20.

Method of manufacture of mos semiconductor devices

Номер патента: PL239947A1. Автор: Tadeusz Piotrowski,Wojciech Jung,Marek Rozental. Владелец: Inst Tech Elektronowej. Дата публикации: 1984-07-16.

Method of manufacture of mos semiconductor devices

Номер патента: PL136425B1. Автор: Tadeusz Piotrowski,Wojciech Jung,Marek Rozental. Владелец: Inst Tech Elektronowej. Дата публикации: 1986-02-28.

IMPROVEMENTS IN OR RELATING TO METHODS OF X'v MANUFACTURING SEMICONDUCTOR DEVICES

Номер патента: AU270812B2. Автор: ADRIAAN GROENEWEGEN and NAHUM DEMIROVSKI MARTINIS. Владелец: . Дата публикации: 1964-03-05.

Semiconductor device simulation method and recording medium recording simulation program

Номер патента: JP3930223B2. Автор: 辺 浩 志 渡. Владелец: Toshiba Corp. Дата публикации: 2007-06-13.

Method of manufacturing semiconductor integrated circuit device and method of manufacturing photomask

Номер патента: JP3605268B2. Автор: 好彦 岡本. Владелец: Renesas Technology Corp. Дата публикации: 2004-12-22.