• Главная
  • High aspect ratio patterning of hard mask materials by organic soft masks

High aspect ratio patterning of hard mask materials by organic soft masks

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Composite hard mask etching profile for preventing pattern collapse in high-aspect-ratio trenches

Номер патента: US09449821B2. Автор: An Chyi Wei,Zusing Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2016-09-20.

Oxidizing filler material lines to increase width of hard mask lines

Номер патента: US09852900B2. Автор: Shivaji PEDDETI,Chang MAENG. Владелец: Globalfoundries Inc. Дата публикации: 2017-12-26.

High aspect ratio contact etching with additive gas

Номер патента: US20240332029A1. Автор: Mingmei Wang,Du Zhang. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-10-03.

High aspect ratio contact etching with additive gas

Номер патента: WO2024205688A1. Автор: Mingmei Wang,Du Zhang. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2024-10-03.

Very high aspect ratio contact

Номер патента: US20160149012A1. Автор: ABBAS Ali. Владелец: Texas Instruments Inc. Дата публикации: 2016-05-26.

High Aspect Ratio Via Etch Using Atomic Layer Deposition Protection Layer

Номер патента: US20190181041A1. Автор: Xinghua Sun,Yen-Tien Lu,Eric Chih-Fang Liu,Andrew W. METZ. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-06-13.

Method of in situ hard mask removal

Номер патента: US20180082842A1. Автор: Alok Ranjan,Andrew Nolan,Mingmei Wang,Christopher Talone. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-03-22.

Metal Hard Mask Integration

Номер патента: US20230260801A1. Автор: Kaoru Maekawa,Gerrit Leusink,Angelique RALEY,Katie Lutker-Lee,Hirokazu Aizawa. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-08-17.

Methods of manufacturing semiconductor devices having high aspect ratio

Номер патента: US9324609B2. Автор: Jung-Woo Seo,Chan-Won Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-04-26.

Metal hard mask for precise tuning of mandrels

Номер патента: WO2024022857A1. Автор: Koichi Motoyama,Christopher Penny,Yann Mignot,Joe Lee. Владелец: Ibm United Kingdom Limited. Дата публикации: 2024-02-01.

Bottom thick oxidation growth in high aspect ratio features

Номер патента: US20240242962A1. Автор: Christopher S. Olsen,Hansel LO,Dimitrios Pavlopoulos. Владелец: Applied Materials Inc. Дата публикации: 2024-07-18.

Bottom thick oxidation growth in high aspect ratio features

Номер патента: WO2024151700A1. Автор: Christopher S. Olsen,Hansel LO,Dimitrios Pavlopoulos. Владелец: Applied Materials, Inc.. Дата публикации: 2024-07-18.

Method of forming fine island patterns of semiconductor devices

Номер патента: US20190074182A1. Автор: Shing-Yih Shih,Chiang-Lin Shih. Владелец: Nanya Technology Corp. Дата публикации: 2019-03-07.

Hard mask composition for spin-coating

Номер патента: US09899231B2. Автор: Jung-Sik Choi,Boo-Deuk Kim,Jung-Hoon Kim,Nae-Ry Yu,Song-Se Yi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-02-20.

Anodic aluminum oxide as hard mask for plasma etching

Номер патента: WO2019240909A1. Автор: Chanyuan Liu,Shih-Ked Lee. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2019-12-19.

Methods of forming patterns using hard mask

Номер патента: US20230386836A1. Автор: Joo Hwan Park,Joon Gi KWON,Myung Ok Kim. Владелец: SK hynix Inc. Дата публикации: 2023-11-30.

Lateral-dimension-reducing metallic hard mask etch

Номер патента: US20150235951A1. Автор: Wai-Kin Li,Samuel S. Choi. Владелец: GlobalFoundries US 2 LLC. Дата публикации: 2015-08-20.

Semiconductor device with carbon hard mask and method for fabricating the same

Номер патента: US20220157712A1. Автор: Jar-Ming Ho. Владелец: Nanya Technology Corp. Дата публикации: 2022-05-19.

Semiconductor device with carbon hard mask and method for fabricating the same

Номер патента: US20220157713A1. Автор: Jar-Ming Ho. Владелец: Nanya Technology Corp. Дата публикации: 2022-05-19.

Method for manufacturing semiconductor device and method for forming hard mask

Номер патента: US20120190166A1. Автор: Kazuhiro Okuda. Владелец: Elpida Memory Inc. Дата публикации: 2012-07-26.

Semiconductor device with carbon hard mask and method for fabricating the same

Номер патента: US11776904B2. Автор: Jar-Ming Ho. Владелец: Nanya Technology Corp. Дата публикации: 2023-10-03.

Hard mask and semiconductor device comprising the same

Номер патента: US20240231219A1. Автор: Sang Chul Han,Seok Jun Hong,Yi Hwan Kim,Nam Jin CHO,Seong Keun CHO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-11.

Selective removal of boron doped carbon hard mask layers

Номер патента: US09691590B2. Автор: David T. Mattson. Владелец: Lam Research Corp. Дата публикации: 2017-06-27.

Preparation method for accurate pattern of integrated circuit

Номер патента: US11699594B2. Автор: Hanming Wu. Владелец: Etownip Microelectronics (beijing) Co Ltd. Дата публикации: 2023-07-11.

Preparation method for accurate pattern of integrated circuit

Номер патента: US20220051903A1. Автор: Hanming Wu. Владелец: Etownip Microelectronics Beijing Co ltd. Дата публикации: 2022-02-17.

Metal hard mask for precise tuning of mandrels

Номер патента: US20240038535A1. Автор: Koichi Motoyama,Christopher J. Penny,Yann Mignot,Joe Lee. Владелец: International Business Machines Corp. Дата публикации: 2024-02-01.

Methods of forming a pattern of a semiconductor device

Номер патента: US09520289B2. Автор: Jin Park,Hyun-Woo Kim,Cha-Won Koh. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-12-13.

Self-adjusting gate hard mask

Номер патента: US20140210004A1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2014-07-31.

Method of filling a recess flat with a material by a bias ECR-CVD process

Номер патента: US5182221A. Автор: Junichi Sato. Владелец: Sony Corp. Дата публикации: 1993-01-26.

Layered hard mask and dielectric materials and methods therefor

Номер патента: EP1390976A1. Автор: Lynn Forester. Владелец: Honeywell International Inc. Дата публикации: 2004-02-25.

Method of manufacturing semiconductor structure using multi-layer hard mask

Номер патента: US20240014040A1. Автор: Ying-Cheng Chuang,Yu-Ting Lin. Владелец: Nanya Technology Corp. Дата публикации: 2024-01-11.

LPCVD gate hard mask

Номер патента: US20080026584A1. Автор: Christopher Dennis Bencher,Rajesh Kanuri,Chorng-Ping Chang,Hoiman Hung. Владелец: Applied Materials Inc. Дата публикации: 2008-01-31.

Non-planar semiconductor device with aspect ratio trapping

Номер патента: US09419074B2. Автор: Kangguo Cheng,Pouya Hashemi,Alexander Reznicek,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2016-08-16.

Method of manufacturing semiconductor structure using multi-layer hard mask

Номер патента: US20240014038A1. Автор: Ying-Cheng Chuang,Yu-Ting Lin. Владелец: Nanya Technology Corp. Дата публикации: 2024-01-11.

Patterning of a hard mask material

Номер патента: US09514955B2. Автор: Joydeep Guha,Camelia Rusu. Владелец: Lam Research Corp. Дата публикации: 2016-12-06.

Methods for forming high aspect ratio features on a substrate

Номер патента: EP2056341A3. Автор: Subhash Deshmukh,Wonseok Lee,Stephan Wege,Kenny Lihn Doan,Kathryn Keswick. Владелец: Applied Materials Inc. Дата публикации: 2010-03-03.

High aspect ratio contact (harc) etch

Номер патента: WO2024063871A1. Автор: Andrew Metz,Pingshan Luan,Matthew Ocana. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2024-03-28.

High Aspect Ratio Contact (HARC) Etch

Номер патента: US20240096640A1. Автор: Andrew Metz,Pingshan Luan,Matthew Ocana. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-03-21.

Method of forming a dual damascene via by using a metal hard mask layer

Номер патента: US20030092279A1. Автор: Chia-Lin Hsu,Teng-Chun Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2003-05-15.

Etching carbon layer using doped carbon as a hard mask

Номер патента: WO2020123562A3. Автор: Amit Jain,Yasushi Ishikawa,Anne Le Gouil. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2021-03-25.

Hard Mask Removal for Semiconductor Devices

Номер патента: US20110223753A1. Автор: Chi-Cheng Hung,Yuan-Ching Peng,Fu-Kai Yang,Sheng-Hsiung Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2011-09-15.

Methods of forming high aspect ratio features

Номер патента: US11854869B2. Автор: KEN Tokashiki,Gurtej S. Sandhu,John A. Smythe. Владелец: Micron Technology Inc. Дата публикации: 2023-12-26.

Methods of forming high aspect ratio features

Номер патента: US20220344200A1. Автор: KEN Tokashiki,Gurtej S. Sandhu,John A. Smythe. Владелец: Micron Technology Inc. Дата публикации: 2022-10-27.

Microelectronic devices including high aspect ratio features

Номер патента: US20240120237A1. Автор: KEN Tokashiki,Gurtej S. Sandhu,John A. Smythe. Владелец: Lodestar Licensing Group LLC. Дата публикации: 2024-04-11.

Ultra-high aspect ratio dielectric etch

Номер патента: WO2008097920A1. Автор: Kyeong-koo Chi,Erik A. Edelberg. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2008-08-14.

Reducing twisting in ultra-high aspect ratio dielectric etch

Номер патента: SG176496A1. Автор: Bing Ji,Takumi Yanagawa,Erik A Edelberg. Владелец: Lam Res Corp. Дата публикации: 2011-12-29.

Method for etching high aspect ratio structures

Номер патента: WO2024205690A1. Автор: Tong Liu,Qian Fu,FENG Qiao,Sangjun Park,Hailong Zhou,Jayoung Choi,Radhe AGARWAL. Владелец: Applied Materials, Inc.. Дата публикации: 2024-10-03.

High aspect ratio contacts

Номер патента: EP1992009A1. Автор: Aaron R. Wilson. Владелец: Micron Technology Inc. Дата публикации: 2008-11-19.

High aspect ratio etch using modulation of rf powers of various frequencies

Номер патента: IL173861A0. Автор: . Владелец: Felix Kozakevich. Дата публикации: 2006-07-05.

Pulsed ultra-high aspect ratio dielectric etch

Номер патента: WO2008097925A1. Автор: Kyeong-koo Chi,Erik A. Edelberg. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2008-08-14.

Method of forming a patterned hard mask and method of forming conductive lines

Номер патента: US20220139710A1. Автор: Chien-Chung Wang,Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2022-05-05.

Method of forming a patterned hard mask and method of forming conductive lines

Номер патента: US11424124B2. Автор: Chien-Chung Wang,Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2022-08-23.

Method of selectively removing patterned hard mask

Номер патента: US20120088368A1. Автор: Zhi-Cheng Lee,Cheng-Guo Chen,Che-Hua Hsu,Shao-Hua Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2012-04-12.

Method for forming pattern using hard mask

Номер патента: US20080160778A1. Автор: Ki-Won Nam,Ky-Hyun Han. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-07-03.

Etching method with metal hard mask

Номер патента: US12100601B2. Автор: Yu Zhang,Zhaocheng LIU,Aki AKIBA. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2024-09-24.

Hard mask removal scheme

Номер патента: US9754796B2. Автор: Chi-Ming Yang,Ying-Hsueh CHANGCHIEN,Yu-Ming Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-05.

Hard mask removal scheme

Номер патента: US20160155646A1. Автор: Chi-Ming Yang,Ying-Hsueh CHANGCHIEN,Yu-Ming Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-06-02.

Trench formation using rounded hard mask

Номер патента: US9607883B2. Автор: Chien-Chih Chiu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-03-28.

Hard mask removal scheme

Номер патента: US9287133B2. Автор: Chi-Ming Yang,Ying-Hsueh CHANGCHIEN,Yu-Ming Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-03-15.

Etching method with metal hard mask

Номер патента: US20240112923A1. Автор: Yu Zhang,Xiaoming He,Zhaocheng LIU,Aki AKIBA. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2024-04-04.

Hard mask removal scheme

Номер патента: US20150255303A1. Автор: Chi-Ming Yang,Ying-Hsueh CHANGCHIEN,Yu-Ming Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-09-10.

Hydrogen peroxide plasma etch of ashable hard mask

Номер патента: US12057320B2. Автор: Jeffrey J. Spiegelman. Владелец: Rasiro Inc. Дата публикации: 2024-08-06.

Hard mask for low-k interlayer dielectric patterning

Номер патента: US7649264B2. Автор: Magdy S. Abdelrahman,Tony V. Mule. Владелец: Intel Corp. Дата публикации: 2010-01-19.

Hydrogen peroxide plasma etch of ashable hard mask

Номер патента: WO2024074929A1. Автор: Jeffrey J. Spiegelman. Владелец: RASIRC, INC.. Дата публикации: 2024-04-11.

Hydrogen peroxide plasma etch of ashable hard mask

Номер патента: US20240112921A1. Автор: Jeffrey J. Spiegelman. Владелец: RASIRC Inc. Дата публикации: 2024-04-04.

Bi-layer hard mask for robust metallization profile

Номер патента: US09385086B2. Автор: Ching-Hua Hsieh,Shing-Chyang Pan,Hong-Hui Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-07-05.

Method for preparing fine metal lines with high aspect ratio

Номер патента: US11837499B2. Автор: Chih-Wei Huang. Владелец: Nanya Technology Corp. Дата публикации: 2023-12-05.

Method for preparing fine metal lines with high aspect ratio

Номер патента: US20240038585A1. Автор: Chih-Wei Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-02-01.

Structure and method for hard mask removal on an soi substrate without using CMP process

Номер патента: GB201314148D0. Автор: . Владелец: International Business Machines Corp. Дата публикации: 2013-09-18.

Structure and method for hard mask removal on an soi substrate without using cmp process

Номер патента: WO2012099838A3. Автор: Oh-Jung Kwon. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-10-26.

Structure and method for hard mask removal on an soi substrate without using cmp process

Номер патента: WO2012099838A2. Автор: Oh-Jung Kwon. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-07-26.

Method of forming patterns of semiconductor device

Номер патента: US20240194521A1. Автор: JungHan LEE,Kwanyoung Chun,Jisoo Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-13.

Pattern formation method and photosensitive hard mask

Номер патента: US20230019943A1. Автор: Kazuki Yamada,Ryuichi Asako,Hajime Nakabayashi,Tomohito Yamaji. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-01-19.

Semiconductor device having edge seal and method of making thereof without metal hard mask arcing

Номер патента: US20240096694A1. Автор: Kazuto Watanabe. Владелец: SanDisk Technologies LLC. Дата публикации: 2024-03-21.

Semiconductor device having edge seal and method of making thereof without metal hard mask arcing

Номер патента: US20240096695A1. Автор: Michiaki Sano,Tatsuya Hinoue. Владелец: SanDisk Technologies LLC. Дата публикации: 2024-03-21.

UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement

Номер патента: US8242028B1. Автор: Bart van Schravendijk,Christian Denisse. Владелец: Novellus Systems Inc. Дата публикации: 2012-08-14.

Selective removal of metal oxide hard masks

Номер патента: EP4271765A1. Автор: WonLae KIM,Eric Hong,SeongJin Hong,JeongYeol YANG,Juhee YEO,Seunghyun CHAE. Владелец: Entegris Inc. Дата публикации: 2023-11-08.

Hard Mask Edge Cover Scheme

Номер патента: US20150072528A1. Автор: Chih-Chien Chi,Huang-Yi Huang,Szu-Ping Tung,Ching-Hua Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-03-12.

Method for removing hard masks

Номер патента: EP4189729A1. Автор: Emanuel I. Cooper,Hsing-chen Wu,Min-Chieh Yang. Владелец: Entegris Inc. Дата публикации: 2023-06-07.

Method for removing hard masks

Номер патента: US11788007B2. Автор: Emanuel I. Cooper,Hsing-chen Wu,Min-Chieh Yang. Владелец: Entegris Inc. Дата публикации: 2023-10-17.

Method for forming high aspect ratio patterning structure

Номер патента: US09991116B1. Автор: Peng Liu,Jun Zhu,Yu Ren,Hsusheng CHANG,Yukun LV,Qiyan Feng. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2018-06-05.

Method for high aspect ratio patterning in spin-on layer

Номер патента: WO2012024178A2. Автор: Andrew W. METZ. Владелец: Tokyo Electron America, Inc.. Дата публикации: 2012-02-23.

Method for high aspect ratio patterning in spin-on layer

Номер патента: WO2012024178A3. Автор: Andrew W. METZ. Владелец: Tokyo Electron America, Inc.. Дата публикации: 2012-04-05.

Method for high aspect ratio patterning in a spin-on layer

Номер патента: US20120037592A1. Автор: Andrew W. METZ. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-02-16.

Metal deposition and etch in high aspect-ratio features

Номер патента: US20230290647A1. Автор: Anchuan Wang,Zhenjiang Cui,Baiwei Wang,Rohan Puligoru Reddy,Xiaolin C. Chen. Владелец: Applied Materials Inc. Дата публикации: 2023-09-14.

Metal deposition and etch in high aspect-ratio features

Номер патента: US12087595B2. Автор: Anchuan Wang,Zhenjiang Cui,Baiwei Wang,Rohan Puligoru Reddy,Xiaolin C. Chen. Владелец: Applied Materials Inc. Дата публикации: 2024-09-10.

Strip Process for High Aspect Ratio Structure

Номер патента: US20180074409A1. Автор: Shawming Ma,Li Hou,Vijay M. Vaniapura. Владелец: Mattson Technology Inc. Дата публикации: 2018-03-15.

Apparatus for etching high aspect ratio features

Номер патента: US20140020834A1. Автор: Xiaoping Zhou,Jeffrey W. DIETZ. Владелец: Applied Materials Inc. Дата публикации: 2014-01-23.

Apparatus for etching high aspect ratio features

Номер патента: US09991109B2. Автор: Xiaoping Zhou,Jeffrey William Dietz. Владелец: Applied Materials Inc. Дата публикации: 2018-06-05.

Metal deposition and etch in high aspect-ratio features

Номер патента: WO2023172298A1. Автор: Anchuan Wang,Zhenjiang Cui,Baiwei Wang,Rohan Puligoru Reddy,Xiaolin C. Chen. Владелец: Applied Materials, Inc.. Дата публикации: 2023-09-14.

Drying high aspect ratio features

Номер патента: SG11201900351XA. Автор: Paul McHugh,John L Klocke,Eric J Bergman,Stuart Crane,Richard W Plavidal. Владелец: Applied Materials Inc. Дата публикации: 2019-02-27.

Forming method of hard mask

Номер патента: US20190157083A1. Автор: Takashi Tanaka,Yuichiro Inatomi,Takeshi Nagao,Mitsuaki Iwashita,Koichi Yatsuda,Nobutaka Mizutani,Kazutoshi Iwai. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-05-23.

Ruthenium hard mask process

Номер патента: WO2020033309A1. Автор: Alok Ranjan,Peter Ventzek,Zhiying Chen. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2020-02-13.

Methods and systems for patterning of low aspect ratio stacks

Номер патента: WO2019217584A1. Автор: Elliott Franke,Angelique RALEY,Sophie Thibaut. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2019-11-14.

Method of forming dense hole patterns of semiconductor devices

Номер патента: US20190074187A1. Автор: Shing-Yih Shih,Jen-Jui HUANG. Владелец: Nanya Technology Corp. Дата публикации: 2019-03-07.

Method and apparatus for forming hard mask film and method for manufacturing semiconductor devices

Номер патента: US20200035491A1. Автор: Yutaka Fujino. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-01-30.

Manganese oxide hard mask for etching dielectric materials

Номер патента: US09472457B2. Автор: Wei Lin,Tuan A. Vo,Spyridon Skordas. Владелец: International Business Machines Corp. Дата публикации: 2016-10-18.

Method for fabricating carbon hard mask and method for fabricating patterns of semiconductor device using the same

Номер патента: US20120208367A1. Автор: Tai Ho Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-08-16.

Hard mask removal method

Номер патента: US09960050B2. Автор: William Weilun HONG,Ying-Tsung Chen,Che-Hao Tu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-01.

High etch selectivity, low stress ashable carbon hard mask

Номер патента: US12062537B2. Автор: Jun Xue,Shih-Ked Lee,Samantha SiamHwa Tan,Mary Anne Manumpil. Владелец: Lam Research Corp. Дата публикации: 2024-08-13.

Forming fins utilizing alternating pattern of spacers

Номер патента: US09685440B1. Автор: Peng Xu,Kangguo Cheng. Владелец: International Business Machines Corp. Дата публикации: 2017-06-20.

Method of forming patterned hard mask layer

Номер патента: US09543408B1. Автор: Yu-Ren Wang,Yi-Hui Lin,Keng-Jen Lin,Chun-Yao Yang. Владелец: United Microelectronics Corp. Дата публикации: 2017-01-10.

Semiconductor device using hard mask and method for fabricating the same

Номер патента: US20240038534A1. Автор: Jin Hee Park,Bo Young Cho,Soo Min JO. Владелец: SK hynix Inc. Дата публикации: 2024-02-01.

Semiconductor device using hard mask and method for fabricating the same

Номер патента: US11823904B2. Автор: Jin Hee Park,Bo Young Cho,Soo Min JO. Владелец: SK hynix Inc. Дата публикации: 2023-11-21.

Hard mask structure

Номер патента: US20230317452A1. Автор: Sheng-Fu Huang,Kuan Hua Chen. Владелец: Nanya Technology Corp. Дата публикации: 2023-10-05.

Method and apparatus for forming hard mask film and method for manufacturing semiconductor devices

Номер патента: US10879069B2. Автор: Yutaka Fujino. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-12-29.

Hard Mask Removal Method

Номер патента: US20240105460A1. Автор: William Weilun HONG,Ying-Tsung Chen,Che-Hao Tu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-28.

Hard mask removal method

Номер патента: US11854821B2. Автор: William Weilun HONG,Ying-Tsung Chen,Che-Hao Tu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Robust ashable hard mask

Номер патента: WO2022066927A1. Автор: Kapu Sirish Reddy,Matthew Scott Weimer,Ragesh PUTHENKOVILAKAM. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2022-03-31.

Method of, and apparatus for, forming hard mask

Номер патента: US09779958B2. Автор: Katsuaki Nakano. Владелец: Ulvac Inc. Дата публикации: 2017-10-03.

Bilayer anti-reflective coating and etch hard mask

Номер патента: US6232002B1. Автор: Suzette K. Pangrle,Lewis Shen,Kathleen R. Early,Maria C. Chan. Владелец: Advanced Micro Devices Inc. Дата публикации: 2001-05-15.

Antireflective hard mask compositions

Номер патента: US7605439B2. Автор: Michael K. Gallagher,Anthony Zampini,Dana A. Gronbeck,Amy M. Kwok,Chi Q. Truong. Владелец: Rohm and Haas Electronic Materials LLC. Дата публикации: 2009-10-20.

Double aspect ratio trapping

Номер патента: US09786497B2. Автор: Kangguo Cheng,Bruce B. Doris,Alexander Reznicek,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2017-10-10.

Multilayer low reflectivity hard mask and process therefor

Номер патента: US20120045888A1. Автор: Kouros Ghandehari,Hirokazu Tokuno,Marina V. Plat,Anna M. Minvielle. Владелец: Individual. Дата публикации: 2012-02-23.

Selective removal of boron doped carbon hard mask layers

Номер патента: US20160379803A1. Автор: David T. Mattson. Владелец: Lam Research Corp. Дата публикации: 2016-12-29.

Robust ashable hard mask

Номер патента: US20230360922A1. Автор: Kapu Sirish Reddy,Matthew Scott Weimer,Ragesh PUTHENKOVILAKAM. Владелец: Lam Research Corp. Дата публикации: 2023-11-09.

Double aspect ratio trapping

Номер патента: US9349808B2. Автор: Kangguo Cheng,Bruce B. Doris,Alexander Reznicek,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2016-05-24.

Semiconductor device having hard mask structure and fine pattern and forming method thereof

Номер патента: US09437444B2. Автор: Sung-Kwon Lee,Ho-Jin Jung,Jun-Hyeub Sun,Chun-Hee Lee. Владелец: SK hynix Inc. Дата публикации: 2016-09-06.

Method of patterning a substrate using spin-on glass as a hard mask

Номер патента: WO1997043782A1. Автор: Robert Dawson,Charles E. May. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 1997-11-20.

High aspect ratio etch without upper widening

Номер патента: US09944516B2. Автор: Chia-Shiung Tsai,Chung-Yen Chou,Ru-Liang Lee,Lee-Chuan Tseng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-17.

High-aspect ratio metallized structures

Номер патента: US20240297048A1. Автор: Matthew David Butts,Shubhodeep Goswami,Gregory Keith Dudoff,David Milford Shaddock. Владелец: GE Precision Healthcare LLC. Дата публикации: 2024-09-05.

Method for preparing a structure with high aspect ratio

Номер патента: US7344995B2. Автор: Chih Hao Chang,Hung Yueh Lu,Hong Long Chang,Yung Kai Lee. Владелец: Promos Technologies Inc. Дата публикации: 2008-03-18.

High aspect ratio metal gate cuts

Номер патента: US20240105453A1. Автор: Matthew J. Prince,Manish Sharma,Oleg Golonzka,Reza Bayati,Alison V. DAVIS,Ramy Ghostine,Piyush M. Sinha,Swapnadip Ghosh. Владелец: Intel Corp. Дата публикации: 2024-03-28.

High aspect ratio metal gate cuts

Номер патента: EP4345880A1. Автор: Matthew Prince,Manish Sharma,Oleg Golonzka,Alison Davis,Reza Bayati,Ramy Ghostine,Swapnadip Ghosh,Piyush Sinha. Владелец: Intel Corp. Дата публикации: 2024-04-03.

Method for etching high aspect ratio structures

Номер патента: US20240332031A1. Автор: Tong Liu,Qian Fu,FENG Qiao,Sangjun Park,Hailong Zhou,Jayoung Choi,Radhe AGARWAL. Владелец: Applied Materials Inc. Дата публикации: 2024-10-03.

Method of forming high aspect ratio features

Номер патента: US12125700B2. Автор: Hirotsugu Sugiura,Yoshio SUSA,Mitsuya Utsuno. Владелец: ASM IP Holding BV. Дата публикации: 2024-10-22.

Method of collapse-free drying of high aspect ratio structures

Номер патента: US09666427B2. Автор: Diane Hymes,Stephen M. Sirard,Olivier B. Postel. Владелец: Lam Research Corp. Дата публикации: 2017-05-30.

Metal etch in high aspect-ratio features

Номер патента: WO2022235378A1. Автор: Anchuan Wang,Zhenjiang Cui,Baiwei Wang,Rohan Puligoru Reddy,Xiaolin C. Chen,Oliver Jan. Владелец: Applied Materials, Inc.. Дата публикации: 2022-11-10.

Even tungsten etch for high aspect ratio trenches

Номер патента: WO2015094541A1. Автор: Jie Liu,Xikun Wang,Nitin K. Ingle,Anchuan Wang. Владелец: Applied Materials, Inc.. Дата публикации: 2015-06-25.

Structure and process for metallization in high aspect ratio features

Номер патента: US8450204B2. Автор: Chih-Chao Yang,Fenton R. McFeely. Владелец: International Business Machines Corp. Дата публикации: 2013-05-28.

Hard mask for copper plasma etch

Номер патента: US20010035582A1. Автор: Peter D. Nunan,Mark Richard Tesauro. Владелец: STMicroelectronics lnc USA. Дата публикации: 2001-11-01.

Structure and process for metallization in high aspect ratio features

Номер патента: US20130252415A1. Автор: Chih-Chao Yang,Fenton R. McFeely. Владелец: International Business Machines Corp. Дата публикации: 2013-09-26.

Structure and process for metallization in high aspect ratio features

Номер патента: US20120208362A1. Автор: Chih-Chao Yang,Fenton R. McFeely. Владелец: International Business Machines Corp. Дата публикации: 2012-08-16.

Steam oxidation initiation for high aspect ratio conformal radical oxidation

Номер патента: US11948791B2. Автор: Christopher S. Olsen,Taewan Kim. Владелец: Applied Materials Inc. Дата публикации: 2024-04-02.

New etch process for forming high aspect ratio trenches in silicon

Номер патента: EP1036410A1. Автор: Shaoher Pan,Maocheng Li,Yiqiong Wang. Владелец: Applied Materials Inc. Дата публикации: 2000-09-20.

Method for etching metal layer of a semiconductor device using hard mask

Номер патента: US6008135A. Автор: Yong-Tak Lee,Sang-Jeong Oh. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-12-28.

Methods for forming fine patterns of semiconductor device

Номер патента: US09627202B2. Автор: DAE-YONG KANG,Sung-Wook Hwang,Joonsoo PARK,Soonmok Ha,Byungjun Jeon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-04-18.

Method for forming a two-layered hard mask on top of a gate structure

Номер патента: US09607892B2. Автор: Chih-Sen Huang,Chih-Wei Yang,Yu-Cheng Tung,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2017-03-28.

Conductive hard mask to protect patterned features during trench etch

Номер патента: EP2025000A2. Автор: Usha Raghuram,Michael W. Konevecki,Samuel V. Dunton,Steven J. Radigan. Владелец: SanDisk 3D LLC. Дата публикации: 2009-02-18.

Method for using disposable hard mask for gate critical dimension control

Номер патента: US5670423A. Автор: Chue-San Yoo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 1997-09-23.

Method and composite hard mask for forming deep trenches in a semiconductor substrate

Номер патента: US20050215061A1. Автор: Yinan Chen,Chang-Rong Wu,Tuz-Ching Tsai. Владелец: Nanya Technology Corp. Дата публикации: 2005-09-29.

Conductive hard mask to protect patterned features during trench etch

Номер патента: WO2007143387A2. Автор: Usha Raghuram,Michael W. Konevecki,Samuel V. Dunton,Steven J. Radigan. Владелец: SanDisk 3D LLC. Дата публикации: 2007-12-13.

Hard mask, substrate processing method, and substrate processing apparatus

Номер патента: US12060635B2. Автор: Tsuyoshi Moriya,Tadahiro Ishizaka,Yoshinori Morisada. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-08-13.

Silicon carbide oxide hard mask for reducing dishing effects

Номер патента: US20210193817A1. Автор: Yi-Lun Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-06-24.

Titanium-Compound Based Hard Mask Films

Номер патента: US20170084459A1. Автор: Srinivas Gandikota,Abhijit Basu Mallick,Pramit MANNA,Wei Tang,Rui CHENG. Владелец: Applied Materials Inc. Дата публикации: 2017-03-23.

Silicon carbide oxide hard mask for reducing dishing effects

Номер патента: US20220149180A1. Автор: Yi-Lun Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-05-12.

Carbon-doped hard mask and method of passivating structures during semiconductor device fabrication

Номер патента: US20030203157A1. Автор: Philippe Schoenborn,John Hu,Ana Ley. Владелец: Individual. Дата публикации: 2003-10-30.

Silicon carbide oxide hard mask for reducing dishing effects

Номер патента: US11935942B2. Автор: Yi-Lun Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-19.

Silicon carbide oxide hard mask for reducing dishing effects

Номер патента: US20240170557A1. Автор: Yi-Lun Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-23.

SiGe selective growth without a hard mask

Номер патента: US7494884B2. Автор: Tze-Liang Lee,Li-Te S. Lin,Ming-Hua Yu,Hsien-Hsin Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2009-02-24.

Fin top hard mask formation after wafer flipping process

Номер патента: US20220231021A1. Автор: Chen Zhang,Brent Anderson,Tenko Yamashita,Joshua M. Rubin. Владелец: International Business Machines Corp. Дата публикации: 2022-07-21.

Method of forming photoresist pattern of semiconductor device

Номер патента: KR980003849A. Автор: 복철규. Владелец: 현대전자산업 주식회사. Дата публикации: 1998-03-30.

Method for masking a recess in a structure with a large aspect ratio

Номер патента: US20050224451A1. Автор: Hans-Peter Moll,Dirk Efferenn. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2005-10-13.

Method for forming fine patterns of semiconductor device

Номер патента: US09666434B2. Автор: DAE-YONG KANG,Jaehee Kim,Joonsoo PARK,Soonmok Ha. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-05-30.

Multi-layer structure for high aspect ratio etch

Номер патента: US09647022B2. Автор: Shih-Chi Kuo,Han-Tang Lo,Tsai-Hao Hung,Tsung-Hsien Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-09.

Super-high aspect ratio diffractive optics fabricated by batch-processing

Номер патента: US20190154892A1. Автор: Nicolaie A. Moldovan. Владелец: Alcorix Co. Дата публикации: 2019-05-23.

Methods for filling high aspect ratio features on substrates

Номер патента: WO2013163081A1. Автор: Michael G. Ward,Igor Peidous. Владелец: Applied Materials, Inc.. Дата публикации: 2013-10-31.

Method for chemical vapor deposition in high aspect ratio spaces

Номер патента: EP1897131A2. Автор: Ya-Hong Xie. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2008-03-12.

Method for chemical vapor deposition in high aspect ratio spaces

Номер патента: WO2007001296A2. Автор: Ya-Hong Xie. Владелец: The Regents of the University of California. Дата публикации: 2007-01-04.

Processing methods for providing metal-comprising materials within high aspect ratio openings

Номер патента: US20010043985A1. Автор: John Givens. Владелец: Individual. Дата публикации: 2001-11-22.

Dispense pattern for thermal interface material for a high aspect ratio thermal interface

Номер патента: US09839159B1. Автор: Bahareh Banijamali. Владелец: Xilinx Inc. Дата публикации: 2017-12-05.

Integrated circuit package assemblies with high-aspect ratio metallization features

Номер патента: US20200411317A1. Автор: Hongxia Feng,Leonel Arana,Brandon Marin,Jeremy ECTON. Владелец: Intel Corp. Дата публикации: 2020-12-31.

Thin film deposition in a high aspect ratio feature

Номер патента: US11139186B2. Автор: Karl A. Littau,Martin E. McBRIARTY. Владелец: Intermolecular Inc. Дата публикации: 2021-10-05.

Use of hard masks during etching of openings in integrated circuits for high etch selectivity

Номер патента: US6054384A. Автор: Fei Wang,Susan Chen. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-04-25.

Controlling grain boundaries in high aspect-ratio conductive regions

Номер патента: US20200135556A1. Автор: Chih-Chao Yang,Conal Murray. Владелец: International Business Machines Corp. Дата публикации: 2020-04-30.

Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure

Номер патента: US6077780A. Автор: Valery M. Dubin. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-06-20.

Method for chemical vapor deposition in high aspect ratio spaces

Номер патента: US20060290013A1. Автор: Ya-Hong Xie. Владелец: Individual. Дата публикации: 2006-12-28.

Conductive paths through dielectric with a high aspect ratio for semiconductor devices

Номер патента: US09576918B2. Автор: Thorsten Meyer,Andreas Wolter. Владелец: Intel IP Corp. Дата публикации: 2017-02-21.

Two layer filling for high aspect ratio trenches

Номер патента: GB2402549A. Автор: John McNeil,Carl David Monnington Brancher. Владелец: Trikon Technologies Ltd. Дата публикации: 2004-12-08.

High aspect ratio deposition

Номер патента: WO2019060069A1. Автор: Abhijit Basu Mallick,Shaunak Mukherjee. Владелец: Applied Materials, Inc.. Дата публикации: 2019-03-28.

Process for treating high aspect ratio structures

Номер патента: US20020119655A1. Автор: Yu-Tsai Liu. Владелец: Individual. Дата публикации: 2002-08-29.

Methods of and apparatus for making high aspect ratio microelectromechanical structures

Номер патента: WO2003071007A1. Автор: Gang Zhang,Adam L. Cohen,Qui T. Le. Владелец: Memgen Corporation. Дата публикации: 2003-08-28.

Thin film deposition in a high aspect ratio feature

Номер патента: US20210183674A1. Автор: Karl A. Littau,Martin E. McBRIARTY. Владелец: Intermolecular Inc. Дата публикации: 2021-06-17.

Controlling grain boundaries in high aspect-ratio conductive regions

Номер патента: US20190378755A1. Автор: Chih-Chao Yang,Conal Murray. Владелец: International Business Machines Corp. Дата публикации: 2019-12-12.

Method of filling a high aspect ratio trench isolation region and resulting structure

Номер патента: WO2007089377A3. Автор: Garo J Derderian. Владелец: Garo J Derderian. Дата публикации: 2007-09-20.

Method of filling a high aspect ratio trench isolation region and resulting structure

Номер патента: WO2007089377A2. Автор: Garo J. Derderian. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2007-08-09.

Method of filling a high aspect ratio trench isolation region and resulting structure

Номер патента: EP1984946A2. Автор: Garo J. Derderian. Владелец: Micron Technology Inc. Дата публикации: 2008-10-29.

Sidewall smoothing in high aspect ratio/deep etching using a discreet gas switching method

Номер патента: EP1543540A2. Автор: David Johnson,Russell Westerman,Shouliang Lai. Владелец: Unaxis USA Inc. Дата публикации: 2005-06-22.

Ruthenium interconnect with high aspect ratio and method of fabrication thereof

Номер патента: US9299643B2. Автор: Wen Yu,Zheng Wang,Connie Wang,Erik Wilson,Robert Chiu. Владелец: Cypress Semiconductor Corp. Дата публикации: 2016-03-29.

High aspect ratio contact structure with reduced silicon consumption

Номер патента: US6908849B2. Автор: Sujit Sharan,Ammar Derraa,Paul Castrovillo. Владелец: Micron Technology Inc. Дата публикации: 2005-06-21.

Conductive paths through dielectric with a high aspect ratio for semiconductor devices

Номер патента: WO2016186788A1. Автор: Thorsten Meyer,Andreas Wolter. Владелец: Intel IP Corporation. Дата публикации: 2016-11-24.

Conductive paths through dielectric with a high aspect ratio for semiconductor devices

Номер патента: US10229858B2. Автор: Thorsten Meyer,Andreas Wolter. Владелец: Intel IP Corp. Дата публикации: 2019-03-12.

Conductive paths through dielectric with a high aspect ratio for semiconductor devices

Номер патента: US20170148698A1. Автор: Thorsten Meyer,Andreas Wolter. Владелец: Intel IP Corp. Дата публикации: 2017-05-25.

Circuit Pattern with high aspect ratio and Method of Manufacturing the Same

Номер патента: US20140041900A1. Автор: Yi-Fong Lin,Chien-An Yu. Владелец: Nanya Technology Corp. Дата публикации: 2014-02-13.

High aspect ratio gap fill using cyclic deposition and etch

Номер патента: WO2024044373A1. Автор: Takashi KURATOMI,Alexia Adilene PORTILLO RIVERA. Владелец: Applied Materials, Inc.. Дата публикации: 2024-02-29.

Method of electroplating a workpiece having high-aspect ratio holes

Номер патента: EP1601822A1. Автор: Bert Reents,Tafadzwa Magaya. Владелец: Atotech Deutschland GmbH and Co KG. Дата публикации: 2005-12-07.

Fin structure having hard mask etch stop layers underneath gate sidewall spacers

Номер патента: US09923054B2. Автор: Tahir Ghani,Ritesh JHAVERI,Bernard Sell. Владелец: Intel Corp. Дата публикации: 2018-03-20.

Method for fabricating transistor having hard-mask layer

Номер патента: US09484441B2. Автор: Chao-Shun Yang. Владелец: AU OPTRONICS CORP. Дата публикации: 2016-11-01.

Transistor having hard-mask layers

Номер патента: US20160380109A1. Автор: Chao-Shun Yang. Владелец: AU OPTRONICS CORP. Дата публикации: 2016-12-29.

Methods of fabricating semiconductor devices including hard mask patterning

Номер патента: US09640659B2. Автор: Junggun YOU,Jeongmin Choi,Ingyum Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-05-02.

Method of fabricating gate electrode using a hard mask with spacers

Номер патента: US20110230029A1. Автор: Shiang-Bau Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2011-09-22.

Dual hard mask replacement gate

Номер патента: US20200335336A1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2020-10-22.

Tin hard mask and etch residue removal

Номер патента: MY173184A. Автор: Chen Tianniu,LEE Yi-Chia,Jack Casteel William Jr,Dar Liu Wen,Bhaskara Rao Madhukar,Krishan Agarwal Rajiv. Владелец: Versum Mat Us Llc. Дата публикации: 2020-01-02.

Sensor package with low aspect ratio through silicon via

Номер патента: WO2024118450A1. Автор: Ko Shibata,Daiki Komatsu,Kengo Aoya,Masamitsu Matsuura. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2024-06-06.

Method for forming patterns of a semiconductor device

Номер патента: US20170316950A1. Автор: Kyungmun Byun,Sinhae DO,Badro IM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-11-02.

Patterning of light emitting diode (led) down converter material by roughening techniques

Номер патента: WO2023146766A1. Автор: Rohit Modi,Gregoire Denis. Владелец: LUMILEDS LLC. Дата публикации: 2023-08-03.

Multiply spin-coated ultra-thick hybrid hard mask for sub 60nm MRAM devices

Номер патента: US12108679B2. Автор: Yi Yang,Yu-Jen Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-01.

Multiply Spin-Coated Ultra-Thick Hybrid Hard Mask for Sub 60nm MRAM Devices

Номер патента: US20200136030A1. Автор: Yi Yang,Yu-Jen Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-30.

Multiply Spin-Coated Ultra-Thick Hybrid Hard Mask for Sub 60nm MRAM Devices

Номер патента: US20190259938A1. Автор: Yi Yang,Yu-Jen Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-08-22.

Photoactive metal-based hard mask integration

Номер патента: US12100591B2. Автор: Angelique RALEY,Katie Lutker-Lee. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-09-24.

Metal hard mask for ild rie processing of semiconductor memory devices to prevent oxidation of conductive lines

Номер патента: US20020098676A1. Автор: Xian Ning,Joachim Nuetzel. Владелец: Individual. Дата публикации: 2002-07-25.

Hard mask as contact etch stop layer in image sensors

Номер патента: US09564470B1. Автор: Gang Chen,Duli Mao,Yuanwei Zheng,Dyson Tai. Владелец: Omnivision Technologies Inc. Дата публикации: 2017-02-07.

Photovoltaic Devices with Enhanced Efficiencies Using High-Aspect Ratio Nanostructures

Номер патента: US20140041718A1. Автор: Oki Gunawan,Supratik Guha. Владелец: International Business Machines Corp. Дата публикации: 2014-02-13.

Silicon microphone with high-aspect-ratio corrugated diaphragm and a package with the same

Номер патента: US09930453B2. Автор: Quanbo Zou,Zhe Wang. Владелец: Goertek Inc. Дата публикации: 2018-03-27.

Method for fabricating high aspect ratio electrodes

Номер патента: US20030134502A1. Автор: Hans Gudesen. Владелец: Thin Film Electronics ASA. Дата публикации: 2003-07-17.

Endpoint detection in low open area and/or high aspect ratio etch applications

Номер патента: US12046522B2. Автор: Lei Lian,Shinichi Koseki,Zefang WANG,Quentin Walker. Владелец: Applied Materials Inc. Дата публикации: 2024-07-23.

Batch-processing method for super-high aspect ratio diffractive optics

Номер патента: US20170256330A1. Автор: Nicolaie A. Moldovan. Владелец: Alcorix Co. Дата публикации: 2017-09-07.

Formation of a capacitor using a hard mask

Номер патента: US20200243640A1. Автор: Sanjeev Sapra,Devesh Dadhich Shreeram,Diem Thy N. Tran. Владелец: Micron Technology Inc. Дата публикации: 2020-07-30.

High aspect ratio openings

Номер патента: US09595387B2. Автор: Mark W. Kiehlbauch. Владелец: Micron Technology Inc. Дата публикации: 2017-03-14.

Device applications for voltage switchable dielectric material having high aspect ratio particles

Номер патента: US20080023675A1. Автор: Robert Fleming,Lex Kosowsky. Владелец: Lex Kosowsky. Дата публикации: 2008-01-31.

High aspect ratio non-planar capacitors formed via cavity fill

Номер патента: US20200373381A1. Автор: Sansaptak DASGUPTA,Han Wui Then,Marko Radosavljevic. Владелец: Intel Corp. Дата публикации: 2020-11-26.

Measurement of thick films and high aspect ratio structures

Номер патента: US20230341337A1. Автор: David Y. Wang,Shankar Krishnan. Владелец: KLA Corp. Дата публикации: 2023-10-26.

Method and apparatus to develop lithographically defined high aspect ratio interconnects

Номер патента: US11822249B2. Автор: Pooya Tadayon. Владелец: Intel Corp. Дата публикации: 2023-11-21.

Measurement of thick films and high aspect ratio structures

Номер патента: WO2023205129A1. Автор: David Y. Wang,Shankar Krishnan. Владелец: KLA Corporation. Дата публикации: 2023-10-26.

Endpoint detection in low open area and/or high aspect ratio etch applications

Номер патента: WO2023158478A1. Автор: Lei Lian,Shinichi Koseki,Zefang WANG,Quentin Walker. Владелец: Applied Materials, Inc.. Дата публикации: 2023-08-24.

Patterning of a magnetoresistance structure including two hard masks

Номер патента: US20200075846A1. Автор: Sundar Chetlur,Paolo Campiglio,Maxim Klebanov,Yen Ting Liu. Владелец: Allegro Microsystems Inc. Дата публикации: 2020-03-05.

Sub-lithographic patterning of magnetic tunneling junction devices

Номер патента: US09490424B2. Автор: Yu Lu. Владелец: Qualcomm Inc. Дата публикации: 2016-11-08.

Hard-mask defined bit pattern substrate

Номер патента: US09837274B2. Автор: Kim Y. Lee,XiaoMin Yang,David S. Kuo,Yautzong Hsu,Shuaigang Xiao,Zhaoning Yu. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2017-12-05.

Magnetoresistance structure including two hard masks

Номер патента: US20200136032A1. Автор: Sundar Chetlur,Paolo Campiglio,Maxim Klebanov,Yen Ting Liu. Владелец: Allegro Microsystems Inc. Дата публикации: 2020-04-30.

Light Emitting Diode Devices With Defined Hard Mask Opening

Номер патента: US20210288214A1. Автор: Yu-Chen Shen,Yeow Meng Teo,Erik William Young,Chee Yin Foo. Владелец: LUMILEDS LLC. Дата публикации: 2021-09-16.

Light emitting diode devices with defined hard mask opening

Номер патента: WO2021183414A1. Автор: Yu-Chen Shen,Yeow Meng Teo,Erik William Young,Chee Yin Foo. Владелец: LUMILEDS LLC. Дата публикации: 2021-09-16.

Light emitting diode devices with defined hard mask opening

Номер патента: EP4118687A1. Автор: Yu-Chen Shen,Yeow Meng Teo,Erik William Young,Chee Yin Foo. Владелец: LUMILEDS LLC. Дата публикации: 2023-01-18.

Method of removing hard mask layer

Номер патента: US12040189B2. Автор: Shih-Hsien Huang,Wen Yi Tan,Sen Mao Feng,Ming Xuan Ren. Владелец: United Semiconductor Xiamen Co Ltd. Дата публикации: 2024-07-16.

Color filter including clear pixel and hard mask

Номер патента: US20150091119A1. Автор: Gang Chen,Duli Mao,Howard E. Rhodes,Hsin-Chih Tai. Владелец: Omnivision Technologies Inc. Дата публикации: 2015-04-02.

Hard-mask forming composition and method for manufacturing electronic component

Номер патента: US20190341253A1. Автор: Ryoji Watanabe,Keiichi IBATA. Владелец: Tokyo Ohka Kogyo Co Ltd. Дата публикации: 2019-11-07.

Small aspect ratio mmic power amplifier layout

Номер патента: WO2003005567A1. Автор: Stephen R. Nelson. Владелец: Nanowave, Inc.. Дата публикации: 2003-01-16.

Gate structure with hard mask structure formed thereon and method for forming the same

Номер патента: US09449963B2. Автор: Huang-Kui CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-20.

Hard-mask defined bit pattern substrate

Номер патента: US20160181102A1. Автор: Kim Y. Lee,XiaoMin Yang,David S. Kuo,Yautzong Hsu,Shuaigang Xiao,Zhaoning Yu. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2016-06-23.

Layout pattern of memory cell circuit

Номер патента: US6043521A. Автор: Koji Nii,Koji Shibutani. Владелец: Mitsubishi Electric Corp. Дата публикации: 2000-03-28.

Light emitting diode devices with defined hard mask opening

Номер патента: US11784286B2. Автор: Yu-Chen Shen,Yeow Meng Teo,Erik William Young,Chee Yin Foo. Владелец: LUMILEDS LLC. Дата публикации: 2023-10-10.

Method of removing hard mask layer

Номер патента: US20230317453A1. Автор: Shih-Hsien Huang,Wen Yi Tan,Sen Mao Feng,Ming Xuan Ren. Владелец: United Semiconductor Xiamen Co Ltd. Дата публикации: 2023-10-05.

Hard-mask forming composition, method for manufacturing electronic component, and resin

Номер патента: US11746189B2. Автор: Keiichi IBATA. Владелец: Tokyo Ohka Kogyo Co Ltd. Дата публикации: 2023-09-05.

Patterning of organic film by wet etching process

Номер патента: US20210399206A1. Автор: Yi-Fan Su,Wei Hsiang Weng,Min-Lun Yang,Shaojui Li. Владелец: Qualcomm Inc. Дата публикации: 2021-12-23.

Patterning of multilayer transition metal dichalcogenides

Номер патента: US12085859B2. Автор: Timur Shegai,Battulga Munkhbat. Владелец: Smena Catalysis AB. Дата публикации: 2024-09-10.

Hard mask-forming composition and method for manufacturing electronic component

Номер патента: US11921425B2. Автор: Junichi Tsuchiya,Keiichi IBATA. Владелец: Tokyo Ohka Kogyo Co Ltd. Дата публикации: 2024-03-05.

Hard mask-forming composition and method for manufacturing electronic component

Номер патента: US20230221643A1. Автор: Junichi Tsuchiya,Keiichi IBATA. Владелец: Tokyo Ohka Kogyo Co Ltd. Дата публикации: 2023-07-13.

III-V gate-all-around field effect transistor using aspect ratio trapping

Номер патента: US09590107B2. Автор: SANGHOON Lee,Guy M. Cohen. Владелец: International Business Machines Corp. Дата публикации: 2017-03-07.

Hard-mask composition

Номер патента: US11746255B2. Автор: John Roth,Thomas Lada,Edward Jackson,Alan G. Brown,Alex P. G. Robinson,Guy Dawson. Владелец: Irresistible Materials LTD. Дата публикации: 2023-09-05.

Mounting device for solar modules having a large aspect ratio

Номер патента: CA2674794A1. Автор: Sascha Oliver Schwarze,Maik Schaefer. Владелец: SOLON SE. Дата публикации: 2010-02-13.

Three-dimensional high aspect ratio graphene film composites

Номер патента: EP4244184A2. Автор: Eldad GRADY. Владелец: B2d Holding GmbH. Дата публикации: 2023-09-20.

Differential coating of high aspect ratio objects through methods of reduced flow and dosing variations

Номер патента: US11037770B2. Автор: Matthew Breuer. Владелец: Photonis Scientific Inc. Дата публикации: 2021-06-15.

Multicathode pvd system for high aspect ratio barrier seed deposition

Номер патента: WO2024155779A1. Автор: Harish V. PENMETHSA,Ming-Jui LI. Владелец: Applied Materials, Inc.. Дата публикации: 2024-07-25.

High aspect ratio structure analysis

Номер патента: US09741536B2. Автор: Michael Schmidt,Sang Hoon Lee,Stacey Stone,Jeffrey Blackwood. Владелец: FEI Co. Дата публикации: 2017-08-22.

High aspect ratio silicon oxide etch

Номер патента: US20110059617A1. Автор: Glenn Mitchell,Robert Torres, Jr.,Adam Seymour. Владелец: Matheson Tri-Gas Inc. Дата публикации: 2011-03-10.

Detection system for precision measurements and high resolution inspection of high aspect ratio structures using particle beam devices

Номер патента: IL111384A. Автор: . Владелец: Metrologix Inc. Дата публикации: 1998-07-15.

Monolithic signal coupler for high-aspect ratio solid-state gain media

Номер патента: WO2010085639A1. Автор: David Alan Rockwell,Vladimir V. Shkunov. Владелец: Raytheon Company. Дата публикации: 2010-07-29.

Composite graded-index fiber mode field adaptor for high-aspect-ratio core optical fibers

Номер патента: US10222623B2. Автор: Sean Moore. Владелец: Raytheon Co. Дата публикации: 2019-03-05.

Differential Coating of High Aspect Ratio Objects Through Methods of Reduced Flow and Dosing Variations

Номер патента: US20200006042A1. Автор: Matthew Breuer. Владелец: Photonis Scientific Inc. Дата публикации: 2020-01-02.

Composite graded-index fiber mode field adaptor for high-aspect-ratio core optical fibers

Номер патента: EP3615969A1. Автор: Sean Moore. Владелец: Raytheon Co. Дата публикации: 2020-03-04.

Composite graded-index fiber mode field adaptor for high-aspect-ratio core optical fibers

Номер патента: WO2018200255A1. Автор: Sean Moore. Владелец: Raytheon Company. Дата публикации: 2018-11-01.

Composite graded-index fiber mode field adaptor for high-aspect-ratio core optical fibers

Номер патента: US20180314071A1. Автор: Sean Moore. Владелец: Raytheon Co. Дата публикации: 2018-11-01.

Construction of three-dimensional profiles of high aspect ratio structures using top down imaging

Номер патента: US20200271442A1. Автор: Pradeep Subrahmanyan. Владелец: Applied Materials Inc. Дата публикации: 2020-08-27.

Single-emitter etendue aspect ratio scaler

Номер патента: US20130314922A1. Автор: Joshua Monroe Cobb. Владелец: Corning Inc. Дата публикации: 2013-11-28.

Method for pre-stressing crt tension mask material

Номер патента: WO1997001860A1. Автор: Richard William Nosker. Владелец: Rca Thomson Licensing Corporation. Дата публикации: 1997-01-16.

Printing high aspect ratio patterns

Номер патента: US09750141B2. Автор: Amir Noy. Владелец: Utilight Ltd. Дата публикации: 2017-08-29.

Printing high aspect ratio patterns

Номер патента: EP3119531A1. Автор: Amir Noy. Владелец: Utilight Ltd. Дата публикации: 2017-01-25.

Circuitry with high aspect ratio traces

Номер патента: US20140262444A1. Автор: George Gregoire. Владелец: Individual. Дата публикации: 2014-09-18.

High aspect ratio traces, circuits, and methods for manufacturing and using the same

Номер патента: US20140262456A1. Автор: Brian Edward Richardson. Владелец: Imagine TF LLC. Дата публикации: 2014-09-18.

High aspect ratio traces, circuits, and methods for manufacturing and using the same

Номер патента: US9510458B2. Автор: Brian Edward Richardson. Владелец: Imagine TF LLC. Дата публикации: 2016-11-29.

Method for depositing high aspect ratio molecular structures

Номер патента: US09776206B2. Автор: David Gonzales,David P. Brown,Albert G. Nasibulin,Esko I. Kauppinen. Владелец: CANATU OY. Дата публикации: 2017-10-03.

High aspect ratio traces, circuits, and methods for manufacturing and using the same

Номер патента: US09510458B2. Автор: Brian Edward Richardson. Владелец: Imagine TF LLC. Дата публикации: 2016-11-29.

Display subpixels having multiple emissive areas with high aspect ratios

Номер патента: US11968858B2. Автор: David James Montgomery,Hywel HOPKIN. Владелец: Sharp Display Technology Corp. Дата публикации: 2024-04-23.

Fabrication of high aspect ratio masks

Номер патента: US4018938A. Автор: Ralph Feder,Eberhard A. Spiller. Владелец: International Business Machines Corp. Дата публикации: 1977-04-19.

Method for forming a hard mask with a tapered profile

Номер патента: US20240373755A1. Автор: Shih-Chang Liu,Chern-Yow Hsu,Chang-Ming Wu,Min-Yung KO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-07.

Method for forming a hard mask with a tapered profile

Номер патента: US12114576B2. Автор: Shih-Chang Liu,Chern-Yow Hsu,Chang-Ming Wu,Min-Yung KO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-08.

Improved system of hard precharging for parallel inverters

Номер патента: RU2620128C9. Автор: Давид БАРЛИНИ. Владелец: АЛЬСТОМ Транспор Текноложи. Дата публикации: 2017-08-30.

Method for forming a hard mask with a tapered profile

Номер патента: US20230363285A1. Автор: Shih-Chang Liu,Chern-Yow Hsu,Chang-Ming Wu,Min-Yung KO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-09.

Method and device for monitoring the shape of hard-to-reach components

Номер патента: US20230267631A1. Автор: Boris Anatolyevich CHICHIGIN. Владелец: Turboscan LLC. Дата публикации: 2023-08-24.

Replacement conductive hard mask for multi-step magnetic tunnel junction (mtj) etch

Номер патента: EP3127174A1. Автор: Yu Lu,Wei-Chuan Chen,Chando Park. Владелец: Qualcomm Inc. Дата публикации: 2017-02-08.

Aspect ratio conversion for automated image generation

Номер патента: US20240297957A1. Автор: Pavel Savchenkov,Jian Ren,Arnab Ghosh,Sergey Smetanin,Mykyta Bakunov. Владелец: Snap Inc. Дата публикации: 2024-09-05.

Aspect ratio enhancement

Номер патента: US20110279729A1. Автор: Scott C. Harris. Владелец: Harris Technology LLC. Дата публикации: 2011-11-17.

Method and apparatus for dynamically adjusting aspect ratio of images during a video call

Номер патента: US20140375758A1. Автор: Gil Osher,Itay Bianco,Sagie Machlin,Ido MINTZ. Владелец: VONAGE NETWORK LLC. Дата публикации: 2014-12-25.

Aspect ratio conversion for automated image generation

Номер патента: WO2024182144A1. Автор: Pavel Savchenkov,Jian Ren,Arnab Ghosh,Sergey Smetanin,Mykyta Bakunov. Владелец: Snap Inc.. Дата публикации: 2024-09-06.

Signaling changes in aspect ratio of media content

Номер патента: US12069323B2. Автор: Peter Shintani,Adam Goldberg. Владелец: Sony Group Corp. Дата публикации: 2024-08-20.

Data communication method for a set of hard-real time applications within a network

Номер патента: US09912569B2. Автор: John Fanson. Владелец: Edgewater Computer Systems Inc. Дата публикации: 2018-03-06.

Variable aspect ratio media screen frame

Номер патента: US09880456B1. Автор: Robert Yellin,Dan Poirier. Владелец: Individual. Дата публикации: 2018-01-30.

Hard mask process for memory device without bitline shorts

Номер патента: GB2407913A. Автор: Yider Wu,Tazrien Kamal,Jean Y Yang,Mark T Ramsbey,Hidehiko Shiraiwa,Emmanuil Lingunis. Владелец: SPANSION LLC. Дата публикации: 2005-05-11.

Aspect ratio error recognition

Номер патента: EP3742392A1. Автор: leonid Sigal,Erika Elizabeth VARIS DOGGETT,Anna M. C. Wolak. Владелец: Disney Enterprises Inc. Дата публикации: 2020-11-25.

Aspect ratio error recognition

Номер патента: US20200374585A1. Автор: leonid Sigal,Erika Elizabeth VARIS DOGGETT,Anna M.C. WOLAK. Владелец: Disney Enterprises Inc. Дата публикации: 2020-11-26.

Conversion between aspect ratios in camera

Номер патента: US11722631B2. Автор: Scott Patrick Campbell,Sumit Chawla,Nicholas D. Woodman,Loic Segapelli. Владелец: GoPro Inc. Дата публикации: 2023-08-08.

Image output method to displays with different aspect ratios

Номер патента: EP1806730A4. Автор: Sachiyo Aoki. Владелец: Sony Computer Entertainment Inc. Дата публикации: 2009-07-01.

Producing an image of desired aspect ratio

Номер патента: EP1649681A1. Автор: Jiebo Luo. Владелец: Eastman Kodak Co. Дата публикации: 2006-04-26.

Aspect ratio enhancement

Номер патента: US20140320741A1. Автор: Scott C. Harris. Владелец: Harris Technology LLC. Дата публикации: 2014-10-30.

Systems and methods for identifying a video aspect-ratio frame attribute

Номер патента: US09992445B1. Автор: Hank J. Hundemer,Dana A. Lasher. Владелец: Tribune Broadcasting Co LLC. Дата публикации: 2018-06-05.

Systems and methods for identifying a video aspect-ratio frame attribute

Номер патента: US09621844B1. Автор: Dana A. Lasher,Hank J Hundemer. Владелец: Tribune Broadcasting Co LLC. Дата публикации: 2017-04-11.

Aspect ratio validation

Номер патента: US09594947B1. Автор: Charles Benjamin Franklin Waggoner,Srikanth Kotagiri. Владелец: Amazon Technologies Inc. Дата публикации: 2017-03-14.

Decoder for extracting a 4:3 aspect ratio signal from a high definition television signal

Номер патента: CA1231172A. Автор: Glenn A. Reitmeier,Curtis R. Carlson. Владелец: RCA Corp. Дата публикации: 1988-01-05.

Signaling changes in aspect ratio of media content

Номер патента: US20240056617A1. Автор: Peter Shintani,Adam Goldberg. Владелец: Sony Group Corp. Дата публикации: 2024-02-15.

Signaling changes in aspect ratio of media content

Номер патента: WO2024033746A1. Автор: Peter Shintani,Adam Goldberg. Владелец: Sony Group Corporation. Дата публикации: 2024-02-15.

Led video cabinet having a native 16:9 aspect ratio

Номер патента: US20180084217A1. Автор: Michael Wiener. Владелец: Aeson Led Display Technologies Inc. Дата публикации: 2018-03-22.

Dynamic Aspect Ratio Adjustment During Video Conferencing

Номер патента: US20230412656A1. Автор: Chi-Chian Yu. Владелец: Zoom Video Communications Inc. Дата публикации: 2023-12-21.

Data communication method for a set of hard-real time applications within a network

Номер патента: US20130294267A1. Автор: John Fanson. Владелец: EDGEWATER COMPUTER SYSTEMS, INC.. Дата публикации: 2013-11-07.

Aspect ratio modifying imaging systems and methods

Номер патента: US11982797B2. Автор: Michael D. Walters,Alan D. Kathman,David Ovrutsky. Владелец: Teledyne Flir Commercial Systems Inc. Дата публикации: 2024-05-14.

Systems and methods for dynamically adjusting video aspect ratios

Номер патента: US20210105433A1. Автор: Jonathan Bloch,Barak Feldman,Tal Zubalsky,Yuval Hofshy,Tomer Lahav. Владелец: JBF Interlude 2009 LTD. Дата публикации: 2021-04-08.

Dynamic aspect ratio adjustment during video conferencing

Номер патента: WO2023249832A1. Автор: Chi-Chian Yu. Владелец: Zoom Video Communications, Inc.. Дата публикации: 2023-12-28.

Aspect ratio modifying imaging systems and methods

Номер патента: EP3963878A1. Автор: Michael D. Walters,Alan D. Kathman,David Ovrutsky. Владелец: Flir Commercial Systems Inc. Дата публикации: 2022-03-09.

Data communication method for a set of hard real- time applications

Номер патента: WO2009073961A1. Автор: John Fanson. Владелец: EDGEWATER COMPUTER SYSTEMS, INC.. Дата публикации: 2009-06-18.

Aspect ratio modifying imaging systems and methods

Номер патента: WO2020223047A1. Автор: Michael D. Walters,Alan D. Kathman,David Ovrutsky. Владелец: Flir Commercial Systems, Inc.. Дата публикации: 2020-11-05.

Image aspect ratio adjustment system and method

Номер патента: US20080151100A1. Автор: Leonard Tsai. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2008-06-26.

Non-linear aspect ratio adaptation

Номер патента: MY113126A. Автор: Maria Kettenis Jeroen. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2001-11-30.

Modifying A Virtual Background Object Aspect Ratio

Номер патента: US20240323314A1. Автор: Thanh Le Nguyen. Владелец: Zoom Video Communications Inc. Дата публикации: 2024-09-26.

Device and method to determine an aspect ratio and a format of an immersive image

Номер патента: US12137299B2. Автор: Daniel Pohl. Владелец: Immervr GmbH. Дата публикации: 2024-11-05.

Television receiver with scan velocity modulation being adjusted according to aspect ratio

Номер патента: US5351094A. Автор: Takao Washino. Владелец: Funai Electric Co Ltd. Дата публикации: 1994-09-27.

Method and system for video image aspect ratio conversion

Номер патента: EP1848203B2. Автор: Okyay Vestel Elektronik Demiryurekli. Владелец: Vestel Elektronik Sanayi ve Ticaret AS. Дата публикации: 2018-05-02.

Combined image pickup and signal recorder for video signals derived from images having different aspect ratios

Номер патента: US5416598A. Автор: Tadashi Ezaki. Владелец: Sony Corp. Дата публикации: 1995-05-16.

Conversion between aspect ratios in camera

Номер патента: WO2015026864A1. Автор: Scott Patrick Campbell,Sumit Chawla,Nicholas D. Woodman,Loic Segapelli. Владелец: GoPro, Inc.. Дата публикации: 2015-02-26.

Management of aspect ratio in hierarchical coding schemes

Номер патента: US20230379483A1. Автор: Daniele SPARANO. Владелец: V Nova International Ltd. Дата публикации: 2023-11-23.

Conversion between aspect ratios in camera

Номер патента: US20230412754A1. Автор: Scott Patrick Campbell,Sumit Chawla,Nicholas D. Woodman,Loic Segapelli. Владелец: GoPro Inc. Дата публикации: 2023-12-21.

Conversion between aspect ratios in camera

Номер патента: US20210037194A1. Автор: Scott Patrick Campbell,Sumit Chawla,Nicholas D. Woodman,Loic Segapelli. Владелец: GoPro Inc. Дата публикации: 2021-02-04.

Conversion between aspect ratios in camera

Номер патента: EP3036892A1. Автор: Scott Patrick Campbell,Sumit Chawla,Nicholas D. Woodman,Loic Segapelli. Владелец: GoPro Inc. Дата публикации: 2016-06-29.

Conversion Between Aspect Ratios in Camera

Номер патента: US20150077586A1. Автор: Scott Patrick Campbell,Sumit Chawla,Nicholas D. Woodman,Loic Segapelli. Владелец: GoPro Inc. Дата публикации: 2015-03-19.

Conversion Between Aspect Ratios in Camera

Номер патента: US20160173767A1. Автор: Scott Patrick Campbell,Sumit Chawla,Nicholas D. Woodman,Loic Segapelli. Владелец: GoPro Inc. Дата публикации: 2016-06-16.

Conversion between aspect ratios in camera

Номер патента: US20220086369A1. Автор: Scott Patrick Campbell,Sumit Chawla,Nicholas D. Woodman,Loic Segapelli. Владелец: GoPro Inc. Дата публикации: 2022-03-17.

Conversion between aspect ratios in camera

Номер патента: US10015413B1. Автор: Scott Patrick Campbell,Sumit Chawla,Nicholas D. Woodman,Loic Segapelli. Владелец: GoPro Inc. Дата публикации: 2018-07-03.

Conversion between aspect ratios in camera

Номер патента: US9674429B2. Автор: Scott Patrick Campbell,Sumit Chawla,Nicholas D. Woodman,Loic Segapelli. Владелец: GoPro Inc. Дата публикации: 2017-06-06.

Management of aspect ratio in hierarchical coding schemes

Номер патента: GB2615258A. Автор: Sparano Daniele. Владелец: V Nova International Ltd. Дата публикации: 2023-08-02.

Management of aspect ratio in hierarchical coding schemes

Номер патента: EP4226619A1. Автор: Daniele SPARANO. Владелец: V Nova International Ltd. Дата публикации: 2023-08-16.

Screen aspect-ratio conversion apparatus and method

Номер патента: US20060146190A1. Автор: Young-Ho Lee,Won-seok Ahn,Jae-oh Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-07-06.

Hard mask for patterning magnetic tunnel junctions

Номер патента: WO2016195946A1. Автор: Lin Xue,Mahendra Pakala,Jaesoo AHN,Ha CHEN. Владелец: Applied Materials, Inc.. Дата публикации: 2016-12-08.

Video Teleconferencing System Employing Aspect Ratio Transformation

Номер патента: CA2119776A1. Автор: Eric John Addeo,Thomas Helm Judd,Michael Haysom Bianchi,Henri Edouard Tohme. Владелец: Individual. Дата публикации: 1993-04-15.

Control system for aspect ratio and magnification of a raster output scanner

Номер патента: US5530642A. Автор: Robert M. Lofthus,Aron Nacman,Stuart A. Schweid,Michael S. Cianciosi. Владелец: Xerox Corp. Дата публикации: 1996-06-25.

Video tape recorder with recording of a code to identify picture aspect ratio

Номер патента: US5150218A. Автор: Tadashi Ezaki. Владелец: Sony Corp. Дата публикации: 1992-09-22.

Two-way adjustable structure of hard disk box

Номер патента: US20170269640A1. Автор: Szu-Tien Chen. Владелец: inXtron Inc. Дата публикации: 2017-09-21.

Aspect ratio conversion for imagers having random row access

Номер патента: EP1259068A3. Автор: Donald Henry Willis. Владелец: Thomson Licensing SAS. Дата публикации: 2004-01-07.

Systems and methods for adjusting aspect ratio of content slot

Номер патента: WO2022213303A1. Автор: Chunlei Zhu,Weiming Liu,Zekan Qian. Владелец: Google LLC. Дата публикации: 2022-10-13.

Systems and Methods for Adjusting an Aspect Ratio of a Content Slot

Номер патента: US20240205497A1. Автор: Chunlei Zhu,Weiming Liu,Zekan Qian. Владелец: Google LLC. Дата публикации: 2024-06-20.

Systems and methods for adjusting aspect ratio of content slot

Номер патента: EP4094169A1. Автор: Chunlei Zhu,Weiming Liu,Zekan Qian. Владелец: Google LLC. Дата публикации: 2022-11-30.

Camera and method with widescreen image on nearly-square aspect ratio photosensor array

Номер патента: US09936123B2. Автор: Kevin Johnson. Владелец: Omnivision Technologies Inc. Дата публикации: 2018-04-03.

Method and apparatus for correcting aspect ratio in a camera graphical user interface

Номер патента: US5973734A. Автор: Eric C. Anderson. Владелец: FlashPoint Technology Inc. Дата публикации: 1999-10-26.

Apparatus for controlling caption display on a wide aspect ratio

Номер патента: US5680176A. Автор: Min-Soo Cho. Владелец: Daewoo Electronics Co Ltd. Дата публикации: 1997-10-21.

Self-adaptive display aspect ratio adjuster and gesture on rolling devices

Номер патента: US11908363B2. Автор: Ming Li,Jue LI. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-02-20.

High aspect ratio patterning of glass film

Номер патента: US20020123007A1. Автор: Ljerka Ukrainczyk,Robert Bellman. Владелец: Individual. Дата публикации: 2002-09-05.

High aspect ratio patterning of glass film

Номер патента: EP1233933A1. Автор: Ljerka Ukrainczyk,Alan B. Bellman. Владелец: Corning Inc. Дата публикации: 2002-08-28.

High Aspect Ratio Microstructures

Номер патента: US20100330502A1. Автор: John Christopher Rudin. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2010-12-30.

Multi-axial grid or mesh structures with high aspect ratio ribs

Номер патента: US09556580B2. Автор: Anthony T. Walsh. Владелец: Tensar Technologies Ltd. Дата публикации: 2017-01-31.

Heart rate sensor with high-aspect-ratio photodetector element

Номер патента: US09392946B1. Автор: Peter W. Richards,Chris H. Sarantos. Владелец: Fitbit LLC. Дата публикации: 2016-07-19.

Method of making grating structures having high aspect ratio

Номер патента: EP1747485A1. Автор: Stefano Sardo,Sergio Doneda,Romano Morson. Владелец: Pirelli and C SpA. Дата публикации: 2007-01-31.

Multi-axial grid or mesh structures with high aspect ratio ribs

Номер патента: CA2715473A1. Автор: Anthony T. Walsh. Владелец: Tensar Technologies Ltd. Дата публикации: 2009-08-20.

High aspect ratio vacuum air sampling assembly

Номер патента: US20230194393A1. Автор: Thomas E. Kane,Michael A. Goss,Jason S. HERRINGTON. Владелец: RESTEK CORPORATION. Дата публикации: 2023-06-22.

Multi-axial grid or mesh structures with high aspect ratio ribs

Номер патента: US11834795B2. Автор: Anthony T. Walsh. Владелец: Tensar Technologies Ltd. Дата публикации: 2023-12-05.

Masking high-aspect ratio structures

Номер патента: WO2008150930A2. Автор: Florian Solzbacher,Sandeep Negi,Rajmohan Bhandari,Richard Normann. Владелец: UNIVERSITY OF UTAH RESEARCH FOUNDATION. Дата публикации: 2008-12-11.

Method and device for generating airy light needle with long depth of focus and high aspect ratio

Номер патента: US20240201484A1. Автор: Ligang Wang,Lai Chen. Владелец: Zhejiang University ZJU. Дата публикации: 2024-06-20.

Method of forming 3D micro structures with high aspect ratios

Номер патента: US20080233522A1. Автор: Wen-Cheng Yang,Chien-Chung Fu,Heng-Chi Huang. Владелец: National Tsing Hua University NTHU. Дата публикации: 2008-09-25.

Inside out molding of high aspect ratio containers

Номер патента: WO1993016859A1. Автор: Paul J. Gelardi. Владелец: Gelardi Paul J. Дата публикации: 1993-09-02.

Atomic force microscope for profiling high aspect ratio samples

Номер патента: WO2000020823A3. Автор: Kamal Youcef-Toumi,Bernardo D Aumond. Владелец: Massachusetts Inst Technology. Дата публикации: 2000-09-21.

Multi-layer high-aspect ratio x-ray grating and method of manufacture

Номер патента: CA3228784A1. Автор: Karim S. Karim,Abdollah PIL-ALI. Владелец: Individual. Дата публикации: 2023-02-23.

Multicathode pvd system for high aspect ratio barrier seed deposition

Номер патента: US20240247365A1. Автор: Harish V. PENMETHSA,Ming-Jui LI. Владелец: Applied Materials Inc. Дата публикации: 2024-07-25.

High-aspect-ratio imprinted structure

Номер патента: US20150276988A1. Автор: Ronald Steven Cok. Владелец: Individual. Дата публикации: 2015-10-01.

System for directly measuring the depth of a high aspect ratio etched feature on a wafer

Номер патента: US20140110582A1. Автор: David L. Grant,David S. Marx. Владелец: Rudolph Technologies Inc. Дата публикации: 2014-04-24.

Dynamic pulse plating for high aspect ratio features

Номер патента: WO2003010364A3. Автор: Yezdi Dordi,H Peter W Hey. Владелец: Applied Materials Inc. Дата публикации: 2004-11-18.

Dynamic pulse plating for high aspect ratio features

Номер патента: WO2003010364A2. Автор: Yezdi Dordi,H. Peter W. Hey. Владелец: Applied Materials, Inc.. Дата публикации: 2003-02-06.

Atomic force microscope for profiling high aspect ratio samples

Номер патента: WO2000020823B1. Автор: Kamal Youcef-Toumi,Bernardo D Aumond. Владелец: Massachusetts Inst Technology. Дата публикации: 2000-11-02.

Multi-layer high-aspect ratio x-ray grating and method of manufacture

Номер патента: US20240353353A1. Автор: Karim S. Karim,Abdollah PIL-ALI. Владелец: Individual. Дата публикации: 2024-10-24.

Hydrodynamic separation using high aspect ratio channels

Номер патента: US09968869B2. Автор: Armin R. Völkel,John S. Paschkewitz,Kai Melde,Huangpin B. Hsieh. Владелец: Palo Alto Research Center Inc. Дата публикации: 2018-05-15.

Method for aligning high aspect ratio materials and compositions therefrom

Номер патента: US09637612B2. Автор: Ehsan Moaseri,Morteza Maghrebi,Majid Baniadam. Владелец: Ferdowsi University of Mashhad. Дата публикации: 2017-05-02.

System for directly measuring the depth of a high aspect ratio etched feature on a wafer

Номер патента: US09587932B2. Автор: David L. Grant,David S. Marx. Владелец: Rudolph Technologies Inc. Дата публикации: 2017-03-07.

High-aspect-ratio imprinted structure

Номер патента: US09519080B2. Автор: Ronald Steven Cok. Владелец: Eastman Kodak Co. Дата публикации: 2016-12-13.

Process for the preparation of high aspect ratio silver bromoiodide emulsions

Номер патента: CA1175701A. Автор: Richard L. Daubendiek,Ronald W. Strong. Владелец: Eastman Kodak Co. Дата публикации: 1984-10-09.

Optical fingerprint sensor with high aspect-ratio metal aperture structures

Номер патента: US20230306778A1. Автор: Shih-Hsin Hsu,Jau-Jan Deng. Владелец: Omnivision Technologies Inc. Дата публикации: 2023-09-28.

Fluidic die with high aspect ratio power bond pads

Номер патента: US11951739B2. Автор: Gary G. Lutnesky,James R. Przybyla,Rogelio Cicili,Eric Thomas Martin. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2024-04-09.

Method for producing a metal component having a section with a high aspect ratio

Номер патента: US20220402028A1. Автор: Moritz STOLPE. Владелец: Heraeus Amloy Technologies GmbH. Дата публикации: 2022-12-22.

High-aspect-ratio magnesium hydroxide

Номер патента: CA2813682C. Автор: Shigeo Miyata,Hitoshi Manabe,Daisuke Kudo. Владелец: Sea Water Chemical Institute Inc. Дата публикации: 2017-12-12.

Fabrication methods for high aspect ratio microneedles and tools

Номер патента: WO2023225540A2. Автор: David A. Steele,Mark A. Webb,Marc S. CHOOLJIAN,Charles V. NGUYEN. Владелец: Mekonos Inc.. Дата публикации: 2023-11-23.

Fabrication methods for high aspect ratio microneedles and tools

Номер патента: WO2023225540A3. Автор: David A. Steele,Mark A. Webb,Marc S. CHOOLJIAN,Charles V. NGUYEN. Владелец: Mekonos Inc.. Дата публикации: 2024-03-28.

Photographic image transfer film unit including tabular silver halide grains with high aspect ratio

Номер патента: CA1174885A. Автор: Cynthia G. Jones,Cliff O. Hill. Владелец: Eastman Kodak Co. Дата публикации: 1984-09-25.

Production of micromoldings having a high aspect ratio

Номер патента: US5298367A. Автор: Gerhard Hoffmann,Peter Hoessel,Juergen Langen,Thomas Wuensch. Владелец: BASF SE. Дата публикации: 1994-03-29.

High Efficiency Swim Fin using Multiple High Aspect Ratio Hydrodynamic Vanes with Pliable Hinges and Rotation Limiters

Номер патента: US20120115377A1. Автор: David Woods. Владелец: Individual. Дата публикации: 2012-05-10.

Optical metasurfaces with high aspect ratio unit cells for large deflection angles

Номер патента: EP4302140A1. Автор: Alexander Yutong Zhu,Cameron Robert Nelson. Владелец: Corning Inc. Дата публикации: 2024-01-10.

Optical metasurfaces with high aspect ratio unit cells for large deflection angles

Номер патента: US20240151876A1. Автор: Alexander Yutong Zhu,Cameron Robert Nelson. Владелец: Corning Inc. Дата публикации: 2024-05-09.

High aspect ratio light fixture and film for use therein

Номер патента: CA1316159C. Автор: Sanford Cobb, Jr.,John F. Dreyer, Jr.. Владелец: Minnesota Mining and Manufacturing Co. Дата публикации: 1993-04-13.

High aspect-ratio X-ray diffractive structure stabilization methods and systems

Номер патента: US7864426B2. Автор: Yan Feng,Alan Francis Lyon,Wenbing Yun. Владелец: Xradia Inc. Дата публикации: 2011-01-04.

Processes for improving high aspect ratio cellulose filament blends

Номер патента: EP4335900A2. Автор: Tobias Ziegenbein. Владелец: Mercer International Inc. Дата публикации: 2024-03-13.

Plasmonic lithography for patterning high aspect-ratio nanostructures

Номер патента: US11874480B2. Автор: Xi Chen,Lingjie Jay Guo. Владелец: University of Michigan. Дата публикации: 2024-01-16.

Processes for improving high aspect ratio cellulose filament blends

Номер патента: US20190316293A1. Автор: Tobias Ziegenbein. Владелец: Mercer International Inc. Дата публикации: 2019-10-17.

Processes for improving high aspect ratio cellulose filament blends

Номер патента: EP4335900A3. Автор: Tobias Ziegenbein. Владелец: Mercer International Inc. Дата публикации: 2024-05-15.

Multi-layer high-aspect ratio x-ray grating and method of manufacture

Номер патента: WO2023019355A1. Автор: Karim S. Karim,Abdollah PIL-ALI. Владелец: Pil Ali Abdollah. Дата публикации: 2023-02-23.

High aspect agricultural or off-road tire

Номер патента: EP1017574A1. Автор: Steven George Bishel,David Busse Weed,Andrew Caperton Shorter. Владелец: Goodyear Tire and Rubber Co. Дата публикации: 2000-07-12.

Method for producing thin graphite flakes with large aspect ratios

Номер патента: US5186919A. Автор: L. Roy Bunnell. Владелец: Battelle Memorial Institute Inc. Дата публикации: 1993-02-16.

High aspect ratio solid particulate filtering apparatus

Номер патента: CA1186637A. Автор: Max R. Montierth. Владелец: Corning Glass Works. Дата публикации: 1985-05-07.

Double-jet precipitation process for preparation of tabular grains of silver chloride with high aspect ratio

Номер патента: CA1175691A. Автор: Jong-Shinn Wey. Владелец: Eastman Kodak Co. Дата публикации: 1984-10-09.

Silver bromide emulsions with tabular grains of high aspect ratio

Номер патента: CA1175699A. Автор: Andre G.E. Mignot. Владелец: Eastman Kodak Co. Дата публикации: 1984-10-09.

Biocompatible high aspect-ratio porous membrane

Номер патента: US11786869B2. Автор: Douglas Albagli,William A HENNESSY. Владелец: Global Life Sciences Solutions USA LLC. Дата публикации: 2023-10-17.

Biocompatible high aspect-ratio porous membrane

Номер патента: US20230415100A1. Автор: William A. Hennessy,Douglas Albagli. Владелец: Global Life Sciences Solutions USA LLC. Дата публикации: 2023-12-28.

Biocompatible high aspect-ratio porous membrane

Номер патента: EP4132690A1. Автор: Douglas Albagli,William A HENNESSY. Владелец: Global Life Sciences Solutions USA LLC. Дата публикации: 2023-02-15.

Biocompatible high aspect-ratio porous membrane

Номер патента: WO2021204715A1. Автор: Douglas Albagli,William A HENNESSY. Владелец: Cytiva Sweden AB. Дата публикации: 2021-10-14.

High aspect ratio layered scrub

Номер патента: US20200046194A1. Автор: Timothy Paul Busby. Владелец: Bright Box Lab LLC. Дата публикации: 2020-02-13.

A process for modifying the surface of hard engineering ceramic materials

Номер патента: WO1989004239A1. Автор: Christopher Anthony Brookes. Владелец: J.H. Fenner & Co, Ltd.. Дата публикации: 1989-05-18.

Breeding method of new variety of hard-shelled razor clams

Номер патента: US20230292722A1. Автор: Lin He,Jing He,Zhihua Lin,Hongqiang XU,Yinghui DONG,Tianbao MO,Hanhan YAO. Владелец: Zhejiang Wanli University. Дата публикации: 2023-09-21.

Method of etching magnetoresistive film by using a plurality of metal hard masks

Номер патента: US20090110960A1. Автор: Kosuke Tanaka. Владелец: TDK Corp. Дата публикации: 2009-04-30.

Debris removal in high aspect structures

Номер патента: WO2009039088A1. Автор: Kenneth Gilbert Roessler,Tod Evan Robinson,Bernabe J. Arruza. Владелец: RAVE, LLC. Дата публикации: 2009-03-26.

Debris removal in high aspect structures

Номер патента: EP2198451A1. Автор: Kenneth Gilbert Roessler,Tod Evan Robinson,Bernabe J. Arruza. Владелец: Rave LLC. Дата публикации: 2010-06-23.

Aqueous slurry for making a powder of hard material

Номер патента: US20170001916A1. Автор: Pankaj K. Mehrotra,Neal S. Myers,Pankaj B. Trivedi. Владелец: Kennametal Inc. Дата публикации: 2017-01-05.

Aqueous slurry for making a powder of hard material

Номер патента: US09796633B2. Автор: Pankaj K. Mehrotra,Neal S. Myers,Pankaj B. Trivedi. Владелец: Kennametal Inc. Дата публикации: 2017-10-24.

Aqueous slurry for making a powder of hard material

Номер патента: US09475945B2. Автор: Pankaj K. Mehrotra,Neal S. Myers,Pankaj B. Trivedi. Владелец: Kennametal Inc. Дата публикации: 2016-10-25.

Composition for dissolution / removal of hardness salts

Номер патента: RU2715205C1. Автор: Мстислав Игоревич Лавров. Владелец: Крива Тарас Николаевич. Дата публикации: 2020-02-25.

Method of development of hard-to-recover hydrocarbon deposits

Номер патента: RU2741644C1. Автор: Отто Гуйбер. Владелец: Прифолио Инвестментс Лимитед. Дата публикации: 2021-01-28.

A steel member and a method of hard-facing thereof

Номер патента: WO2004076116A1. Автор: Darren Muir,John Mccracken. Владелец: Bradken Resources Pty Ltd. Дата публикации: 2004-09-10.

Apparatus for the automatic soldering-on of hard metal teeth

Номер патента: US4564136A. Автор: Norbert Gerling,Peter Kähny. Владелец: KAHNY MASCHINENBAU GmbH. Дата публикации: 1986-01-14.

A steel member and a method of hard-facing thereof

Номер патента: CA2517570C. Автор: Darren Muir,John Mccracken. Владелец: Bradken Resources Pty Ltd. Дата публикации: 2012-12-18.

Method and device for allocating resource of hard disk in distributed storage system

Номер патента: US20210208802A1. Автор: Jingwen Ding. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2021-07-08.

Method and storage medium for detecting performance of hard disks

Номер патента: US20240070043A1. Автор: WEI Wei,Jie Yuan. Владелец: Fulian Precision Electronics Tianjin Co Ltd. Дата публикации: 2024-02-29.

Flexible image aspect ratio using machine learning

Номер патента: US20240311960A1. Автор: Han Xu,XIAO Feng,Dilip Krishnan,Huiwen Chang,Mengjie WANG,Yuanzhen Li,Yihui WANG,Omer Gimenez,AJ Maschinot. Владелец: Google LLC. Дата публикации: 2024-09-19.

Flexible image aspect ratio using machine learning

Номер патента: EP4298591A1. Автор: designation of the inventor has not yet been filed The. Владелец: Google LLC. Дата публикации: 2024-01-03.

Treatment method of hard smooth surface

Номер патента: RU2376125C2. Автор: Хокан ТЮСЕЛЛЬ. Владелец: Хтк Свиден Аб. Дата публикации: 2009-12-20.

Treatment method of hard smooth floor surface

Номер патента: RU2376124C2. Автор: Хокан ТЮСЕЛЛЬ. Владелец: Хтк Свиден Аб. Дата публикации: 2009-12-20.

Device for applying layers of hard material by dusting

Номер патента: US6315877B1. Автор: Klaus Goedicke,Fred Fietzke. Владелец: Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV. Дата публикации: 2001-11-13.

Wire electrode for the spark-erosive cutting of hard metal

Номер патента: US20010042735A1. Автор: Ingo Baumann,Bernd Barthel. Владелец: Individual. Дата публикации: 2001-11-22.

Hard mask deposition using direct current superimposed radio frequency plasma

Номер патента: US11773484B2. Автор: Toshihiko Iwao,Jianping Zhao,Peter Lowell George Ventzek. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-10-03.

Control of hard water scaling in electrochemical cells

Номер патента: WO2011083443A3. Автор: Erik C. Olson,Kim R. Smith,Patrick H. Kilawee,Jenna M. Johnson. Владелец: ECOLAB USA INC.. Дата публикации: 2011-12-08.

Method of determining the quality of hard gold

Номер патента: US20020196436A1. Автор: Chen Xu,Joseph Abys,Alan Blair,Michael Ammerman,E. Kudrak. Владелец: Lucent Technologies Inc. Дата публикации: 2002-12-26.

Aspect ratio validation

Номер патента: US10235570B1. Автор: Charles Benjamin Franklin Waggoner,Srikanth Kotagiri. Владелец: Amazon Technologies Inc. Дата публикации: 2019-03-19.

Foam furniture molded around a hollow shell of hard plastic

Номер патента: US09420890B2. Автор: Youn Jae Lee. Владелец: Zinus Inc. Дата публикации: 2016-08-23.

Method and system for the production of hard plaster

Номер патента: US9340455B2. Автор: Tobias Gehle,Volker Goecke. Владелец: Claudius Peters Projects GmbH. Дата публикации: 2016-05-17.

Seal element of hard material sintered from a semi-finished form with organic binder

Номер патента: US4983355A. Автор: R. Knapp Alfons. Владелец: Masco Corp of Indiana. Дата публикации: 1991-01-08.

Method and apparatus for the treatment of hard biological material, such as hard dental material using lasers

Номер патента: US5415652A. Автор: Gerhard Mueller,Thomas Ertl. Владелец: SIEMENS AG. Дата публикации: 1995-05-16.

Improvements in and relating to the manufacture of hard alloys

Номер патента: GB429828A. Автор: . Владелец: British Thomson Houston Co Ltd. Дата публикации: 1935-06-05.

Method and system for the production of hard plaster

Номер патента: CA2737065C. Автор: Tobias Gehle,Volker Goecke. Владелец: Claudius Peters Projects GmbH. Дата публикации: 2016-11-29.

Aqueous slurry for making a powder of hard material

Номер патента: US20150096467A1. Автор: Pankaj K. Mehrotra,Neal S. Myers,Pankaj B. Trivedi. Владелец: Kennametal Inc. Дата публикации: 2015-04-09.

Grinding of hard substrates

Номер патента: EP4355836A1. Автор: Rajiv K. Singh,Sunny DE. Владелец: Entegris Inc. Дата публикации: 2024-04-24.

Apparatus and method for displaying browser graphic according to aspect ratio

Номер патента: WO2004077288A1. Автор: Kil-Soo Jung,Hyun-Kwon Chung. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2004-09-10.

Apparatus and method for displaying browser graphic according to aspect ratio

Номер патента: EP1599791A1. Автор: Kil-Soo Jung,Hyun-Kwon Chung. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2005-11-30.

Method for determining pattern of mold for imprint, imprint method, and apparatus

Номер патента: US09829789B2. Автор: Keita Sakai,Setsuo Yoshida,Masayuki Tanabe,Hitoshi Nakano. Владелец: Canon Inc. Дата публикации: 2017-11-28.

Method for combined transformation of the scale and aspect ratio of a picture

Номер патента: US09747863B2. Автор: Marina Nicolas. Владелец: STMicroelectronics Grenoble 2 SAS. Дата публикации: 2017-08-29.

Polydiorganosiloxane polymide copolymers having organic soft segments

Номер патента: US09527965B2. Автор: Stephen A. Johnson,Audrey A. Sherman,Richard G. Hansen. Владелец: 3M Innovative Properties Co. Дата публикации: 2016-12-27.

Oil reflecting plates of hard rock crusher counterweight

Номер патента: RU2471559C1. Автор: Эндрью БРАУН,Курт О'БРАЙАН. Владелец: Эф-Эл-Смидт А/С. Дата публикации: 2013-01-10.

Device for spiral-cutting of hard material

Номер патента: RU2649488C1. Автор: Рудольф ШИЛЛХАЙМ. Владелец: Гефу Кюхенбосс Гмбх Энд Ко. Кг. Дата публикации: 2018-04-03.

Pair of seal members of hard material having a low friction coefficient

Номер патента: CA1338111C. Автор: Alfons Knapp. Владелец: Masco Corp. Дата публикации: 1996-03-05.

Process for the synthesis of hard boron nitride

Номер патента: US5316804A. Автор: Akira Nakayama,Nobuhiko Fujita,Tadashi Tomikawa,Shyoji Nakagama. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 1994-05-31.

Cartridge for faucets having small plates of hard material and a metallic covering

Номер патента: CA1299973C. Автор: Alfons Knapp. Владелец: Masco Corp of Indiana. Дата публикации: 1992-05-05.

Satellite having multiple aspect ratios

Номер патента: WO2012108908A1. Автор: Walter Gelon. Владелец: SPACE SYSTEMS/LORAL, INC.. Дата публикации: 2012-08-16.

LCD controller to hold a fixed image aspect ratio

Номер патента: US20040217982A1. Автор: Ming Wang. Владелец: Etron Technology Inc. Дата публикации: 2004-11-04.

Pneumatic tire with tread including blocks having approximately equal aspect ratios

Номер патента: US7404423B2. Автор: Takayuki Suzuki,Toshiro Oyama. Владелец: Yokohama Rubber Co Ltd. Дата публикации: 2008-07-29.

Control of hard water scaling in electrochemical cells

Номер патента: WO2011083443A2. Автор: Erik C. Olson,Kim R. Smith,Patrick H. Kilawee,Jenna M. Johnson. Владелец: ECOLAB USA INC.. Дата публикации: 2011-07-14.

Plastic bag for vacuum packaging of hard foods

Номер патента: EP4385726A1. Автор: Alejandro Ruiz Navas. Владелец: Eurobag & Film SL. Дата публикации: 2024-06-19.

Structural component made of hard material for a wristwatch

Номер патента: US20030002393A1. Автор: Michael Bach,Christophe Guerry,Olivier Bucher. Владелец: Individual. Дата публикации: 2003-01-02.

Structural component made of hard material for a wristwatch

Номер патента: US20020191494A1. Автор: Michael Bach,Christophe Guerry,Olivier Bucher. Владелец: Individual. Дата публикации: 2002-12-19.

Powder composition for air polishing the surface of hard dental tissue

Номер патента: EP2861202A1. Автор: Ingo R. Haeberlein,Brenda Schmid,Aaron M. PFARRER. Владелец: 3M Innovative Properties Co. Дата публикации: 2015-04-22.

Machine for rounding the corners of hard covers for books

Номер патента: EP1371502A3. Автор: Massimo Scarpellini,Dario Scarpellini,Claudio Crovetto. Владелец: SCS Automaberg SNC. Дата публикации: 2007-05-23.

Machine For The Integral Processing Of Hard-Or-Soft- Shelled Nuts

Номер патента: US20200163371A1. Автор: Jose Vicente Roig Borrell. Владелец: Jose Borrell SA. Дата публикации: 2020-05-28.

Self-orienting tampon having improved aspect ratio

Номер патента: EP2114332A1. Автор: Thomas Ward Osborn, III,Margaret Henderson Hasse,Diana Lynn Gann. Владелец: Procter and Gamble Co. Дата публикации: 2009-11-11.

Aspect ratio measurement

Номер патента: GB9300845D0. Автор: . Владелец: ECC International Ltd. Дата публикации: 1993-03-10.

Aspect ratio measurement

Номер патента: AU5840294A. Автор: Terence Wilfred Webb,Leonard Farlam Gate. Владелец: ECC International Ltd. Дата публикации: 1994-08-15.

A process for preparation of hard capsules filled with dabigatran pellets

Номер патента: WO2024217830A1. Автор: Łukasz Woźniak. Владелец: Adamed Pharma S.A. Дата публикации: 2024-10-24.

Rotary body of hard material with plastic supporter

Номер патента: US4886377A. Автор: Minoru Adachi,Takashi Kizawa. Владелец: ADACHISHIN IND CO Ltd. Дата публикации: 1989-12-12.

Plant for drilling of hard rocks

Номер патента: RU2171884C2. Автор: ЭСКО Маури,ЛЕММЕТТЮ Паули. Владелец: Тамрок Ой. Дата публикации: 2001-08-10.

Processing roller having reinforcing jacket of hard metal

Номер патента: CA1060237A. Автор: Heinz Zimmermann,Wolfgang Martens. Владелец: Individual. Дата публикации: 1979-08-14.

Process for the solidification of tailings produced by the processing of hard rock ore

Номер патента: CA1162747A. Автор: Neil W. Cook. Владелец: Individual. Дата публикации: 1984-02-28.

An elastic base grinding wheel for smoothing and polishing toroidal contours of hard materials

Номер патента: AU7664694A. Автор: Quintilio Lupi. Владелец: Individual. Дата публикации: 1995-04-10.

Hard mask for metal patterning

Номер патента: US6093973A. Автор: Minh Van Ngo,Bhanwar Singh,Carmen Morales,Dawn Hopper. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-07-25.

Processing roller having reinforcing jacket of hard metal

Номер патента: US4105264A. Автор: Heinz Zimmermann,Wolfgang Martens. Владелец: Individual. Дата публикации: 1978-08-08.

Laser system for enhancing remineralization and strength of hard tissue

Номер патента: US11918824B2. Автор: Charles Kerbage,Ali Badreddine,Stephen COUITT,Roni CANTOR-BALAN. Владелец: Convergent Dental Inc. Дата публикации: 2024-03-05.

Tracking pieces for tracking movements of hard tissue of a jaw

Номер патента: EP3558159A1. Автор: Kustaa Nyholm,Lasse Toimela. Владелец: PLANMECA OY. Дата публикации: 2019-10-30.

Aspect ratio adjustable display

Номер патента: US20200074915A1. Автор: Abdul Kamara. Владелец: Individual. Дата публикации: 2020-03-05.

Incorporation of hard particles in an elastomeric matrix

Номер патента: WO1994012566A1. Автор: Enrico Verzocchi. Владелец: Keratek S.R.L.. Дата публикации: 1994-06-09.

Apparatus for roughing surfaces, particularly in the machining of slabs of hard material

Номер патента: EP1736289B1. Автор: Claudio Lovato. Владелец: Individual. Дата публикации: 2009-08-05.

Tracking pieces for tracking movements of hard tissue of a jaw

Номер патента: US11969244B2. Автор: Kustaa Nyholm,Lasse Toimela. Владелец: PLANMECA OY. Дата публикации: 2024-04-30.

Masking material and use of the material to mask a target and ammunition for disseminating such masking material

Номер патента: US20200309494A1. Автор: Nicolas Perrot. Владелец: Mecar Sa. Дата публикации: 2020-10-01.

Masking material and use of the material to mask a target and ammunitionfor disseminating such masking material

Номер патента: CA3079576A1. Автор: Nicolas Perrot. Владелец: Mecar Sa. Дата публикации: 2019-05-02.

Method for in situ reclamation of an area contaminated by organic compounds

Номер патента: US8178742B2. Автор: Alessandro Nardella,Ivan INNOCENTI,Guido Capotorti. Владелец: Eni Spa. Дата публикации: 2012-05-15.

Elliptical core fiber with axially decreasing aspect ratio and method

Номер патента: WO1997040408A3. Автор: Robert M Hawk. Владелец: Robert M Hawk. Дата публикации: 1997-12-04.

Method for in situ reclamation of an area contaminated by organic compounds

Номер патента: US20090299123A1. Автор: Alessandro Nardella,Ivan INNOCENTI,Guido Capotorti. Владелец: Eni Spa. Дата публикации: 2009-12-03.

Systems and methods for beam shaping for large aspect ratio with a single cylindrical lens

Номер патента: US20240282475A1. Автор: Joachim Welte. Владелец: Ionq Inc. Дата публикации: 2024-08-22.

Aspect ratio measurement

Номер патента: WO1994016308A1. Автор: Terence Wilfred Webb,Leonard Farlam Gate. Владелец: Ecc International Limited. Дата публикации: 1994-07-21.

Determining bit aspect ratios for multiple, independent, actuator storage device

Номер патента: US09928861B1. Автор: Mehmet Fatih Erden,Mark Allen Gaertner. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2018-03-27.

Image processing using multiple aspect ratios

Номер патента: US09418283B1. Автор: Rohit Prasad,Pradeep Natarajan,Avnish Sikka. Владелец: Amazon Technologies Inc. Дата публикации: 2016-08-16.

Method of making part from electrically conductive material by means of additive manufacturing

Номер патента: RU2739745C2. Автор: Фредерик ЛОРЕНСАН. Владелец: Сафран. Дата публикации: 2020-12-28.

Anti-reflective hard mask composition

Номер патента: US20230375929A1. Автор: Sangjun Choi. Владелец: Chempole Co Ltd. Дата публикации: 2023-11-23.

Photo-film unit masking material and photo-film unit

Номер патента: US6539179B2. Автор: Minoru Ono,Masumi Hyakutome. Владелец: DAI NIPPON PRINTING CO LTD. Дата публикации: 2003-03-25.

Method of conditioning of foam materials by squeezing

Номер патента: RU2245888C2. Автор: Клэй СИМПСОН,Рик Д. ЛОСОН. Владелец: Зе Саундкоут Компани, ИНК.. Дата публикации: 2005-02-10.

Method for producing controlled aspect ratio reticulated carbon foam and the resultant foam

Номер патента: US6103149A. Автор: Edwin P. Stankiewicz. Владелец: Ultramet Inc. Дата публикации: 2000-08-15.

Real image type finder system that changes an aspect ratio of a visual field by varying magnification

Номер патента: US5321457A. Автор: Masaki Imaizumi. Владелец: Olympus Optical Co Ltd. Дата публикации: 1994-06-14.

Pneumatic tires having low aspect ratio

Номер патента: US4112994A. Автор: Anthony Mark Mills,David Lyndon Buck. Владелец: Dunlop Ltd. Дата публикации: 1978-09-12.

Multiple aspect-ratio design

Номер патента: US20140095975A1. Автор: William Hill,Ravipal Soin,Vinay Krishnaswamy. Владелец: ZAPRICA. Дата публикации: 2014-04-03.

3d capillary self-assembly of long aspect ratio particles

Номер патента: WO2021076239A3. Автор: Joy Rene BAXTER,Nicolas Jose ALVAREZ,Giuseppe Rafaello PALMESE. Владелец: Alvarez Nicolas Jose. Дата публикации: 2021-06-17.

Papermakers fabric with flat high aspect ratio yarns

Номер патента: CA2174001C. Автор: Henry J. Lee. Владелец: Asten Inc. Дата публикации: 1999-02-09.

Method for producing hot rolled commercial and conversion tubes of large- and mean-diameters of hard-to-form steels and alloys in tube rolling plants with pilger mills

Номер патента: RU2306991C2. Автор: Александр Анатольевич Федоров,Леонид Игнатьевич Лапин,Анатолий Васильевич Сафьянов,Кирилл Николаевич Никитин,Валентин Иреклеевич Тазетдинов,Александр Юрьевич Матюшин,Валерий Александрович Головинов,Сергей Васильевич Ненахов,Николай Григорьевич Дановский,Борис Семёнович Литвак,Исаак Иосифович Вольберг,Рашид Фасхеевич Нугумонов,Игорь Александрович Романцов,Валерий Александрович Логовиков,нов Анатолий Васильевич Сафь (RU),Валентин Иреклеевич Тазетдинов (RU),Исаак Иосифович Вольберг (RU),Леонид Игнатьевич Лапин (RU),Сергей Васильевич Ненахов (RU),Игорь Александрович Романцов (RU),Валерий Александрович Головинов (RU),Кирилл Николаевич Никитин (RU),Александр Анатольевич Федоров (RU),Николай Григорьевич Дановский (RU),Борис Семенович Литвак (RU),Александр Юрьевич Матюшин (RU),Валерий Александрович Логовиков (RU),Рашид Фасхеевич Нугумонов (RU). Владелец: ОАО "Челябинский трубопрокатный завод". Дата публикации: 2007-09-27.

Approach to optimization of operational properties of hard material

Номер патента: RU2180742C1. Автор: В.А. Решетов. Владелец: Решетов Вячеслав Александрович. Дата публикации: 2002-03-20.

Method of disposal, sorting out and processing of hard society's refuse

Номер патента: RU2164447C1. Автор: . Владелец: ООО "Компания "Спецкоммунтехника". Дата публикации: 2001-03-27.

Method for producing of hard caramels

Номер патента: RU2277791C2. Автор: Валерий Николаевич Иванов. Владелец: Валерий Николаевич Иванов. Дата публикации: 2006-06-20.

Method of hard-alloy tool machining

Номер патента: RU2509173C1. Автор: Александр Григорьевич Соколов. Владелец: ООО "Биметалл Плюс". Дата публикации: 2014-03-10.

Device for destruction of hard rocks

Номер патента: RU2376468C1. Автор: Виктор Николаевич Анисимов. Владелец: Ооо Нпц "Экоресурсы". Дата публикации: 2009-12-20.

Composition of hard deer antler for treating osteoporosis

Номер патента: NZ577945A. Автор: James Anderson,David Collie. Владелец: Andeer Nz Ltd. Дата публикации: 2012-01-12.

Transparent iron oxide pigment prepared by organic solvent washing

Номер патента: CA1102505A. Автор: Arvind S. Patil,Lowell E. Netherton. Владелец: BASF Wyandotte Corp. Дата публикации: 1981-06-09.