• Главная
  • Mold cleaner composition and mold cleaning material, and method of cleaning using the same

Mold cleaner composition and mold cleaning material, and method of cleaning using the same

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Gel purge formulations and methods of cleaning extruders by using the same

Номер патента: CA2371041C. Автор: Mark W. Waldrop. Владелец: BASF Corp. Дата публикации: 2008-11-18.

A method of manual surface cleaning using cleaning textiles and of washing said cleaning textiles

Номер патента: CA2956850C. Автор: Thomas Hansen,Elke Leuchten,Freek Schepers. Владелец: ECOLAB USA INC. Дата публикации: 2021-07-06.

Method of laundering clothing and detergent composition for the same

Номер патента: US20080280804A1. Автор: Kazuyoshi Arai,Tomoki Seo. Владелец: Miz Co Ltd. Дата публикации: 2008-11-13.

Ink composition for inkjet recording and method of producing lithographic printing plate using the same

Номер патента: US20060004118A1. Автор: Kazuto Kunita. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 2006-01-05.

Methods of preparing steviol glycosides and uses of the same

Номер патента: US12037356B2. Автор: Avetik Markosyan,Siew Yin CHOW,Khairul NIZAM BIN NAWI. Владелец: PureCircle USA Inc. Дата публикации: 2024-07-16.

Methods of preparing steviol glycosides and uses of the same

Номер патента: US11773125B2. Автор: Avetik Markosyan,Siew Yin CHOW,Khairul NIZAM BIN NAWI. Владелец: PureCircle USA Inc. Дата публикации: 2023-10-03.

Methods of Preparing Steviol Glycosides and Uses of the Same

Номер патента: US20200331947A1. Автор: Avetik Markosyan,Siew Yin CHOW,Khairul NIZAM BIN NAWI. Владелец: PureCircle USA Inc. Дата публикации: 2020-10-22.

Methods of preparing steviol glycosides and uses of the same

Номер патента: US20230374052A1. Автор: Avetik Markosyan,Siew Yin CHOW,Khairul NIZAM BIN NAWI. Владелец: PureCircle USA Inc. Дата публикации: 2023-11-23.

Method of fabricating a mold and method of producing an antireflection film using the mold

Номер патента: US8349227B2. Автор: Ichiro Ihara. Владелец: Sharp Corp. Дата публикации: 2013-01-08.

Programmable switch circuit and method, method of manufacture, and devices and systems including the same

Номер патента: TW201006131A. Автор: Madhukar B Vora. Владелец: DSM Solutions Inc. Дата публикации: 2010-02-01.

Aziridinyl containing compounds and methods of inactivating nucleic acid molecules using the same

Номер патента: US20190106386A1. Автор: David R. Tabatadze. Владелец: ZATA PHARMACEUTICALS Inc. Дата публикации: 2019-04-11.

Systems, compositions and methods of determining viability of embryos using the same

Номер патента: EP4228674A1. Автор: Timothy J. Barder,Nicholas Dainiak,Etyan R. BARNEA. Владелец: BioIncept LLC. Дата публикации: 2023-08-23.

Camp receptor protein variant and method of producing l-amino acid using the same

Номер патента: CA3070377C. Автор: Ji Sun Lee,Ki Yong Cheong,Seok Myung Lee,Chang Ii Seo. Владелец: CJ CHEILJEDANG CORP. Дата публикации: 2023-09-19.

Silane coupling agent and method of manufacturing wire grid pattern using the same

Номер патента: US10732503B2. Автор: Gug Rae Jo,Min Hyuck KANG,Eun Ae KWAK,Dong Eon LEE. Владелец: Samsung Display Co Ltd. Дата публикации: 2020-08-04.

Glass heating mechanisms and methods of making insulating glass units using the same

Номер патента: AU2019226028A1. Автор: Eric Stein,Matthew Bergers. Владелец: Apogee Enterprises Inc. Дата публикации: 2020-07-16.

Glass heating mechanisms and methods of making insulating glass units using the same

Номер патента: EP3755862A1. Автор: Eric Stein,Matthew Bergers. Владелец: Apogee Enterprises Inc. Дата публикации: 2020-12-30.

Paste, method of manufacturing plasma display panel using the paste and plasma display apparatus

Номер патента: EP2033204A1. Автор: Chang Min Han,Young Woo Seo,Jung Sok Noh. Владелец: LG ELECTRONICS INC. Дата публикации: 2009-03-11.

Processing tape and method of fabricating a semiconductor device using the same

Номер патента: US12040213B2. Автор: Seon Ho Lee,Hwail Jin,Yeongseok Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-16.

Gene controlling flowering time and method for controlling flowering time in plants using the gene

Номер патента: WO2003018628A1. Автор: Pyung-Ok Lim,Hong-gil Nam,Don-Ha Park. Владелец: Genomine Inc.. Дата публикации: 2003-03-06.

Gene controlling flowering time and method for controlling flowering time in plants using the gene

Номер патента: US20050183166A1. Автор: Pyung-Ok Lim,Hong-gil Nam,Don-Ha Park. Владелец: Genomine Inc. Дата публикации: 2005-08-18.

Phosphor paste composition and method of manufacturing flat display device using the same

Номер патента: US7429340B2. Автор: Seung-Uk Kwon. Владелец: Samsung SDI Co Ltd. Дата публикации: 2008-09-30.

Aziridinyl containing compounds and methods of inactivating nucleic acid molecules using the same

Номер патента: US20160289182A1. Автор: David R. Tabatadze. Владелец: ZATA PHARMACEUTICALS Inc. Дата публикации: 2016-10-06.

Glass forming body and method of making a glass article using the same

Номер патента: EP4217321A1. Автор: Olus Naili Boratav. Владелец: Corning Inc. Дата публикации: 2023-08-02.

Systems, compositions and methods of determining viability of embryos using the same

Номер патента: US20230400471A1. Автор: Timothy J. Barder,Eytan R. Barnea,Nicholas Dainiak. Владелец: BioIncept LLC. Дата публикации: 2023-12-14.

Camp Receptor Protein Variant and Method of Producing L-Amino Acid using the same

Номер патента: MY200992A. Автор: Yong Cheong Ki,Sun Lee Ji,Ii Seo Chang,Myung Lee Seok. Владелец: CJ CHEILJEDANG CORP. Дата публикации: 2024-01-29.

Photo-alignment material and liquid crystal display device and its manufacturing method using the same

Номер патента: US20020071079A1. Автор: Mi Nam. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2002-06-13.

Photo-alignment material and liquid crystal display device and its manufacturing method using the same

Номер патента: US20040022964A1. Автор: Mi Nam. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2004-02-05.

Optical fiber cable and system and method of distributing ultra high power using the same

Номер патента: AU2022210766A1. Автор: Edward McKenna,Gerald Leon WALLACE Jr.. Владелец: Macleon LLC. Дата публикации: 2023-09-07.

Optical fiber cable and system and method of distributing ultra high power using the same

Номер патента: EP4281704A1. Автор: Edward McKenna,Gerald Leon WALLACE Jr.. Владелец: Macleon LLC. Дата публикации: 2023-11-29.

Stabilized sodium chlorite solution and a method of remediating an aqueous system using the solution

Номер патента: US20200354218A1. Автор: Roy W. Martin. Владелец: Truox Inc. Дата публикации: 2020-11-12.

Artificial soil and method for growing vegetation on sloped surface using the same

Номер патента: US7992344B2. Автор: Young-Koo Kim. Владелец: Transcorea Development Co Ltd. Дата публикации: 2011-08-09.

Silane coupling agent and method of manufacturing wire grid pattern using the same

Номер патента: US20180348635A1. Автор: Gug Rae Jo,Min Hyuck KANG,Eun Ae KWAK,Dong Eon LEE. Владелец: Samsung Display Co Ltd. Дата публикации: 2018-12-06.

Silane coupling agent and method of manufacturing wire grid pattern using the same

Номер патента: US20170090285A1. Автор: Gug Rae Jo,Min Hyuck KANG,Eun Ae KWAK,Dong Eon LEE. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-03-30.

Intermediate useful for the synthesis of TGF-beta inhibitors and a method of preparing TGF-beta inhibitors using the same

Номер патента: US12006315B2. Автор: Seung Ho Lee. Владелец: Medpacto Inc. Дата публикации: 2024-06-11.

Intermediate useful for the synthesis of tgf-beta inhibitors and a method of preparing tgf-beta inhibitors using the same

Номер патента: US20230303568A1. Автор: Seung Ho Lee. Владелец: Medpacto Inc. Дата публикации: 2023-09-28.

Fuel cell type reactor and method for producing a chemical compound by using the same

Номер патента: CA2450895C. Автор: Ken Suzuki,Kiyoshi Otsuka,Ichiro Yamanaka. Владелец: Asahi Kasei Chemicals Corp. Дата публикации: 2008-06-03.

Processing tape and method of fabricating a semiconductor device using the same

Номер патента: US20220020627A1. Автор: Seon Ho Lee,Hwail Jin,Yeongseok Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-01-20.

Method of producing indole and substitution products of the same

Номер патента: US3790596A. Автор: V Shkilkova,L Zamyshlyaeva,N Suvoroy,V Avramenko. Владелец: Individual. Дата публикации: 1974-02-05.

Methods of preparing steviol glycosides and uses of the same

Номер патента: EP3687296A1. Автор: Avetik Markosyan,Siew Yin CHOW,Khairul NIZAM BIN NAWI. Владелец: PureCircle USA Inc. Дата публикации: 2020-08-05.

Asbestos-treating agent and method for treating asbestos

Номер патента: US8704032B2. Автор: Yoshihiro Taguchi,Teruhiko Kusano,Hiroaki Harano. Владелец: Santec Corp. Дата публикации: 2014-04-22.

Asbestos-treating agent and method for treating asbestos

Номер патента: US20120289756A1. Автор: Yoshihiro Taguchi,Teruhiko Kusano,Hiroaki Harano. Владелец: Santec Corp. Дата публикации: 2012-11-15.

Non-oriented electrical steel sheet and method of manufacturing stacked core using same

Номер патента: US20210292863A1. Автор: Hiroshi Fujimura,Satoshi Kano,Miho Tomita. Владелец: Nippon Steel Corp. Дата публикации: 2021-09-23.

Coating composition for tube of heat exchanger and coating method for tube of heat exchanger using the same

Номер патента: US20210071008A1. Автор: Chang Yeol Yoo. Владелец: Kia Motors Corp. Дата публикации: 2021-03-11.

Rotary device for bio-printing and method for using the same

Номер патента: EP3689297A1. Автор: Huixing Zhou,Yujian James KANG. Владелец: Revotek Co ltd. Дата публикации: 2020-08-05.

Synthesis Reaction Catalyst and Method of Synthesizing Compound Using the Same

Номер патента: US20090023943A1. Автор: Hirohisa Tanaka,Kimiyoshi Kaneko. Владелец: Daihatsu Motor Co Ltd. Дата публикации: 2009-01-22.

Metal film polishing pad and method for polishing metal film using the same

Номер патента: US20100035521A1. Автор: Mitsuru Kato,Chihiro Okamoto,Shinya Kato,Hirofumi Kikuchi. Владелец: Kuraray Co Ltd. Дата публикации: 2010-02-11.

Compositions and uses of trans-1,1,1,4,4,4-hexafluoro-2-butene

Номер патента: EP4403610A1. Автор: Mark L. Robin,Konstatinos Kontomaris. Владелец: Chemours Co FC LLC. Дата публикации: 2024-07-24.

Encapsulated lithium particles and methods of making and use thereof

Номер патента: US20180315999A1. Автор: Kishor Purushottam Gadkaree,Andrew Fleitz Husted,Rahul Suryakant KADAM. Владелец: Corning Inc. Дата публикации: 2018-11-01.

Ceramic green sheet drying apparatus and method of fabricating ceramic green sheet using the same

Номер патента: TW201221884A. Автор: Dae Bok Oh,Won-Seop Choi. Владелец: Samsung Electro Mech. Дата публикации: 2012-06-01.

Compositions and uses of trans-1,1,1,4,4,4-hexafluoro-2-butene and 1,1-difluoroethane (r-152a)

Номер патента: US20240299793A1. Автор: Mark L. Robin,Konstantinos Kontomaris. Владелец: Chemours Co FC LLC. Дата публикации: 2024-09-12.

Adhesive composition and method of manufacturing nonpneumatic tire by using same

Номер патента: US11814551B2. Автор: Seokju Choi,Daeil Lee. Владелец: Hankook Tire and Technology Co Ltd. Дата публикации: 2023-11-14.

Encapsulated lithium particles and methods of making and use thereof

Номер патента: US10069134B2. Автор: Kishor Purushottam Gadkaree,Andrew Fleitz Husted,Rahul Suryakant KADAM. Владелец: Corning Inc. Дата публикации: 2018-09-04.

Non-oriented electrical steel sheet and method of manufacturing stacked core using same

Номер патента: US11795518B2. Автор: Hiroshi Fujimura,Satoshi Kano,Miho Tomita. Владелец: Nippon Steel Corp. Дата публикации: 2023-10-24.

Polyacetal Resin Composition and Method for Manufacturing Same

Номер патента: US20240182689A1. Автор: Sara Kusumoto. Владелец: Asahi Kasei Corp. Дата публикации: 2024-06-06.

Black shield, method of manufacturing the same and method of manufacturing pcb or fpc using the same

Номер патента: KR100996070B1. Автор: 우영관. Владелец: 우영관. Дата публикации: 2010-11-22.

LaNiO3 thin film-forming composition and method of forming LaNiO3 thin film using the same

Номер патента: EP2767613A3. Автор: Nobuyuki Soyama,Jun Fujii,Hideaki Sakurai. Владелец: Mitsubishi Materials Corp. Дата публикации: 2015-06-17.

Crystal growing device and method of manufacturing single crystal

Номер патента: EP1143040A4. Автор: Tetsuya Yamamoto,Hiroshi Maeda,Ryuichi Hirano,Yoshiaki Kubota,Akira Hichiwa. Владелец: Hirochiku Co Ltd. Дата публикации: 2004-05-12.

Adhesive sheet for temporary fixation and method of manufacturing semiconductor device using the same

Номер патента: US11702571B2. Автор: Kwang Joo Lee,Sera Kim,Ji Ho HAN. Владелец: LG Chem Ltd. Дата публикации: 2023-07-18.

Cinchonine-derived catalysts and methods of using same

Номер патента: US20200048243A1. Автор: Li Deng,Yongwei Wu. Владелец: BRANDEIS UNIVERSITY. Дата публикации: 2020-02-13.

Diterpene glycosides containing an ent-atisene core, compositions and methods

Номер патента: EP3522737A1. Автор: Indra Prakash,Gil Ma. Владелец: Coca Cola Co. Дата публикации: 2019-08-14.

Diterpene Glycosides Containing an Ent-Atisene Core, Compositions and Methods

Номер патента: US20190241600A1. Автор: Indra Prakash,Gil Ma. Владелец: Coca Cola Co. Дата публикации: 2019-08-08.

Diterpene glycosides isolated from stevia, compositions and methods

Номер патента: AU2022204888B2. Автор: Indra Prakash,Gil Ma. Владелец: Coca Cola Co. Дата публикации: 2024-06-13.

Compositions and methods for targeting receptors expressed in the gut

Номер патента: US20170216259A1. Автор: James R. Hauske. Владелец: Sensor Pharmaceuticals Inc. Дата публикации: 2017-08-03.

Vanadium-based frit materials and methods of manufacture thereof

Номер патента: RU2661968C1. Автор: Тимоти А. ДЕННИС. Владелец: Гардиан Индастриз Корп.. Дата публикации: 2018-07-23.

Composition including polyester resin and method of using the same

Номер патента: US20180265735A1. Автор: Ruijian Xu. Владелец: 3M Innovative Properties Co. Дата публикации: 2018-09-20.

Compositions and methods for enhancing apoptosis

Номер патента: US20110039324A1. Автор: Wayne Fairbrother,John Flygare,Kurt Deshayes,Saloumeh Fischer,Matthew C. Franklin,Domagoj Vucic. Владелец: Genentech Inc. Дата публикации: 2011-02-17.

Diffusing agent composition and method of manufacturing semiconductor substrate

Номер патента: US20180374704A1. Автор: Yoshihiro Sawada. Владелец: Tokyo Ohka Kogyo Co Ltd. Дата публикации: 2018-12-27.

Chimeric receptors to FLT3 and methods of use thereof

Номер патента: AU2022200108B2. Автор: Alice BAKKER,Lawren WU,Tara ARVEDSON,Ruben Alvarez Rodriguez,Jed J. Wiltzius. Владелец: Kite Pharma Inc. Дата публикации: 2024-09-19.

Aav capsid compositions and methods for delivery

Номер патента: EP4396199A1. Автор: Peter Colosi,Silvia Ramirez,Justin ISHIDA,Vincent Leonard,Yu-Shan Tseng,Teague STERLING. Владелец: Biomarin Pharmaceutical Inc. Дата публикации: 2024-07-10.

Aav capsid compositions and methods for delivery

Номер патента: EP4396203A1. Автор: Peter Colosi,Silvia Ramirez,Justin ISHIDA,Vincent Leonard,Yu-Shan Tseng,Teague STERLING. Владелец: Biomarin Pharmaceutical Inc. Дата публикации: 2024-07-10.

Aav capsid compositions and methods for delivery

Номер патента: EP4396201A1. Автор: Peter Colosi,Silvia Ramirez,Justin ISHIDA,Vincent Leonard,Yu-Shan Tseng,Teague STERLING. Владелец: Biomarin Pharmaceutical Inc. Дата публикации: 2024-07-10.

Method of fabricating porous ceramic structures based on calcium phosphates, alumina or zirconia

Номер патента: EP2212261A2. Автор: Claudia Marina Souto Ranito Lourenço. Владелец: Individual. Дата публикации: 2010-08-04.

Compositions and methods for treating therapy resistant cancer

Номер патента: US20230263798A1. Автор: Shobha Vasudevan,Samuel Spencer TRUESDELL,Syed Irfan Ahmad BUKHARI. Владелец: General Hospital Corp. Дата публикации: 2023-08-24.

Aav capsid compositions and methods for delivery

Номер патента: EP4396202A1. Автор: Peter Colosi,Silvia Ramirez,Justin ISHIDA,Vincent Leonard,Yu-Shan Tseng,Teague STERLING. Владелец: Biomarin Pharmaceutical Inc. Дата публикации: 2024-07-10.

Method for the preparation of a composite material and articles thereof

Номер патента: MY146382A. Автор: Hui Sai Hong. Владелец: Vertech Specialty Chemicals Pte Ltd. Дата публикации: 2012-08-15.

Electrodeposition baths containing boron-containing compounds and methods related thereto

Номер патента: WO2003012173A3. Автор: Alan J Kaylo. Владелец: Ppg Ind Ohio Inc. Дата публикации: 2003-10-30.

Bushing and method for fiberizing a molten material

Номер патента: EP1193225A3. Автор: Terry Joe Hanna,James Melvin Higginbotham,Russell Donovan Arterburn. Владелец: Johns Manville International Inc. Дата публикации: 2002-11-27.

Method of producing micronized barite particles

Номер патента: US20190023976A1. Автор: Mohamed MAHMOUD,Salaheldin ELKATATNY,Abdulwahab Zaki ALI. Владелец: KING FAHD UNIVERSITY OF PETROLEUM AND MINERALS. Дата публикации: 2019-01-24.

HIV vaccines and methods of using

Номер патента: US12053517B2. Автор: Jiani Li,Xinan Liu,Azure T. Makadzange,Stephen R. Martin,Hesham Shehata,Evguenia Svarovskaia. Владелец: Gilead Sciences Inc. Дата публикации: 2024-08-06.

Alkali and alkaline earth halides and methods thereof

Номер патента: US20190250286A1. Автор: Charles L. Melcher,Yuntao Wu. Владелец: UNIVERSITY OF TENNESSEE RESEARCH FOUNDATION. Дата публикации: 2019-08-15.

Ectonucleotidase inhibitors and methods of use thereof

Номер патента: CA3143496A1. Автор: Jim Li,Lijing Chen,Timothy Friend Stanton,Roland Joseph Billedeau. Владелец: Calithera Biosciences Inc. Дата публикации: 2020-12-24.

Maytansinoid derivatives, conjugates thereof, and methods of use

Номер патента: EP3273998A1. Автор: Thomas Nittoli,Thomas Markotan. Владелец: Regeneron Pharmaceuticals Inc. Дата публикации: 2018-01-31.

Maytansinoid Derivatives, Conjugates Thereof, and Methods of Use

Номер патента: US20220259225A1. Автор: Thomas Nittoli. Владелец: Regeneron Pharmaceuticals Inc. Дата публикации: 2022-08-18.

A Composition and Method for Stabilisation of Retinoids

Номер патента: AU2019201987B2. Автор: Elliot Isaacs,Kavitha MURUGESAN,Daniel Isaacs. Владелец: Medik8 Ltd. Дата публикации: 2024-06-27.

Ruthenium compound, raw material for forming thin film, and method for producing thin film

Номер патента: US11760771B2. Автор: Masaki Enzu,Tomoharu Yoshino,Masako HATASE,Nana OKADA. Владелец: Adeka Corp. Дата публикации: 2023-09-19.

Modified follicle-stimulating hormone and methods of using the same

Номер патента: EP4055038A1. Автор: T. Rajendra KUMAR. Владелец: University of Colorado. Дата публикации: 2022-09-14.

Modified follicle-stimulating hormone and methods of using the same

Номер патента: WO2023215914A1. Автор: T. Rajendra KUMAR. Владелец: The Regents of the University of Colorado, a body corporate. Дата публикации: 2023-11-09.

Polymer particles, nucleic acid polymer particles and methods of making and using the same

Номер патента: US20130012658A1. Автор: Barnett Rosenblum,David Light. Владелец: Life Technologies Corp. Дата публикации: 2013-01-10.

Polymer particles, nucleic acid polymer particles and methods of making and using the same

Номер патента: US20150175734A1. Автор: Barnett B. Rosenblum,David Light. Владелец: Life Technologies Corp. Дата публикации: 2015-06-25.

Polymer particles, nucleic acid polymer particles and methods of making and using the same

Номер патента: US20160369328A1. Автор: Barnett B. Rosenblum,David Light. Владелец: Life Technologies Corp. Дата публикации: 2016-12-22.

Systems and methods for electrolytic aluminum production

Номер патента: RU2771544C2. Автор: Синхуа Лю. Владелец: АЛКОА ЮЭсЭй КОРП.. Дата публикации: 2022-05-05.

Cage silicate and method for producing same

Номер патента: EP4279454A1. Автор: Hiroaki Sakaida,Takuya Fukuoka,Jiahao Liu. Владелец: Nissan Chemical Corp. Дата публикации: 2023-11-22.

Human bmp-2 promoter and method for exploring bone-related substance by using the same

Номер патента: AU3163599A. Автор: Takeyuki Sugiura. Владелец: Nippon Hoechst Marion Roussel Ltd. Дата публикации: 1999-11-23.

Novel immunogens and methods for discovery and screening thereof

Номер патента: EP2550289A2. Автор: Richard Malley,Yingjie Lu,Kristin L. Moffitt. Владелец: Childrens Medical Center Corp. Дата публикации: 2013-01-30.

Novel immunogens and methods for discovery and screening thereof

Номер патента: US20170028050A1. Автор: Richard Malley,Yingjie Lu,Kristin L. Moffitt. Владелец: Childrens Medical Center Corp. Дата публикации: 2017-02-02.

Circulatory Biomass Energy Recovery System and Method

Номер патента: US20100233774A1. Автор: Norio Kurosawa,Norio Nagao,Tatsuki Toda. Владелец: Tama-Tlo Ltd. Дата публикации: 2010-09-16.

MASK FRAME ASSEMBLY, METHOD OF MANUFACTURING THE SAME AND METHOD OF MANUFACTURING DISPLAY DEVICE BY USING THE SAME

Номер патента: US20160260935A1. Автор: Lee Sangshin. Владелец: . Дата публикации: 2016-09-08.

Camp receptor protein variant and method of producing l-amino acid using the same

Номер патента: CA3087627C. Автор: Ji Sun Lee,Chang Il Seo,Ki Yong Cheong,Seok Myung Lee. Владелец: CJ CHEILJEDANG CORP. Дата публикации: 2023-10-31.

Bonded Plate for Sink Bowl and Method of Forming the Sink Bowl Using the Same Through Pressing

Номер патента: US20080299387A1. Автор: Bong-Jun Lee,Hae-sik Lee. Владелец: HAEWON MSC Co Ltd. Дата публикации: 2008-12-04.

Method of setting inkjet printing and method of manufacturing display apparatus by using the same

Номер патента: US20230382117A1. Автор: Dongsul Kim. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-11-30.

Vehicular heat cycle apparatus and method of ascertaining refrigerant charge state using the same

Номер патента: WO2024121145A1. Автор: Ryo Miyakoshi,Teruaki Tsuji. Владелец: VALEO SYSTEMES THERMIQUES. Дата публикации: 2024-06-13.

Method of joining pipes and apparatus for facilitating the same

Номер патента: US12031657B2. Автор: Robert J. Morrow. Владелец: Individual. Дата публикации: 2024-07-09.

Method of joining pipes and apparatus for facilitating the same

Номер патента: US20240318760A1. Автор: Robert J. Morrow. Владелец: Individual. Дата публикации: 2024-09-26.

Imprinting composition and method of forming a patterned layer using the same

Номер патента: EP3807717A1. Автор: Marcus Antonius Verschuuren. Владелец: Koninklijke Philips NV. Дата публикации: 2021-04-21.

Auto jig trimmer and method of manufacturing pattern frame by using the same

Номер патента: WO2007024081A1. Автор: Hyoungjoon Seo. Владелец: Hyoungjoon Seo. Дата публикации: 2007-03-01.

Laser annealing system and method of fabricating a semiconductor device using the same

Номер патента: US20210138581A1. Автор: Hyukjun Kwon,Seongkeun CHO. Владелец: EO Technics Co Ltd. Дата публикации: 2021-05-13.

Mask-Integrated Surface Protective Tape, and Method of Producing a Semiconductor Chip Using the Same

Номер патента: MY195939A. Автор: Uchiyama Tomoaki,Akutsu Akira. Владелец: Furukawa Electric Co Ltd. Дата публикации: 2023-02-27.

Method of manufacturing substrate and display apparatus having the same

Номер патента: US20110176197A1. Автор: DaeJin PARK,Jung-Hun Noh,SonUk LEE. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2011-07-21.

Drywall brace and system and method for attachment of fixtures to drywall using the same

Номер патента: US20160341357A1. Автор: James Bradley Roberts. Владелец: Individual. Дата публикации: 2016-11-24.

Support substrate and a method of manufacturing a semiconductor package using the same

Номер патента: US20170243763A1. Автор: Yoonseok Choi,Changho Kim,Ilho Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-08-24.

Hybrid electric vehicle and method of supporting sound input and output for the same

Номер патента: US20240059272A1. Автор: Joon Young Park,Sang Hun Jung,Jin Kyeom Cho,Sung Deok Kim. Владелец: Kia Corp. Дата публикации: 2024-02-22.

Method of joining pipes and apparatus for facilitating the same

Номер патента: US20160265704A1. Автор: Robert J. Morrow. Владелец: Individual. Дата публикации: 2016-09-15.

Method of joining pipes and apparatus for facilitating the same

Номер патента: US20170363243A1. Автор: Robert J. Morrow. Владелец: Individual. Дата публикации: 2017-12-21.

Method of joining pipes and apparatus for facilitating the same

Номер патента: EP3268652A1. Автор: Robert J. Morrow. Владелец: Individual. Дата публикации: 2018-01-17.

Method of joining pipes and apparatus for facilitating the same

Номер патента: US20220260192A1. Автор: Robert J. Morrow. Владелец: Individual. Дата публикации: 2022-08-18.

Method of joining pipes and apparatus for facilitating the same

Номер патента: AU2016229845B2. Автор: Robert J. Morrow. Владелец: Individual. Дата публикации: 2020-10-22.

Method of joining pipes and apparatus for facilitating the same

Номер патента: WO2016144974A1. Автор: Robert J. Morrow. Владелец: Morrow Robert J. Дата публикации: 2016-09-15.

Method of joining pipes and apparatus for facilitating the same

Номер патента: CA2979204C. Автор: Robert J. Morrow. Владелец: Individual. Дата публикации: 2022-02-01.

Method of joining pipes and apparatus for facilitating the same

Номер патента: US20230332725A1. Автор: Robert J. Morrow. Владелец: Individual. Дата публикации: 2023-10-19.

Package for flowable contents and with an integrally handle, a method of and an apparatus for integrally moulding the same

Номер патента: CA2015455A1. Автор: Wilhelm Reil. Владелец: Individual. Дата публикации: 1990-11-30.

Database and method of verifying function of LSI using the same

Номер патента: US20070074137A1. Автор: Masanobu Mizuno,Kazuyoshi Takemura,Yoshihito Nishida,Kazuhito Tada. Владелец: Individual. Дата публикации: 2007-03-29.

Device and method of digital image content recognition, training of the same

Номер патента: US11507784B2. Автор: Benedikt Sebastian Staffler,Nicole Ying Finnie. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2022-11-22.

Twdm element, imager, and method for temporally and spatially modulating by using the same

Номер патента: US20100195186A1. Автор: Herb He Huang. Владелец: Individual. Дата публикации: 2010-08-05.

Laser annealing apparatus and method of manufacturing display apparatus by using the same

Номер патента: US20170040352A1. Автор: Hongro Lee,Chunghwan Lee. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-02-09.

Method of previewing processed image, device using the same, and storage medium having computer program stored thereon

Номер патента: US20150042835A1. Автор: Chia-Wei Chiu. Владелец: HTC Corp. Дата публикации: 2015-02-12.

Home position method of scanning module and scanner using the same

Номер патента: US20120187186A1. Автор: Shih-Sen Hsieh,Meng-Sheng Wu. Владелец: Cal Comp Electronics and Communications Co Ltd. Дата публикации: 2012-07-26.

Roll stocker and method for fabricating liquid crystal display device using the same

Номер патента: US20090180844A1. Автор: Byoung Chul Choi,Jung Seung Kim. Владелец: LG Display Co Ltd. Дата публикации: 2009-07-16.

Mouse device having internet connection function and the method of connecting with internet site using the same

Номер патента: WO2001093007A1. Автор: Eugene Baek. Владелец: Korea Smt Co., Ltd.. Дата публикации: 2001-12-06.

PLL, display using the same, and method for timing controller to generate clock using the same

Номер патента: US8547317B2. Автор: Yong Jae Lee. Владелец: Anapass Inc. Дата публикации: 2013-10-01.

Sealant dispensing apparatus and method for manufacturing liquid crystal display device using the same

Номер патента: US20070121055A1. Автор: Seung Lee. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2007-05-31.

Method of selecting route and terminal using the same

Номер патента: WO2008133378A1. Автор: Kyung So Yun. Владелец: LG ELECTRONICS INC.. Дата публикации: 2008-11-06.

Method of managing light energy and system of the same

Номер патента: US20100241473A1. Автор: Hsueh Cheng Huang. Владелец: Open Minder Group Ltd. Дата публикации: 2010-09-23.

System and method for improving cognitive ability and computer program for the same

Номер патента: US12014810B2. Автор: Seung hyun HAN. Владелец: Rowan Inc. Дата публикации: 2024-06-18.

Method of simulating fluctuation of oil, program of the same and system of the same

Номер патента: US20050010384A1. Автор: Hajime Yamaguchi,Chang Rheem. Владелец: University of Tokyo NUC. Дата публикации: 2005-01-13.

Capturing device and method of capturing words for translation using the capturing device

Номер патента: US20140046652A1. Автор: Yan Li. Владелец: Individual. Дата публикации: 2014-02-13.

Method of controlling a plurality of vehicles performing the same mission cycle

Номер патента: US12072716B2. Автор: David RYLANDER,Mohammad Manjurul ISLAM. Владелец: Volvo Truck Corp. Дата публикации: 2024-08-27.

Edible batter compositions and methods of preparing batter-coated foods using the same

Номер патента: NZ601953A. Автор: David White,Peter Luker. Владелец: Newly Weds Foods Banbury Ltd. Дата публикации: 2014-04-30.

Spin coater and method of manufacturing semiconductor device by using the same

Номер патента: US20240071751A1. Автор: Moosong Lee,Sangho Yun,Hyoungkook Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-02-29.

Processor and method for assigning config ID for core included in the same

Номер патента: US12039334B2. Автор: Wongyu SHIN,Juyeong Yoon,Sangeun JE. Владелец: Rebellions Inc. Дата публикации: 2024-07-16.

Processor and method for assigning config id for core included in the same

Номер патента: US20240320003A1. Автор: Wongyu SHIN,Juyeong Yoon,Sangeun JE. Владелец: Rebellions Inc. Дата публикации: 2024-09-26.

Method of drying substrate, and method of manufacturing image display apparatus using the same

Номер патента: US8518278B2. Автор: Kazushige Utsumi. Владелец: Canon Inc. Дата публикации: 2013-08-27.

Method of thermally treating a wafer and method of fabricating a semiconductor device using the same

Номер патента: US20060154427A1. Автор: Jun-Seuck Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-07-13.

Method of detecting a biopolymer and device for the same

Номер патента: US20070077587A1. Автор: Keiichi Sato. Владелец: Hitachi Software Engineering Co Ltd. Дата публикации: 2007-04-05.

Method of detecting a biopolymer and device for the same

Номер патента: EP1801587A3. Автор: Keiichi Hitachi Software Engineering Co Ltd. Sato. Владелец: Hitachi Software Engineering Co Ltd. Дата публикации: 2007-07-04.

Information recording medium and method of recording or reproducing optical information employing the same

Номер патента: US5517486A. Автор: Norihisa Haneda. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 1996-05-14.

Optical proximity correction method and method of fabricating a semiconductor device using the same

Номер патента: US11921419B2. Автор: Bong-Soo Kang,Sooyong Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-03-05.

Laser annealing apparatus and method of manufacturing display apparatus by using the same

Номер патента: US9812471B2. Автор: Hongro Lee,Chunghwan Lee. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-11-07.

Portable electronic device and method for expanding inner space of main body of the same

Номер патента: EP3847523A1. Автор: Byungwook Yoo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-07-14.

Method of executing application and terminal using the method

Номер патента: EP3634020A1. Автор: Se-Jun Park,Jae-Woo Ko,Hang-Sik Shin. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-04-08.

Method of installing a balcony, and device for the same

Номер патента: AU2020433325A1. Автор: Andrew Parsons,Tim Hill. Владелец: Sapphire Balconies Ltd. Дата публикации: 2022-10-20.

Timing control method of hardware-simulating program and application of the same

Номер патента: US20070288221A1. Автор: John Wang,Yung-Chieh Lin,Mu-Yi Chen. Владелец: Iadea Corp. Дата публикации: 2007-12-13.

Intelligent ball head and method for performing self‐photographing by using the same

Номер патента: US20190158719A1. Автор: Jie Li,Xiangyu Huang. Владелец: Guangdong Sirui Optical Co Ltd. Дата публикации: 2019-05-23.

Internet access apparatus and method for notifying of Web page update using the same

Номер патента: US20070233748A1. Автор: Chul-Hwan Lee,In-Bum Chang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-10-04.

Mems based probe card and a method of testing semiconductor ion sensor using the same

Номер патента: MY144280A. Автор: Mohd Ismahadi Syono. Владелец: MIMOS BERHAD. Дата публикации: 2011-08-29.

Method of processing global illumination and apparatus performing the same

Номер патента: WO2017039027A1. Автор: Woo Nam Chung. Владелец: Siliconarts Inc.. Дата публикации: 2017-03-09.

A self propagating seed bolus and method of afforestation for carbon offset using the seed bolus

Номер патента: AU2011201656A1. Автор: . Владелец: ENVIROGROWER Pty Ltd. Дата публикации: 2011-10-27.

Methods of detecting leukemia/ lymphoma and induction of the same

Номер патента: CA2821673C. Автор: Cameron K. Tebbi. Владелец: Individual. Дата публикации: 2020-06-02.

Method of managing data history and device performing the same

Номер патента: US20230269104A1. Автор: Won Suk LEE,Min Kyo In,Suwook HA. Владелец: Electronics And Tecelommunications Research Institute. Дата публикации: 2023-08-24.

Resilient fiber retainers and methods of externally wrapping and shaping fiber with the same

Номер патента: US11793288B2. Автор: Elitza D. Voeva-Kolev. Владелец: Bukli Haircare. Дата публикации: 2023-10-24.

Method of configuring timer and timer using the method

Номер патента: US20210341883A1. Автор: Hee seung Chung. Владелец: Melike Inc. Дата публикации: 2021-11-04.

Electronic device and method for controlling connection of external device using the same

Номер патента: US20200089920A1. Автор: Heedong GANG,Jinhui PARK. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-03-19.

Broadcasting system and method of providing a personalized broadcasting service in the same

Номер патента: US20110162003A1. Автор: Su-Kyung Kim,Kil-Su Ha,Sung-hun Park. Владелец: Alticast Corp. Дата публикации: 2011-06-30.

Method of fabricating conductive lines and structure of the same

Номер патента: US20080048346A1. Автор: Chien-Hung Liu,Ying-Tso Chen,Shou-Wei Huang,Jui-Pin Chang. Владелец: Individual. Дата публикации: 2008-02-28.

Electronic device and method for controlling connection of external device using the same

Номер патента: EP3837624A1. Автор: Heedong GANG,Jinhui PARK. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-06-23.

System and Method for Tracing Data Access and Detecting Abnormality in the Same

Номер патента: US20180349616A1. Автор: YeeJang James Lin. Владелец: DATIPHY Inc. Дата публикации: 2018-12-06.

System and method for improving cognitive ability and computer program for the same

Номер патента: US20230116521A1. Автор: Seung hyun HAN. Владелец: Rowan Inc. Дата публикации: 2023-04-13.

Traffic management server, and method and computer program for traffic management using the same

Номер патента: US11823570B2. Автор: Jae Eun Lee. Владелец: Bitsensing Inc. Дата публикации: 2023-11-21.

Shadow mask and method of fabricating vertically tapered structure using the shadow mask

Номер патента: US20080299468A1. Автор: Duk-Yong Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-12-04.

Devices for receiving broadcast signal and method of processing a broadcast signal using the devices

Номер патента: WO2008056920A3. Автор: Jae Kyung Lee,Eun Kyung Shin. Владелец: LG ELECTRONICS INC.. Дата публикации: 2009-09-03.

Eyeglass suspension device and method of moving eyeglasses off the nose utilizing the same

Номер патента: US20210191147A1. Автор: Devaraj Thiruppathi. Владелец: Individual. Дата публикации: 2021-06-24.

Method of measuring specific gravity and apparatus utilizing the same

Номер патента: CA1222823A. Автор: Samuel A. Arcara. Владелец: Honeywell Inc. Дата публикации: 1987-06-09.

System and method for attenuating induced EMI and power converter employing the same

Номер патента: US6055164A. Автор: QING Chen,Rui Liu. Владелец: Lucent Technologies Inc. Дата публикации: 2000-04-25.

Method of transmitting broadcast signal, method of processing broadcast signal and apparatus for the same

Номер патента: CA2611356A1. Автор: Chang Sik Yun,Jin Pil Kim. Владелец: LG ELECTRONICS INC. Дата публикации: 2008-05-22.

A method of controlling a plurality of vehicles performing the same mission cycle

Номер патента: WO2020192876A1. Автор: David RYLANDER,Mohammad Manjurul ISLAM. Владелец: VOLVO TRUCK CORPORATION. Дата публикации: 2020-10-01.

Adjustment method of sound output and electronic device performing the same

Номер патента: US20220084533A1. Автор: KUO-PING YANG,HUNG LIN,Kuan-Li Chao,Wei-Ren Lan. Владелец: PixArt Imaging Inc. Дата публикации: 2022-03-17.

Apparatus for processing medical image and method of processing medical image by using the apparatus

Номер патента: US9639930B2. Автор: Jun-sang Yoo. Владелец: Samsung Medison Co Ltd. Дата публикации: 2017-05-02.

Apparatus for processing medical image and method of processing medical image by using the apparatus

Номер патента: US20170193663A1. Автор: Jun-sang Yoo. Владелец: Samsung Medison Co Ltd. Дата публикации: 2017-07-06.

Apparatus for processing medical image and method of processing medical image by using the apparatus

Номер патента: US20150254839A1. Автор: Jun-sang Yoo. Владелец: Samsung Medison Co Ltd. Дата публикации: 2015-09-10.

Test pattern group and a method of measuring an insulation film thickness utilizing the same

Номер патента: US5801538A. Автор: Oh Jung Kwon. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1998-09-01.

Method of manufacturing stable emulsions and compositions containing the same

Номер патента: US20160296476A1. Автор: Anuj Chauhan. Владелец: University of Florida Research Foundation Inc. Дата публикации: 2016-10-13.

Method of manufacturing stable emulsions and compositions containing the same

Номер патента: US11963936B2. Автор: Anuj Chauhan,Robert A. Damitz. Владелец: University of Florida Research Foundation Inc. Дата публикации: 2024-04-23.

Systems and methods for providing information and conducting business using the internet

Номер патента: WO2007081815A2. Автор: Michael Sullivan. Владелец: Paxfire, Inc.. Дата публикации: 2007-07-19.

Systems and methods for providing information and conducting business using the internet

Номер патента: WO2007081815A3. Автор: Michael Sullivan. Владелец: Paxfire Inc. Дата публикации: 2008-04-24.

Method of providing message and user device supporting the same

Номер патента: EP3022848A1. Автор: Geonsoo KIM,Jinhong JEONG,Hanjib Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-05-25.

Processor and method for assigning config id for core included in the same

Номер патента: US20240201995A1. Автор: Wongyu SHIN,Juyeong Yoon,Sangeun JE. Владелец: Rebellions Inc. Дата публикации: 2024-06-20.

Method of preserving organ and apparatus for preserving the same

Номер патента: CA1200507A. Автор: Yasuo Kuraoka,Nobuo Sakao. Владелец: Hoxan Corp. Дата публикации: 1986-02-11.

Method of producing films and jig for producing the same

Номер патента: CA1300857C. Автор: Makoto Fujimoto,Toshio Kaya,Hiroaki Nakagawa. Владелец: Mitsui Petrochemical Industries Ltd. Дата публикации: 1992-05-19.

Method of bit rate de-adaption using the ECMA 102 protocol

Номер патента: US5226121A. Автор: Robert E. Renner,Greig R. Detering,John W. Spenik. Владелец: AG Communication Systems Corp. Дата публикации: 1993-07-06.

Method of preparing metal catalyst and electrode including the same

Номер патента: US8029945B2. Автор: Duck-young Yoo,Suk-gi Hong,Jung-ock Park,Woo-sung Jeon. Владелец: Samsung SDI Co Ltd. Дата публикации: 2011-10-04.

Composite coating material and the production method of the same

Номер патента: US20120234479A1. Автор: Chung-Ching Feng,Pei-Huo Huang,Yong-Song Lin,I-Peng Yao,Ko-Feng Wang,Kai-Feng Kang. Владелец: Individual. Дата публикации: 2012-09-20.

Method of deducing equivalent circuit and system for the same

Номер патента: US20030109995A1. Автор: Hideshi Fukumoto,Yuichi Mabuchi. Владелец: HITACHI LTD. Дата публикации: 2003-06-12.

Methods of enhancing cognition and systems for practicing the same

Номер патента: EP3210200A1. Автор: Adam Gazzaley. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2017-08-30.

Methods of enhancing cognition and systems for practicing the same

Номер патента: AU2023222823A1. Автор: Adam Gazzaley. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2023-09-14.

Methods of enhancing cognition and systems for practicing the same

Номер патента: AU2021204260A1. Автор: Adam Gazzaley. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2021-07-22.

Method of image processing and electronic device utilizing the same

Номер патента: US20060120596A1. Автор: Chih-Chang Chien. Владелец: Winbond Electronics Corp. Дата публикации: 2006-06-08.

Membrane cleaning solution and method of accelerated membrane cleaning using the same

Номер патента: US20200164316A1. Автор: Harry Kany,Flemming Skou,Sandy Te Poele. Владелец: Diversey Inc. Дата публикации: 2020-05-28.

Chemical mechanical polishing apparatus and method of replacing polishing pad using the same

Номер патента: US20240217057A1. Автор: Chungki MIN,Donghoon Kwon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-04.

Pallet and method for transferring the same

Номер патента: US7192019B2. Автор: Sung-Woo Kim,Young-il Ban,Joong-young Ryu,Yong-hwa Chong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-03-20.

Pallet and method for transferring the same

Номер патента: US20060066344A1. Автор: Sung-Woo Kim,Young-il Ban,Joong-young Ryu,Yong-hwa Chong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-03-30.

Mask for crystallizing a semiconductor layer and method of crystallizing a semiconductor layer using the same

Номер патента: US8163444B2. Автор: Cheol-Ho Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2012-04-24.

Polishing pad and method of monitoring a polishing process using the same

Номер патента: US20240227113A1. Автор: JANGWON SEO,Kyung Hwan Kim,Joonho AN,Chang Gyu Im,Yujin Shin. Владелец: SK Enpulse Co Ltd. Дата публикации: 2024-07-11.

Method of manufacturing mold and method of manufacturing pattern sheet

Номер патента: US20170095947A1. Автор: Atsushi Fujita,Shotaro Ogawa,Toshihiro Usa,Aya Mochizuki. Владелец: Fujifilm Corp. Дата публикации: 2017-04-06.

Drip-free glass bottles having a circumferential channel and methods of making and using such bottles

Номер патента: US20170334616A1. Автор: Daniel Perlman. Владелец: BRANDEIS UNIVERSITY. Дата публикации: 2017-11-23.

Screw press apparatus including an improved cip arrangement and method of cleaning the apparatus

Номер патента: WO2017211369A1. Автор: Alexander William Lear. Владелец: GEA Process Engineering A/S. Дата публикации: 2017-12-14.

Screw press apparatus including an improved cip arrangement and method of cleaning the apparatus

Номер патента: EP3463841A1. Автор: Alexander William Lear. Владелец: GEA Process Engineering AS. Дата публикации: 2019-04-10.

Method of manufacturing catalyst coating solution and catalyst body using the same

Номер патента: US20130072379A1. Автор: Young Kee Youn. Владелец: Hyundai Motor Co. Дата публикации: 2013-03-21.

Apparatus and method for 3d printing

Номер патента: WO2021160999A1. Автор: Abdul Basit,Alvaro Goyanes,Simon Gaisford. Владелец: FabRx Limited. Дата публикации: 2021-08-19.

Apparatus and method for 3d printing

Номер патента: EP4103405A1. Автор: Abdul Basit,Alvaro Goyanes,Simon Gaisford. Владелец: Fabrex Ltd. Дата публикации: 2022-12-21.

Resist material and method of manufacturing inkjet recording head using the same

Номер патента: US20030159288A1. Автор: Norio Ohkuma,Hikaru Ueda. Владелец: Canon Inc. Дата публикации: 2003-08-28.

Aqueous developer for flexographic printing plate and manufacturing method of flexographic printing plate

Номер патента: US12044971B2. Автор: Taira MURAKAMI,Yusuke Namba. Владелец: Fujifilm Corp. Дата публикации: 2024-07-23.

Hybrid vehicle and method of controlling response to driving load for the same

Номер патента: US20190001961A1. Автор: Young Chul Kim,Sang Joon Kim,Dong Ho YANG,Lee Hyoung CHO. Владелец: Kia Motors Corp. Дата публикации: 2019-01-03.

Mask and method of manufacturing a polysilicon layer using the same

Номер патента: TW200624997A. Автор: Ming-Wei Sun. Владелец: AU OPTRONICS CORP. Дата публикации: 2006-07-16.

Apparatus and method of manufacturing pouch-shaped case using the same

Номер патента: EP4039438B1. Автор: Shin Hwa Lee,Tae Yoon Kong. Владелец: LG Energy Solution Ltd. Дата публикации: 2024-08-07.

Membrane cleaning solution and method of accelerated membrane cleaning using the same

Номер патента: EP3645147A1. Автор: Harry Kany,Flemming Skou,Sandy Te Poele. Владелец: Diversey Inc. Дата публикации: 2020-05-06.

Apparatus for inserting electrode assembly and method for inserting electrode assembly using same

Номер патента: EP4249189A1. Автор: Sang Jun Park,Ji Eun AHN,Chil Joong KIM. Владелец: SK On Co Ltd. Дата публикации: 2023-09-27.

Apparatus for adsorbing solder ball and method of attaching solder ball using the same

Номер патента: US20160016247A1. Автор: Eun-Sun AN. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-01-21.

Panel having a cleaning function and method for cleaning a substrate treatment apparatus using the same

Номер патента: TW201013763A. Автор: Hiroyuki Kondo,Akihisa Murata. Владелец: Nitto Denko Corp. Дата публикации: 2010-04-01.

Blood serum or blood plasma separating material and blood-collecting tube using same

Номер патента: US20110250105A1. Автор: Yasushi Kojima,Kunihiro Suto. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2011-10-13.

Fusers, printing apparatuses and methods, and methods of fusing toner on media

Номер патента: US20100119267A1. Автор: David P. Van Bortel,Brendan H. Williamson,Brian J. McNamee. Владелец: Xerox Corp. Дата публикации: 2010-05-13.

A printing method, a printing apparatus, and a method of manufacturing a solar cell using the same

Номер патента: TWI479964B. Автор: Yoichiro Nishimoto. Владелец: Mitsubishi Electric Corp. Дата публикации: 2015-04-01.

Flexographic printing plate precursor and manufacturing method of flexographic printing plate

Номер патента: US20240316977A1. Автор: Masato SHIRAKAWA. Владелец: Fujifilm Corp. Дата публикации: 2024-09-26.

Laser annealing apparatus and method of manufacturing display apparatus by using the same

Номер патента: US20170117306A1. Автор: Hongro Lee,Chunghwan Lee. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-04-27.

Laser annealing apparatus and method of manufacturing display apparatus by using the same

Номер патента: US9966392B2. Автор: Hongro Lee,Chunghwan Lee. Владелец: Samsung Display Co Ltd. Дата публикации: 2018-05-08.

Image formation laminate and method for manufacturing flexographic printing plate

Номер патента: EP4269094A1. Автор: Kazuki Watanabe. Владелец: Fujifilm Corp. Дата публикации: 2023-11-01.

Flexographic printing plate original plate and method for manufacturing flexographic printing plate

Номер патента: EP4270107A1. Автор: Kazuki Watanabe. Владелец: Fujifilm Corp. Дата публикации: 2023-11-01.

New and improved pneumatic wand apparatus and method

Номер патента: WO1994006686A1. Автор: Mark Huza,Michael Pesce,Jack M. Olich. Владелец: Diba Industries Inc.. Дата публикации: 1994-03-31.

Apparatus for manufacturing vehicle card key and method of manufacturing vehicle card key using the same

Номер патента: US11992984B2. Автор: Sang Gwon LEE. Владелец: Hyundai Mobis Co Ltd. Дата публикации: 2024-05-28.

Composition of matter and methods for a fecal-derived sterilized prebiotic and postbiotic

Номер патента: US20230089315A1. Автор: Andrea MCBETH,Piper DOBNER. Владелец: Thaena Inc. Дата публикации: 2023-03-23.

Gel electrolyte composition and method of manufacturing gel electrolyte using same

Номер патента: US20200381779A1. Автор: Eun Ji KWON,Gwang Seok OH,Samuel Seo. Владелец: Kia Motors Corp. Дата публикации: 2020-12-03.

Mask blank and method of fabricating phase shift mask from the same

Номер патента: US20030194620A1. Автор: Yong-Hoon Kim. Владелец: Individual. Дата публикации: 2003-10-16.

Navigation system and method of recognizing traffic lane using the same

Номер патента: US20110282577A1. Автор: Eun Sung Lee,Moon Beom HEO,Woo Yong KANG. Владелец: Korea Aerospace Research Institute KARI. Дата публикации: 2011-11-17.

Image forming apparatus and method of forming color image using the same

Номер патента: US20090291385A1. Автор: Ji-won Jeong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-11-26.

Inverse mixcolumn block device and method of performing multiplication calculation using the same

Номер патента: WO2008069388A3. Автор: Young-Il Kim,Jung-Hoon Oh,Yong-Su Lee. Владелец: Yong-Su Lee. Дата публикации: 2009-07-30.

Vacuum dryer and method of drying semiconductor device using the same

Номер патента: US6112430A. Автор: Jong-jae Lee,Chan-geun Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-09-05.

Traffic light controller and method of controlling traffic light using the same

Номер патента: US11721210B2. Автор: Seung Jae KIM. Владелец: Hyundai Mobis Co Ltd. Дата публикации: 2023-08-08.

Temperature and pressure sensors and methods

Номер патента: US10845211B2. Автор: Chengying Xu,Amanda Schrand,Reamonn SOTO. Владелец: Florida State University Research Foundation Inc. Дата публикации: 2020-11-24.

Image forming apparatus and method of forming color image using the same

Номер патента: WO2009142387A1. Автор: Ji-won Jeong. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2009-11-26.

Actuator for optical pick-up and method of rolling driving coil therefor

Номер патента: US20010040858A1. Автор: Yong-Hoon Lee,Seok-jung Kim,Byung-ryul Ryoo,Yong-ki Son. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2001-11-15.

Nonvolatile memory device and method of processing in memory (pim) using the same

Номер патента: US20210183446A1. Автор: Se-Hwan Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-06-17.

Hardmask composition, hardmask layer and method of forming patterns

Номер патента: US20240255842A1. Автор: Sunghwan Kim,Sangmi Kim. Владелец: Samsung SDI Co Ltd. Дата публикации: 2024-08-01.

Acoustic system for receiving internet broadcasting and internet broadcasting receiving method using the same

Номер патента: WO2003073193A3. Автор: Ok-Gyun Shin. Владелец: Ok-Gyun Shin. Дата публикации: 2003-11-27.

Acoustic system for receiving internet broadcasting and internet broadcasting receiving method using the same

Номер патента: WO2003073193A2. Автор: Ok-Gyun Shin. Владелец: Ok-Gyun Shin. Дата публикации: 2003-09-04.

Display apparatus and method of driving atypical display panel using the same

Номер патента: US20210074202A1. Автор: TaeJin KIM,Jakyoung JIN. Владелец: Samsung Display Co Ltd. Дата публикации: 2021-03-11.

Multipurpose Jig for Manufacturing Second Battery and Method of Setting Manufacturing Apparatus by Using the Same

Номер патента: US20240322212A1. Автор: Sanghun Kim. Владелец: LG Energy Solution Ltd. Дата публикации: 2024-09-26.

Multi-layer metrology systems and methods

Номер патента: WO2024184047A1. Автор: Sebastianus Adrianus GOORDEN,Louise Karina Laurie GOUTEUX. Владелец: ASML Netherlands B.V.. Дата публикации: 2024-09-12.

Apparatus and method of timing advance indication of same

Номер патента: EP4091372A1. Автор: Hao Lin. Владелец: OROPE FRANCE SARL. Дата публикации: 2022-11-23.

Method of bonding probes and method of manufacturing a probe card using the same

Номер патента: TWI323786B. Автор: Ki-Joon Kim,Yong-Hwi Jo. Владелец: Phicom Corp. Дата публикации: 2010-04-21.

Non-electrical devices and methods for producing wah-wah and other effects with stringed instruments

Номер патента: US20150007706A1. Автор: Igor KHOTINSKY. Владелец: Individual. Дата публикации: 2015-01-08.

Tweezers and a method of conducting root canal therapy

Номер патента: AU2023290926B2. Автор: Kehn YAPP. Владелец: Individual. Дата публикации: 2024-09-19.

Systems and methods for modeling and displaying sweetener synergy

Номер патента: US20240185945A1. Автор: Xiaoqun Mo,Chia-Hua April HSU. Владелец: Wm Wrigley Jr Co. Дата публикации: 2024-06-06.

Method for doping polysilicon and method for fabricating a dual poly gate using the same

Номер патента: US20090061602A1. Автор: Jin-Ku Lee,Jae-Geun Oh,Sun-Hwan Hwang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-03-05.

Systems and methods for modeling and displaying sweetener synergy

Номер патента: WO2024107878A9. Автор: Xiaoqun Mo,Chia-Hua April HSU. Владелец: WM. WRIGLEY JR. COMPANY. Дата публикации: 2024-07-18.

Gate fastener with locking pin and method for securing a double gate with such a gate fastener

Номер патента: EP4414525A1. Автор: Simon Garth NAPTHINE. Владелец: Gatemaster Ltd. Дата публикации: 2024-08-14.

Scarifier system, and method of resurfacing or remodeling a ground surface using the scarifier system

Номер патента: US12049734B1. Автор: Ariel Gerardo Martinez. Владелец: Individual. Дата публикации: 2024-07-30.

Anti-inflammatory compositions and uses thereof

Номер патента: WO2004066912A3. Автор: Ehud Keinan,Aron Alt. Владелец: Aron Alt. Дата публикации: 2005-12-08.

Test system for detecting faults in multiple devices of the same type

Номер патента: US20240219453A1. Автор: Jan-Peter Schat,Paul Wielage. Владелец: NXP BV. Дата публикации: 2024-07-04.

Device and method of digital image content recognition, training of the same

Номер патента: US20200380293A1. Автор: Benedikt Sebastian Staffler,Nicole Ying Finnie. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2020-12-03.

Device and method of digital image content recognition, training of the same

Номер патента: US11263495B2. Автор: Benedikt Sebastian Staffler,Nicole Ying Finnie. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2022-03-01.

Moving carrier for lead frame and method of moving lead frame using the moving carrier

Номер патента: US20090047111A1. Автор: Qiang Chen,Yibo LIU. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-02-19.

Tweezers and a method of conducting root canal therapy

Номер патента: AU2023290926A1. Автор: Kehn YAPP. Владелец: Individual. Дата публикации: 2024-05-23.

Tweezers and a method of conducting root canal therapy

Номер патента: WO2023240306A1. Автор: Kehn YAPP. Владелец: Yapp Kehn. Дата публикации: 2023-12-21.

Hearing device system, devices and method of creating a trusted bond between a hearing device and a user application

Номер патента: EP4354926A3. Автор: Allan Munk VENDELBO. Владелец: GN Hearing AS. Дата публикации: 2024-08-14.

Anti-inflammatory compositions and uses thereof

Номер патента: EP1587482A2. Автор: Ehud Keinan,Aron Alt. Владелец: Technion Research and Development Foundation Ltd. Дата публикации: 2005-10-26.

Semiconductor device and method of failure analysis of LSI using the same

Номер патента: TW417226B. Автор: Itaru Tamura. Владелец: Toshiba Corp. Дата публикации: 2001-01-01.

Methods of dispensing a urinary catheter from a sterile package

Номер патента: US20190282782A1. Автор: Timothy A. Palmer. Владелец: Cure Medical LLC. Дата публикации: 2019-09-19.

United afec and method thereof, and tdd radio repeater apparatus using the same

Номер патента: WO2009014284A1. Автор: Byung-Yang Ahn. Владелец: Airpoint. Дата публикации: 2009-01-29.

Oral formulations of cytidine analogs and methods of use thereof

Номер патента: EP4327888A3. Автор: Jeffrey B. Etter,Mei Lai,Jay T Backstrom. Владелец: Celgene Corp. Дата публикации: 2024-05-22.

Device and method for mediating heavy equipment and system using the same

Номер патента: US20210334869A1. Автор: Seok-Ho BAE,Han-Jun BAE,Hyun-Woong BAE. Владелец: Individual. Дата публикации: 2021-10-28.

Oral formulations of cytidine analogs and methods of use thereof

Номер патента: US12053482B2. Автор: Jeffrey B. Etter,Mei Lai,Jay Thomas Backstrom. Владелец: Celgene Corp. Дата публикации: 2024-08-06.

Digital image processing apparatus and method of arranging digital image files used by the same

Номер патента: US20090202178A1. Автор: Shinri Takahashi. Владелец: Samsung Techwin Co Ltd. Дата публикации: 2009-08-13.

Measurement system and method for testing an object

Номер патента: US20240241165A1. Автор: Corbett Rowell,Johannes Steffens. Владелец: Rohde and Schwarz GmbH and Co KG. Дата публикации: 2024-07-18.

Solar power supply system and method of improving power supply efficiency using the same

Номер патента: TW201123681A. Автор: Chih-Chen Lai. Владелец: Hon Hai Prec Ind Co Ltd. Дата публикации: 2011-07-01.

Etching apparatus and method for fabricating alternating phase shift mask using the same

Номер патента: US20110159415A1. Автор: Sang Jin Jo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-06-30.

Inspection apparatus and method of inspecting an electronic device using the same

Номер патента: TW201120437A. Автор: Chang-Wook Park,Ho-Seung Jung,Kwang-Yong You. Владелец: Secron Co Ltd. Дата публикации: 2011-06-16.

Apparatus for fabricating a semiconductor device and method of fabricating a semiconductor device using the same

Номер патента: US20080057734A1. Автор: Dae Young Kim. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-03-06.

Network Connection Apparatus and Method

Номер патента: US20200089638A1. Автор: John Young. Владелец: Individual. Дата публикации: 2020-03-19.

Photoresist composition and method of manufacturing semiconductor device using the same

Номер патента: US20170199456A1. Автор: Jin Park,Hyun Woo Kim,Jin Kyu Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-07-13.

Organic light emitting display device, and method of generating a gamma reference voltage for the same

Номер патента: US20140111402A1. Автор: Min-Weun Kim. Владелец: Samsung Display Co Ltd. Дата публикации: 2014-04-24.

Self Locking Fasteners And Methods Relating To Same

Номер патента: US20110296668A1. Автор: Scott J. Emmerich. Владелец: Individual. Дата публикации: 2011-12-08.

Compositions and methods of treatment for congenital diarrheal disorder

Номер патента: WO2023205635A1. Автор: James R. Goldenring,Izumi KAJI. Владелец: VANDERBILT UNIVERSITY. Дата публикации: 2023-10-26.

Stacked package, method of making and electronic device including the stacked package

Номер патента: US20240222329A1. Автор: Ming Li. Владелец: Yibu Semiconductor Co Ltd. Дата публикации: 2024-07-04.

NAND flash memory device and method of improving characteristic of a cell in the same

Номер патента: TW200832422A. Автор: Duck-Ju Kim,Jun-Seop Chung,Ji-Hye Son. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-08-01.

Window clamp and method of alignment of lead frame strip utilizing the same

Номер патента: GB9617166D0. Автор: . Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1996-09-25.

Block for retaining wall and method for the construction of retaining wall using the same

Номер патента: TW457318B. Автор: Masumi Akamine. Владелец: Masumi Akamine. Дата публикации: 2001-10-01.

Method of tracking object and apparatuses performing the same

Номер патента: US11176680B2. Автор: Doo Hyun Kim,Vladimir TYAN. Владелец: University Industry Cooperation Corporation of Konkuk University. Дата публикации: 2021-11-16.

Organic light emitting display device and method of manufacturing the same

Номер патента: US20070247068A1. Автор: Jin Woo Park. Владелец: Samsung SDI Co Ltd. Дата публикации: 2007-10-25.

Apparatus for examining brain injury, method of making and method of using the same

Номер патента: US20230139872A1. Автор: Qianhong WU,Ji LANG. Владелец: Villanova University. Дата публикации: 2023-05-04.

Fecal-derived sterile postbiotic composition and method therefor

Номер патента: WO2021076999A1. Автор: Matthew SHURTLEFF,Andrea MCBETH,Piper DOBNER. Владелец: Hubble Bioscience Inc.. Дата публикации: 2021-04-22.

Mobile terminal and method for forming human network using the same

Номер патента: EP2355006A3. Автор: Hyeong-baek JEON. Владелец: PANTECH CO LTD. Дата публикации: 2014-03-26.

Composition and method for preventing or treating pine wilting disease

Номер патента: US5945445A. Автор: Tetsuo Kumagai,George F. Barringer. Владелец: Merck and Co Inc. Дата публикации: 1999-08-31.

Press jig and method of manufacturing secondary battery using same

Номер патента: US11769899B2. Автор: Gyu Ok HWANG. Владелец: LG Energy Solution Ltd. Дата публикации: 2023-09-26.

Temperature and pressure sensors and methods

Номер патента: EP3566035A1. Автор: Chengying Xu,Amanda Schrand,Reamonn SOTO. Владелец: US Air Force. Дата публикации: 2019-11-13.

Temperature and pressure sensors and methods

Номер патента: US20200363234A1. Автор: Chengying Xu,Amanda Schrand,Reamonn SOTO. Владелец: US Air Force. Дата публикации: 2020-11-19.

Temperature and pressure sensors and methods

Номер патента: US20180195879A1. Автор: Chengying Xu,Amanda Schrand. Владелец: US Air Force. Дата публикации: 2018-07-12.

Mixcolumn block device and method of performing multiplication calculation using the same

Номер патента: WO2008069386A3. Автор: Young-Il Kim,Hyun-Jae Kim,Jung-Hoon Oh. Владелец: Jung-Hoon Oh. Дата публикации: 2009-07-30.

Temperature and pressure sensors and methods

Номер патента: US12007252B2. Автор: Chengying Xu,Amanda Schrand,Reamonn SOTO. Владелец: US Air Force. Дата публикации: 2024-06-11.

Aqueous developer for flexographic printing plate, and method for producing flexographic printing plate

Номер патента: EP4205980A1. Автор: Masato SHIRAKAWA. Владелец: Fujifilm Corp. Дата публикации: 2023-07-05.

Optical pen mouse capable of magnifying displayed object and method of magnifying displayed object using the same

Номер патента: WO2008096973A1. Автор: Myeong Ho KIM. Владелец: Isv Co., Ltd.. Дата публикации: 2008-08-14.

Optical pen mouse capable of magnifying displayed object and method of magnifying displayed object using the same

Номер патента: EP2115553A1. Автор: Myeong Ho KIM. Владелец: ISV Co Ltd. Дата публикации: 2009-11-11.

Rotary tool for spreading particulate materials and method of using the same

Номер патента: US20120012666A1. Автор: Todd E. Pugh. Владелец: Green Industry Innovators LLC. Дата публикации: 2012-01-19.

Rotary tool for spreading particulate materials and method of using the same

Номер патента: US8540167B2. Автор: Todd E. Pugh. Владелец: Green Industry Innovators LLC. Дата публикации: 2013-09-24.

Methods of Playing Wagering Games with the KENO System

Номер патента: US20190392673A1. Автор: Ahmnon D. Moskowitz. Владелец: Individual. Дата публикации: 2019-12-26.

Systems and methods for identifying gas concentrations using an mox sensor

Номер патента: US20240122184A1. Автор: Colin J. Holmes,Richard S. Rader. Владелец: Chorus LLC. Дата публикации: 2024-04-18.

Methods of braking motors and motor starters employing the same

Номер патента: US20230268851A1. Автор: Stan Rex Simms. Владелец: Eaton Intelligent Power Ltd. Дата публикации: 2023-08-24.

Method of selecting accident image using results of recognition of obstacle on road

Номер патента: US20230222810A1. Автор: Jin Hyuck Kim. Владелец: AImatics Co Ltd. Дата публикации: 2023-07-13.

Conductive paste, and method for producing printed wiring board by using the same

Номер патента: TW200631035A. Автор: Noriki Hayashi,Hitoshi Takii,Yoshio Oka. Владелец: Sumitomo Electric Industries. Дата публикации: 2006-09-01.

Systems and methods for identifying gas concentrations using an mox sensor

Номер патента: WO2024138214A2. Автор: Colin J. Holmes,Richard S. Rader. Владелец: Chorus, Llc. Дата публикации: 2024-06-27.

Systems and methods for identifying gas concentrations using an mox sensor

Номер патента: WO2024138214A3. Автор: Colin J. Holmes,Richard S. Rader. Владелец: Chorus, Llc. Дата публикации: 2024-08-02.

Sealant dispensing apparatus and method for manufacturing liquid crystal display device using the same

Номер патента: GB2432677B. Автор: Seung Beum Lee. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2008-05-28.

Exposure system, method of forming alignment film, method of manufacturing optical element, and optical element

Номер патента: US20240319542A1. Автор: Masao Mori,Yu Kitahara. Владелец: Fujifilm Corp. Дата публикации: 2024-09-26.

Ultraviolet irradiating device and method of manufacturing liquid crystal display device using the same

Номер патента: US20030147032A1. Автор: Sung Jung,Moo Park. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2003-08-07.

Carrier and method of fabricating semiconductor device using the same

Номер патента: US20150162235A1. Автор: Jisoon Park,Byung Lyul Park,Hyungjun Jeon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-06-11.

Method of image stabilization and electronic device performing the same

Номер патента: EP4422196A1. Автор: Hyeyun JUNG,Daeho Lee,Joonyeon Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-28.

An identification card and a method of identifying a card holder using the card

Номер патента: WO2005066906A1. Автор: Raymond Douglas. Владелец: Kinderguard Limited. Дата публикации: 2005-07-21.

MASK, METHOD OF MANUFACTURING THE SAME, AND METHOD OF MANUFACTURING A DISPLAY PANEL USING THE SAME

Номер патента: US20160109793A1. Автор: KANG Min,JU Jin-Ho,KIM Bong-Yeon,LEE Hyun-Joo,Son Yong. Владелец: . Дата публикации: 2016-04-21.

Method of configuring symbols and device using the same and method of demodulating data and device using the same

Номер патента: US20190319826A1. Автор: Yu Xin,Luanjian BIAN. Владелец: ZTE Corp. Дата публикации: 2019-10-17.

Method of configuring symbols and device using the same and method of demodulating data and device using the same

Номер патента: US10833906B2. Автор: Yu Xin,Luanjian BIAN. Владелец: ZTE Corp. Дата публикации: 2020-11-10.

Self-defense spray and method of controlling electronic device using the same

Номер патента: US20150133072A1. Автор: InSeong Cho,Hyunmin Lee,SeWon JANG. Владелец: DEIMOS DEFENCE Inc. Дата публикации: 2015-05-14.

Guiding sheath system and method of delivering an endovascular device using the same

Номер патента: US20210330481A1. Автор: David J. Minion. Владелец: University of Kentucky Research Foundation. Дата публикации: 2021-10-28.

Graphic image data compressor and method of compressing graphic image data

Номер патента: US20060159358A1. Автор: Young-pum Yi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-07-20.

Graphic image data compressor and method of compressing graphic image data

Номер патента: US7664330B2. Автор: Young-pum Yi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2010-02-16.

Improvements in Compound Yarns or Threads of Fibrous Material, and in the Method of Producing same.

Номер патента: GB190326409A. Автор: John Reynard Brogden. Владелец: Individual. Дата публикации: 1904-10-20.

Improvements in Gas and like Engines, and in the Method of Mixing and Volatilizing the Gases in the same.

Номер патента: GB189604184A. Автор: Walter Rowbotham. Владелец: Individual. Дата публикации: 1897-01-16.

Method of bleeding a hydraulic system using the bleeding apparatus hydraulic system

Номер патента: PH12017000353A1. Автор: E Cubar Jogie. Владелец: Iloilo Science And Technology Univ. Дата публикации: 2019-06-24.

Stone oil from stone fruits, method for extraction the same, pharmaceutical compositions and uses thereof

Номер патента: RU2285535C2. Автор: Дапенг ЛИ. Владелец: Дапенг ЛИ. Дата публикации: 2006-10-20.

Hydraulic control system of a ship and a method of ship stabilizing fin control using the same

Номер патента: TW201008830A. Автор: Shean-Kwang Chou,Wen-Hua Chen. Владелец: United Ship Design & Dev Ct. Дата публикации: 2010-03-01.

Control circuit and method for converting color signal and display using the same

Номер патента: TWI373033B. Автор: Yu yeh Chen,Kuo Shiuan Peng. Владелец: Chimei Innolux Corp. Дата публикации: 2012-09-21.

The method of conversation in water

Номер патента: PH12013000178A1. Автор: Yasuhiro K Egashira. Владелец: Yasuhiro K Egashira. Дата публикации: 2015-04-06.

Prefabricated panel and a method of constructing a wall using the panel

Номер патента: MY132386A. Автор: Yong @ Robert Valentine Yong Robert. Владелец: Yong @ Robert Valentine Yong Robert. Дата публикации: 2007-10-31.