Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 4191. Отображено 200.
30-09-2004 дата публикации

Emulsion mask defect repair - by applying contoured UV beam of excimer laser

Номер: DE0004042695B4

Defects in the emulsion layer of a photomask are repaired by irradiation of the defect with UV light in a shape to match the defect and by destroying the defect by this treatment. The UV beam of an excimer laser is used to pass through an aperture of suitable configuration. To correct a black spot defect (D) of the emulsion mask (7), the beam (2) of a laser oscillator (1) is expanded (3) and the UV component is reflected selectively (4) through an aperture (5x) of the plate (5) to project the shape of (5x) through a reproduction lens (6) on the mask. A lens (11) can be used to observe the spot through a semitransparent mirror (10). For the correction of white defects, a slightly adhesive resin (PVC, polyester, PU, epoxy resin) in an organic solvent is applied around the defective area.

Подробнее
12-01-1961 дата публикации

Verfahren zur Vervielfaeltigung geteilter Praezisionsmassstaebe

Номер: DE0001097149B
Автор:

Подробнее
14-04-1976 дата публикации

HIGH SENSITIVE PHOTOGRAPHIC MASK MATERIAL

Номер: GB0001432305A
Автор:
Принадлежит:

... 1432305 Photographic material for photomasks AGFA-GEVAERT AG 8 Feb 1974 [8 Feb 1973] 05858/74 Heading G2C Photographic material for producing masks comprises in order (a) a transparent support, (b) a metal, metal oxide or Si layer (c) a photoresist layer e.g. a photopolymerizable or polyizer/ azide layer, (d) a silver halide layer the layer (c) or a layer between (c) and (d), containing a dye which has an absorption maximum at a wavelength to which the silver halide is sensitive but does not absorb in a region to which the photoresist is sensitive. Specified photo-resists are cyclized polyizoprene/azide, polymers containing sulphonyl azide groups (e.g. Specification 1062884), polyvinyl cinnamates, photocross linkable polymers as Specifications 1384343 and 1373146 and photopolymerizable compositions as Specification 741441. Preferably an adhesion promoting layer of a hydrolysable organosilane is applied to the photo-resist layer (c) before application of layer (d). The specified screening ...

Подробнее
05-06-1974 дата публикации

PHOTOMASK

Номер: GB0001354809A
Автор:
Принадлежит:

... 1354809 Cathode sputtering rare earth orthoferrites INTERNATIONAL BUSINESS MACHINESS CORP 6 Nov 1972 [27 Dec 1971] 51116/72 Heading C7F [Also in Division B6 and G2] Rare earth orthoferrites such as Gd Fe O 3 are cathode sputtered on to a substrate (e.g. glass, sapphire or quartz) e.g. from a hot-pressed mixture of Fe 2 O 3 and Gd 2 O 3 in an atmosphere of argon and/or oxygen using a substrate temperature of 25-200‹C and a powder density of 3 À15-8À75 watts cm.-2. The coating is used as a photo-mask.

Подробнее
06-12-1945 дата публикации

Improvements in the production of photographic stencils

Номер: GB0000573798A
Автор:
Принадлежит:

... 573,798. Photographic wash-out reliefs. KODAK, Ltd., GRESHAM, D. C., and LOENING, E. E. Dec. 9, 1943, No. 20605. Drawings to Specification. [Class 98 (ii)] [Also in Group XXXVI] A stencil is produced from material comprising a light-sensitive layer comprising a hardenable colloid superimposed on another (preferably hydrophobic) layer more easily etchable than the former (when hardened) by exposure, dissolving away the image layer imagewise, and dissolving away the other layer in the areas laid bare with a bath which simultaneously etches the unhardened and less hardened parts of the upper layer but not the hardest parts thereof. The light-sensitive layer may be a gum-bichromate or silver halide emulsion layer. The lower layer may be soluble in acid baths, and the viscosity of the bath may be adjusted to obtain the best balance between the rate of the effect on the lower layer and that on the upper layer. If the light-sensitive layer is of gelatine emulsion, the imagewise solution may be ...

Подробнее
27-03-1930 дата публикации

Improvements in and relating to the preparation of transparent pictures

Номер: GB0000327068A
Автор:
Принадлежит:

... 327,068. Heidenhain, J. June 18, 1929. Photo-mechanical printing-surfaces. - In the production of photo-mechanical negatives, a lead sulphide coating, produced on a glass or other transparent plate, is covered with a light-sensitive albumen layer. The plate is exposed and developed in the usual way, and the lead sulphide coating is then etched.

Подробнее
04-06-1969 дата публикации

The Production of an Image on a Substrate

Номер: GB0001154212A
Принадлежит:

... 1,154,212. Etching. M. BASSAN. 21 June, 1966, No. 27658/66. Heading B6J. [Also in Division G2] A photomechanical process comprises imagewise exposing a material consisting of a glass support coated with a metallic layer and then with a photoresist containing a bichromate and Judean bitumen layer, developing to remove the unexposed areas of the photoresist, etching through the metallic layer and then partly etching the glass support. A different metal or a paint may be deposited on the etched glass support. Specified etchants are nitric or hydrochloric acid for the metallic layer and hydrofluoric acid for the glass support.

Подробнее
17-02-1936 дата публикации

Improvements relating to the photographic production of direct positives for autotype purposes

Номер: GB0000442887A
Автор:
Принадлежит:

... 442,887. Producing photomechanical printing surfaces. 1. G. FARBENINDUSTRIE AKT.-GES., Frankfort-on-Main, Germany. Aug. 17, 1934, No. 23774. Convention date, Aug. 19, 1933. [Class 98 (ii)] In a process for producing direct positives for autotype purposes from a line or half-tone copy, a film comprising a light-sensitive gelatin layer carried on a transparent support is exposed through the support under the copy, developed with a tanning developer, well washed with warm water to leave the gelatine relief, dried and dyed with a solution of a dyestuff which dyes the parts of the support laid bare by the production of the gelatin relief in a manner that it is impermeable to the photographically active rays, for example a solution of typophor black in a mixture of benzene and methanol, and the gelatin relief is removed from the support by hydrogenperoxide, dilute caustic soda solution, a solution of a thiocyanate, hypochlorite solution, papayotin or the like, the latter four reagents being applied ...

Подробнее
10-07-1974 дата публикации

SEMI-TRANSPARENT IRON OXIDE LAYER ON A SUBSTRATE

Номер: GB0001359250A
Автор:
Принадлежит:

... 1359250 Etchable iron oxide coatings METTLER INSTRUMENTE AG 14 Nov 1972 [11 April 1972] 52606/72 Heading B2E [Also in Division G2] A semi-transparent layer on a substrate substantially comprises ferro-magnetic iron oxide, useful as a photomask. Preferably the oxide is -Fe 2 O 3 and the layer contains a stabiliser, such as a lithium salt, water or, preferably, 8.5 to 22 molar % bivalent iron. The term semi-transparent means that the layer has differing degrees of transmissivity for light of different wavelengths.

Подробнее
24-08-1977 дата публикации

AZO DYE PATTERN SHEET

Номер: GB0001484080A
Автор:
Принадлежит:

... 1484080 Azo dye pattern sheets for making masters W H BRADY CO 23 May 1975 [28 May 1974] 22902/75 Headings G2C and G2X A flexible pattern sheet for making printed circuit board masters comprises (i) a flexible, transparent base sheet, (ii) a visibly coloured azo dye layer in which the dye is in an imagewise or overall distribution and (iii) a transparent adhesive layer bonded to either the base sheet or the azo dye layer. The pattern sheet is prepared by coating a base e.g. of polyethylene terephthalate with a transparent colourless resin containing a diazonium compound and an azo coupler, exposing and developing the sheet to produce the azo dye, and coating a layer of adhesive e.g. a pressure sensitive adhesive on the resin layer or on the rear surface of the base. The pattern sheets are adhered to transparent sheets to make master transparencies, which are then photographically reduced to form photomasks for printed circuits. Two circuits for opposite sides of a printed circuit board ...

Подробнее
06-02-1980 дата публикации

IMAGING FILM AND METHOD

Номер: GB0001560325A
Автор:
Принадлежит:

Подробнее
28-05-1975 дата публикации

ABRASION-RESISTANT METAL-COATED GLASS ARTICLES

Номер: AU0000461956B2
Автор:
Принадлежит:

Подробнее
23-01-2004 дата публикации

MASK AND MANUFACTURING METHOD USING MASK

Номер: AU2003281392A1
Принадлежит:

Подробнее
23-04-2004 дата публикации

Method of making an integrated circuit using a photomask having a dual antireflective coating

Номер: AU2003252099A8
Принадлежит:

Подробнее
19-03-2004 дата публикации

Improved photomask having an intermediate inspection film layer

Номер: AU2003253797A8
Принадлежит:

Подробнее
19-03-2004 дата публикации

IMPROVED PHOTOMASK HAVING AN INTERMEDIATE INSPECTION FILM LAYER

Номер: AU2003253797A1
Принадлежит:

Подробнее
09-08-1984 дата публикации

FREE RADICAL ACID GENERATION IMAGING PROCESS

Номер: AU0002398584A
Принадлежит:

Подробнее
13-08-1974 дата публикации

METHOD AND DEVICE FOR THE PREPARATION OF THIN FILMS

Номер: CA0000952770A1
Принадлежит: NA

Подробнее
21-10-1975 дата публикации

STRUCTURE AND METHOD FOR PRODUCING MICROFORM

Номер: CA0000976353A1
Автор: HALLMAN ROBERT W
Принадлежит:

Подробнее
07-02-1987 дата публикации

METAL FILM IMAGING STRUCTURE

Номер: CA0001217669A1
Принадлежит:

Подробнее
03-03-1981 дата публикации

IMAGING FILM HAVING BISMUTH OR BISMUTH ALLOY IMAGE- FORMING LAYER

Номер: CA0001096684A1
Принадлежит:

Подробнее
23-06-2011 дата публикации

METHOD AND DEVICE FOR PRODUCING MASKS FOR A LASER INSTALLATION FOR THE PRODUCTION OF MICROSTRUCTURES

Номер: CA0002784623A1
Принадлежит:

In the method for producing masks and/or diaphragms for a laser installation for the creation of microstructures on a solid body surface according to the mask projection technique, predetermined opaque surface portions which scatter the laser radiation are produced in the mask and/or diaphragm substrate by roughening and modifying the latter by means of a femtosecond, picosecond or fluor laser beam. Such masks and diaphragms have a strongly improved lifetime and accuracy and may e.g. serve for the creation of blazed gratings which, arranged in diffraction grating arrays on a solid body surface, serve for producing spectral colours and mixed colours of high brilliance.

Подробнее
13-10-1981 дата публикации

METHOD OF PRODUCING IRON OXIDE PHOTO MASKS AND IRON OXIDE MASKS OBTAINED IN ACCORDANCE WITH THIS METHOD

Номер: CA0001110507A

Method of producing photomasks of X-ray amorphous iron oxide (Fe203) by spraying a transparent substrate at a temperature between 180 and 250.degree.C with a solution of an hydrolysable iron salt in a polar organic solution or, in accordance with a variant of this method, at a temperature between 180 and 750.degree.C with a solution of a hydrolysable iron salt which also contains a salt of a metal having a greater ion diameter. If temperatures above approximately 230.degree.C are used, the solvent may in the latter case also consist of water only.

Подробнее
31-07-1973 дата публикации

PROCESS FOR PRODUCING PHOTOGRAPHIC PATTERNS ON A SUBSTRATE

Номер: CA931007A
Автор:
Принадлежит:

Подробнее
11-02-1975 дата публикации

SILICON COATED SUBSTRATES AND OBJECTS FABRICATED THEREFROM

Номер: CA0000962493A
Принадлежит: DIEM ALBERT R, DIEM, ALBERT R.

Подробнее
17-06-1975 дата публикации

PHOTOGRAPHIC ELEMENTS AND THEIR PREPARATION

Номер: CA969409A
Автор:
Принадлежит:

Подробнее
17-06-1975 дата публикации

PHOTOGRAPHIC ELEMENTS AND THEIR PREPARATION

Номер: CA0000969409A1
Принадлежит: NA

Подробнее
16-07-1985 дата публикации

LOW TEMPERATURE REDUCTION PROCESS FOR LARGE PHOTOMASKS

Номер: CA0001190355A1
Автор: ERNSBERGER FRED M
Принадлежит:

Подробнее
15-06-1969 дата публикации

Verfahren zur photographischen Herstellung von Masken

Номер: CH0000474159A

Подробнее
14-05-1976 дата публикации

Номер: CH0000575609A5
Автор:

Подробнее
15-06-1976 дата публикации

Номер: CH0000576655A5
Автор:

Подробнее
31-01-1973 дата публикации

Photomechanical engraving - enabling easier etching away of photoresist, for eg instrument scales

Номер: CH0000533320A
Принадлежит: KERN & CO AG, KERN & CO. AG

The instrument scales are on transparent carriers esp. glass, on whose surface a thin film of transparent material esp. whose refractive index and thickness make it non-reflective e.g. MgF2 or SiO2 is deposited. A negative image of the desired scales etc. is formed on the surface of the film using photographic emulsion varnish and the pigmented marking material deposited over all. The photoresist is then etched away to leave the markings adhering to the tin film in the window areas of the original negative i.e forming the desired positive image.

Подробнее
15-03-1974 дата публикации

PROCEDE ET DISPOSITIF D'ELABORATION D'UNE COUCHE MINCE.

Номер: CH0000546830A
Автор:

Подробнее
31-10-1968 дата публикации

Verfahren zur Herstellung einer optischen Maske

Номер: CH0000464693A

Подробнее
15-01-1975 дата публикации

LICHTEMPFINDLICHE PLATTE.

Номер: CH0000558029A
Автор:
Принадлежит: GAF CORP, GAF CORP.

Подробнее
15-08-1975 дата публикации

Номер: CH0000565500A5
Автор:
Принадлежит: METTLER INSTRUMENTE AG

Подробнее
15-03-1977 дата публикации

Номер: CH0000585603A5
Автор:

Подробнее
31-05-1977 дата публикации

Номер: CH0000588098A5
Автор:
Принадлежит: ULVAC CORP, ULVAC CORP.

Подробнее
15-02-1982 дата публикации

Dry-system element for producing pictures

Номер: CH0000628160A5
Принадлежит: KIMOTO KK, KIMOTO & CO. LTD.

Подробнее
14-12-1984 дата публикации

PHOTOSENSITIVES MATERIAL AND PROCEDURE FOR ITS DEVELOPMENT.

Номер: CH0000646800A5
Принадлежит: KIMOTO KK, KIMOTO & CO. LTD.

Подробнее
14-03-1986 дата публикации

DECORATED ARTICLE AND PROCEDURE FOR THE PRODUCTION OF SUCH.

Номер: CH0000654791A5
Автор:
Принадлежит: METALEM SA, METALEM S.A.

Подробнее
26-03-2014 дата публикации

Diffraction grating manufacturing method, spectrophotometer, and semiconductor device manufacturing method

Номер: CN103688198A
Принадлежит:

The first technical purpose of the present invention is to provide a technique for manufacturing a diffraction grating which is ideal for use in a spectrophotometer, has an apex angle in a protuberance of approximately 90 degrees, and has a high diffraction efficiency and a low quantity of stray light. A manufacturing method for a diffraction grating, said method being characterised in that exposure is carried out under exposure conditions set such that the cross-sectional shape of a protuberance in a resist on a substrate, formed by means of exposure, is an asymmetric triangle and the angle formed by the long and short sides of the triangle shape relative to the shape of an opening section in a mask having opening sections in a periodic structure is approximately 90 degrees. The second technical purpose of the invention is to provide a technique for manufacturing a diffraction grating which can enables the precision to be raised and the manufacturing time to be shortened. Light emitted ...

Подробнее
02-01-2008 дата публикации

Method for manufacturing mask, method for manufacturing wiring pattern, and method for manufacturing plasma display

Номер: CN0101098575A
Принадлежит:

A method for manufacturing a mask includes performing a lyophobic treatment on at least one surface of a translucent substrate; disposing a light-shielding material on the surface subjected to the lyophobic treatment of the translucent substrate in a desired shape by using a droplet discharge method; and firing the light-shielding material so as to form a light-shielding pattern on the translucent substrate.

Подробнее
25-02-1998 дата публикации

Phase shift mask and method of manufacturing the same

Номер: CN0001174613A
Принадлежит:

Подробнее
14-08-1987 дата публикации

PHOTOSENSITIVE ELEMENT WITH MONEY HALIDE AND PROCESS OF FORMATION Of an IMAGE TRAMEE BY EXPOSURE TO the LASER

Номер: FR0002467421B1
Принадлежит:

Подробнее
02-07-1982 дата публикации

REPRODUCTION PERMANENTE ET PROCEDE DE FORMATION DE CETTE DERNIERE

Номер: FR0002497368A
Принадлежит:

L'INVENTION CONCERNE UNE REPRODUCTION PERMANENTE ET UN PROCEDE DE FORMATION DE CETTE DERNIERE. CETTE REPRODUCTION SE COMPOSE D'UNE REGION DE FOND 1 EN UN MATERIAU REFRACTAIRE, SUR DES PARTIES DE LAQUELLE EST DEPOSEE UNE PELLICULE MINCE REFRACTAIRE 3 EN VUE DE PRODUIRE, EN COOPERATION AVEC LA REGION DE FOND 1, UNE IMAGE PREDETERMINEE INCLUANT UNE IMAGE A DEMI-TEINTES. APPLICATION NOTAMMENT AUX REPRODUCTIONS TELLES QUE DES TIRAGES PHOTOGRAPHIQUES ET DES EPREUVES A L'ENCRE.

Подробнее
25-06-1982 дата публикации

UTILISATION DE COUCHES CONTENANT DU CHROME, DANS LA FABRICATION DE PHOTOMASQUES

Номер: FR0002496914A
Автор: GILBERT ZINSMEISTER
Принадлежит:

UTILISATION D'UNE COUCHE CONTENANT DU CHROME, DU FER ET DE L'OXYGENE POUR LA FABRICATION DE PHOTOMASQUES PAR DEPOT DE LA COUCHE SUR UN SUPPORT ET ELIMINATION PAR ATTAQUE DE PARTIES DE LA COUCHE EN CORRESPONDANCE AVEC LA STRUCTURE DE MASQUE SOUHAITEE, EN UTILISANT LES MEMES PROCEDES, AGENTS ET DISPOSITIFS QUE POUR DES COUCHES EN CHROME PUR; LES TENEURS EN FER ET EN CHROME SONT RESPECTIVEMENT COMPRISES ENTRE 6 ET 20, ET 15 ET 40 D'ATOMES, POUR UN RAPPORT DU NOMBRE D'ATOMES DE FER AU NOMBRE D'ATOMES DE CHROME DANS LA COUCHE DANS UNE GAMME ALLANT DE 0,15 A 1.

Подробнее
13-03-1970 дата публикации

METHODS IN OR RELATING TO PHOTOMASKS

Номер: FR0002012223A1
Автор:
Принадлежит:

Подробнее
08-10-1976 дата публикации

High resolution photolithographic masters - using ionic bombardment colouring of masked prepared glass

Номер: FR0002304104A1
Автор:
Принадлежит:

Подробнее
18-11-1983 дата публикации

PROCESS FOR the REALIZATION OF IRON OXIDE PHOTOMASQUES AND MASKS THUS CARRIED out

Номер: FR0002378872B1
Автор:
Принадлежит:

Подробнее
11-02-1972 дата публикации

METHODS OF FABRICATING PHOTOMASKS

Номер: FR0002096297A5
Автор:
Принадлежит:

Подробнее
04-12-1970 дата публикации

ELECTROLESS NICKEL PLATING ON A NONCONDUCTIVE SUBSTRATE

Номер: FR0002033864A5
Автор:
Принадлежит:

Подробнее
25-06-1982 дата публикации

USE OF LAYERS CONTAINING OF CHROMIUM, IN THE MANUFACTURE OF PHOTOMASQUES

Номер: FR0002496914A1
Принадлежит:

Подробнее
10-11-1983 дата публикации

USE OF LAYERS CONTAINING OF CHROMIUM, IN THE MANUFACTURE OF PHOTOMASQUES

Номер: FR0002496914B3
Автор:
Принадлежит:

Подробнее
11-08-1972 дата публикации

METHOD OF FORMING AN IRON OXIDE FILM

Номер: FR0002120025A1
Автор:
Принадлежит:

Подробнее
21-12-2016 дата публикации

위상 시프트 마스크 블랭크, 위상 시프트 마스크 및 위상 시프트 마스크 블랭크의 제조 방법

Номер: KR0101688774B1
Принадлежит: 호야 가부시키가이샤

... 위상 시프트막의 막 두께를 박막화시켜, OPC 패턴이 도괴되지 않고, 패턴 정밀도의 요구를 만족시킬 수 있어, 광학 특성의 제어성, 패턴 결함 검사가 가능한 위상 시프트 마스크, 및 그 원판인 위상 시프트 마스크 블랭크가 요구되고 있다. 투광성 기판 상에, ArF 엑시머 레이저광의 파장에 대한 투과율이 9% 이상 30% 이하이고, 위상차가 150° 이상 180° 미만인 광학 특성을 갖는 금속, Si 및 N을 주된 구성 요소로 하는 위상 시프트막과, 위상 시프트막 상에 형성된 차광막을 갖고, 상기 위상 시프트막의 막 두께가 80㎚ 이하이고, ArF 엑시머 레이저광의 파장에 대한 굴절률(n)이 2.3 이상이며, 소쇠 계수(k)가 0.28 이상인 것을 특징으로 하는 위상 시프트 마스크 블랭크에 관한 것이다.

Подробнее
16-04-2013 дата публикации

MULTI-TONE OPTICAL MASK, METHOD OF MANUFACTURING THE SAME AND METHOD OF MANUFACTURING THIN FILM TRANSISTOR SUBSTRATE USING THE SAME

Номер: KR0101255616B1
Автор:
Принадлежит:

Подробнее
20-12-2011 дата публикации

EXTREME ULTRA VIOLET MASK AND METHOD OF MANUFACTURING THE SAME

Номер: KR0101097026B1
Автор:
Принадлежит:

Подробнее
19-12-2011 дата публикации

Photomask for extreme ultraviolet lithography and method for fabricating the same

Номер: KR0101095681B1
Автор:
Принадлежит:

Подробнее
22-01-2010 дата публикации

MASK AND METHOD FOR MANUFACTURING THE SAME FOR IMPROVING THE UNIFORMITY

Номер: KR1020100007387A
Автор: KIM, JONG DOO
Принадлежит:

PURPOSE: A mask and method for manufacturing the same is provided to reduce the flare noise [flare noise] between the main pattern and dummy pattern. CONSTITUTION: The mask(400) comprises the main pattern (A), and a plurality of the dummy pattern (B,C) and light blocking film. The main pattern is formed on the reticle substrate. A plurality of dummy patterns is separated from the main pattern in the predetermined distance (H). A plurality of dummy patterns is arranged on the reticle substrate. The light blocking layer is formed among a plurality of dummy patterns. The main pattern and dummy patterns is MoSi. The light shield layer is Cr. COPYRIGHT KIPO 2010 ...

Подробнее
02-09-2013 дата публикации

IMAGING DEVICES, METHODS OF FORMING SAME, AND METHODS OF FORMING SEMICONDUCTOR DEVICE STRUCTURES

Номер: KR1020130097245A
Автор:
Принадлежит:

Подробнее
18-03-2009 дата публикации

BACKSIDE IMMERSION LITHOGRAPHY

Номер: KR1020090028525A
Принадлежит:

The present disclosure relates to formation of latent images in a radiation sensitive layer applied to a substrate (210) that is transparent to or transmissive of radiation at the exposing wavelength. In particular, it relates to so-called backside lithography, in which the final lens (101) of an exposing system is positioned to project electromagnetic radiation through a first side of the transparent substrate (110) and expose a radiation sensitive layer (214) that overlays a second side of the transparent substrate that is opposite the first side. Five alternative embodiments for further treatment to form a radiation opaque layer corresponding to the latent image (the image or its inverse) are described. These methods and corresponding devices are useful for producing masks (sometimes called reticles), for producing latent images in semiconductor devices and for forming features of semiconductor devices using masks. © KIPO & WIPO 2009 ...

Подробнее
11-06-2013 дата публикации

MASK

Номер: KR1020130061657A
Автор:
Принадлежит:

Подробнее
20-02-2019 дата публикации

EUV 노광용 반사형 마스크 블랭크 및 반사형 마스크

Номер: KR1020190017842A
Принадлежит:

... 본 발명의 과제는 60㎚ 이하의 막 두께에서 EUV광의 반사율을 2% 이하로 억제하여, 마스크 가공이 용이한 반사형 마스크 블랭크를 제공하는 것이다. 본 발명은, 기판(11) 위에 EUV광을 반사하는 다층 반사막(12)과, 마스크 가공 시에 부분적으로 에칭되는 패턴막(16)을, 기판측으로부터 이 순서로 구비하는 바이너리형의 반사형 마스크 블랭크(10)이며, 상기 패턴막(16)은 EUV광을 흡수하는 흡수체막(14)과, 표면 반사 증강막(15)을, 기판측으로부터 이 순서로 구비하고 있고, 파장 13.53㎚에 있어서의, 상기 흡수체막(14)의 굴절률을 nABS, 흡수 계수를 kABS라고 하고, 상기 표면 반사 증강막(15)의 굴절률을 n, 흡수 계수를 k라고 했을 때, ((n-1)2+k2)1/2>((nABS-1)2+kABS2)1/2+0.03으로 나타나는 조건을 만족시키는 것을 특징으로 하는 반사형 마스크 블랭크이다.

Подробнее
26-05-2016 дата публикации

PHOTOMASK FOR PRODUCING LIGHT-PENETRATING CONDUCTOR HAVING NANO-FIBER PATTERN AND METHOD FOR PRODUCING SAME

Номер: KR1020160059112A
Автор: JUNG, KYUNG HO, YU, JI IN
Принадлежит:

According to the present invention, disclosed are a photomask for producing a light-penetrating conductor having a pattern of a nano-fiber, and a method for producing the same. The photomask comprises: a light-penetrating substrate; and a light-shielding layer disposed on the substrate. The light-shielding layer comprises a light-penetrating material which prevents incident light applied from the outside to a substrate from penetrating through the substrate. The light-shielding layer has a pattern corresponding to a nano-fiber network produced by arranging nano-fibers to cross each other. COPYRIGHT KIPO 2016 ...

Подробнее
06-01-2010 дата публикации

PHASE SHIFT MASK BLANK, A PHASE SHIFT MASK WITH NO PATTERN DEFECTS, AND A METHOD FOR MANUFACTURING A PHASE SHIFT MASK BLANK

Номер: KR1020100002162A
Принадлежит:

PURPOSE: A phase shift mask is provided to avoid the collapsing of optical proximity correction patterns and to satisfy demands of pattern accuracy by making a film thickness of a phase shift mask thin. CONSTITUTION: A phase shift mask blank is a disk of a phase shift mask which is used after a semiconductor design rule 32 nm node and is exposed by the ArF excimer laser light. The phase shift mask blank has a shift layer(2) on a light-transmissive substrate(3) and a light-blocking layer(1) on the phase shift layer. The phase shift layer has 9-30 % transmittance for the wavelength of an ArF excimer laser light and 150-180 ° phase difference. COPYRIGHT KIPO 2010 ...

Подробнее
02-09-1974 дата публикации

PROCEDE DE FORMATION D'UNE COUCHE D'OXYDE DE FER SUIVANT UN DESSIN

Номер: BE814714A
Автор:
Принадлежит:

Подробнее
07-12-1982 дата публикации

ARTIGOS FORMADOR DE IMAGEM

Номер: BR8200561A
Автор:
Принадлежит:

Подробнее
01-02-2008 дата публикации

Reflective mask blank for EUV lithography

Номер: TW0200807144A
Принадлежит:

To provide a reflective mask blank for EUV lithography having an absorber layer, which presents a low reflectance to a light in the wavelength ranges of EUV light and pattern inspection light, and which is easily controlled to have desired film composition and film thickness. A reflective mask blank for EUV lithography, comprising a substrate, and a reflective layer for reflecting EUV light and an absorber layer for absorbing EUV light formed in this order on the substrate, wherein the absorber layer contains tantalum (Ta), boron (B), silicon (Si) and nitrogen (N), and in the absorber layer, the B content is at least 1 at% and less than 5 at%, the Si content is from 1 to 25 at%, and the compos it ional ratio of Ta to N (Ta:N) is from 8:1 to 1:1.

Подробнее
16-02-2008 дата публикации

Mask blank and photomask

Номер: TW0200809393A
Принадлежит:

To provide a mask blank and a photomask suitable for processes (such as a drawing method and a resist coating method) in a large mask for an FPD (flat panel display) and various conditions (kinds of resists or resist film thickness). A mask blank for manufacturing the FPD device has at least a light shielding film on a light transmitting substrate, and is used to form a resist film for laser drawing on the light shielding film. The light shielding film is controlled to have the film surface reflectance of 15% or less to the wavelength of laser drawing.

Подробнее
16-04-2016 дата публикации

Reflective mask blank and method for manufacturing same, reflective mask, and method for manufacturing semiconductor device

Номер: TW0201614363A
Принадлежит:

Provided is a reflective mask blank capable of inhibiting detection of false defects caused by the surface roughness of a substrate and/or film and facilitating discovery of foreign matter and critical defects such as flaws in defect inspection using a highly sensitive defect inspection device. A reflective mask blank having a multilayered film for a mask blank comprising an absorbent film and a multilayered reflective film having a high refractive index layer and a low refractive index layer layered in alternating fashion, on the principal surface of a substrate for a mask blank, the reflective mask blank being characterized in that relationship between the bearing area (%) and the bearing depth (nm) as obtained by measurement using an atomic force microscope of a 1 [mu]m x 1 [mu]m area on the surface of the reflective mask bearing blank is (BA70 - BA30)/(BD70 - BD 30) 60 (%/nm); and the maximum height (Rmax) 4.5 nm.

Подробнее
01-11-2015 дата публикации

Photo mask blank, photo mask and manufacturing method for semiconductor integrated circuit

Номер: TW0201541185A
Принадлежит:

Provided is a photo mask blank for manufacturing a photo mask suitable for ArF excimer laser, which has a film of multilayer structure on a light-transmissive substrate, and the toppest of the said film is an amorphous structure consisted of a material comprising at least one of chrom, nitrogen, oxygen and carbon.

Подробнее
01-09-2018 дата публикации

Glass substrate for mask blank, mask blank and photomask

Номер: TW0201831982A
Принадлежит:

A glass substrate for a mask blank includes a first surface and a second surface. The first surface and second surface face each other. Each of the first surface and the second surface is approximately square having a vertical length and a horizontal length being equal to the vertical length. The first surface of the glass substrate has specific profile properties.

Подробнее
16-08-2018 дата публикации

Extreme ultraviolet mask blank with alloy absorber and method of manufacture

Номер: TW0201830122A
Принадлежит:

Extreme ultraviolet (EUV) mask blanks, methods for their manufacture and production systems therefor are disclosed. The EUV mask blanks comprise a an absorber layer on the capping layer, the absorber layer made from an alloy of at least two absorber materials.

Подробнее
01-09-2021 дата публикации

Extreme ultraviolet mask blank hard mask materials

Номер: TW202132901A
Принадлежит:

Extreme ultraviolet (EUV) mask blanks, methods for their manufacture and production systems therefor are disclosed. The EUV mask blanks comprise a substrate; a multilayer stack of reflective layers on the substrate; a capping layer on the multilayer stack of reflecting layers; an absorber layer on the capping layer, the absorber layer comprising an antimony-containing material; and a hard mask layer on the absorber layer, the hard mask layer comprising a hard mask material selected from the group consisting of CrO, CrON, TaNi, TaRu and TaCu.

Подробнее
30-12-2013 дата публикации

BLANK FOR MOLD PRODUCTION AND METHOD FOR MANUFACTURING MOLD

Номер: SG0000195489A1

A hard mask film 2 provided on substrate 1 is formed by tin-containing chromium-containing material. In the chromium-containing material including tin, which forms the hard mask film 2, the etching resistance to fluorine-containing dry etching is equal to or higher than the etching resistance of the tin-free chromium-containing material, and it shows a significantly high etching rate as compared with a chromium-containing material free of tin under conditions for chlorine-containing dry etching. As a result, the time for chlorine-containing dry etching is shortened, and damage to a resist pattern is reduced. Thus, high-precision pattem transfer can be performed. The present invention provides a novel technique for increasing etching process-ability by increasing a dry-etching rate of a hard mask film made of a chromium-containing material while assuring a hard mask function of the hard mask film.Fig. 1 ...

Подробнее
29-07-2021 дата публикации

PHOTOMASK BLANK, MANUFACTURING METHOD OF PHOTOMASK AND PHOTOMASK

Номер: SG10202012086PA
Принадлежит:

Подробнее
24-10-2013 дата публикации

MASK FOR LITHOGRAPHIC APPARATUS AND METHODS OF INSPECTION

Номер: WO2013156328A2
Принадлежит:

A mask for use in a lithographic apparatus comprises a substrate, a reflective first multilayer structure deposited on the substrate, a pattern formed in the multilayer reflective structure either by depositing absorber material or by local deformation of the reflective structure, the top surface of the pattern being generally at the same height from the substrate as the top surface of the multilayer structure, and a second structure, preferably a multilayer structure, provided above the top surface of the first reflective structure and the top surface of the pattern, wherein the second structure has a planar top surface and wherein the second structure is chosen so as to minimize the transmission through the second structure of light of a given wavelength at a given angle of incidence and a given polarization such that inspection of the surface of the mask by light scattering can be employed.

Подробнее
26-08-2010 дата публикации

NANO PLASMONIC PARALLEL LITHOGRAPHY

Номер: WO2010094696A2
Автор: MONTELIUS, Lars
Принадлежит:

A method for replicate a pattern from a pre-patterned surface to a final substrate with in parallel approach lithography, the pre-patterned surface comprises a transparent substrate having a pre-patterned suitable metal; the method comprising the steps of: covering the final substrate with a chemical composition (resist) that is sensitive to Plasmon emitted light or waves; bringing the pre-patterned surface and the final substrate together to a proximity distance in the nanometer range, preferably 0 to 30nm or more preferably 0 to 10nm from the surface; illuminating the pre-patterned surface with plasmonic emitted light or waves, and exposing the final substrate to the plasmonic emitted light or waves to make a replica from the said pre-patterned surface.

Подробнее
11-07-1996 дата публикации

Номер: WO1996021174A2
Автор:
Принадлежит:

Подробнее
27-01-2005 дата публикации

METHOD FOR CORRECTING CRITICAL DIMENSION VARIATIONS IN PHOTOMASKS

Номер: WO2005008333A2
Принадлежит:

A method for compensating for critical dimension (CD) variations of pattern lines of a wafer, by the correcting the CD of the corresponding photomask. The photomask comprises a transparent substrate having two substantially opposite surfaces, a first back surface and a second front surface on which front surface an absorbing coating is provided, on which the pattern lines were formed by removing the coating at the pattern lines. The method comprises: determining CD variations across regions of a wafer exposure field relating to the photomask; and providing Shading Elements (SE) within the substrate of the photomask in regions which correlates to regions of the wafer exposure field where CD variations greater than a predetermined target value were determined, whereby the shading elements attenuate light passing through the regions, so as to compensate for the CD variations on the wafer and hence provide and improved CD tolerance wafer.

Подробнее
27-06-2002 дата публикации

METHOD FOR PRODUCING MODELS FOR PRINTING BLOCKS AND FOR PRODUCING SAID PRINTING BLOCKS

Номер: WO2002049841A2
Принадлежит:

The invention relates to a method and computer program for producing models for printing blocks with the aid of a data processing system containing at least one input unit, at least one display unit, a memory unit and an output unit. According to the invention, the memory unit comprises a memory area for the long-term storage of presentations and a memory area for the short-term storage of variable printing block data. Said invention comprises the following steps; a) input of a presentation and storage of said presentation in a memory area for long-term storage or accessing a presentation in said memory area; b) input of variable data for the printing block model and storage of the variable data in the memory area for the short-term storage of the variable printing block data; c) automatic arrangement of the variable data in predetermined positions and d) printing the model via an output unit.

Подробнее
27-03-1997 дата публикации

BLACK-AND-WHITE PHOTOTHERMOGRAPHIC AND THERMOGRAPHIC ELEMENTS COMPRISING N-ACYL-HYDRAZINE COMPOUNDS AS CONTRAST ENHANCERS

Номер: WO1997011408A1
Принадлежит:

N-acyl-hydrazine compounds are useful as contrast enhancers when used in combination with (i) hindered phenol developers, and (ii) trityl hydrazide and/or formyl-phenyl hydrazine co-developers, to produce ultra-high contrast black-and-white photothermographic and thermographic elements. The photothermographic and thermographic elements may be used as a photomask in a process where there is a subsequent exposure of an ultraviolet or short wavelength visible radiation-sensitive imageable medium.

Подробнее
24-08-2017 дата публикации

REFLECTIVE MASK BLANK AND PROCESS FOR PRODUCING THE REFLECTIVE MASK BLANK

Номер: US20170242330A1
Принадлежит: Asahi Glass Company, Limited

To provide a reflective mask blank having pseudo defects significantly excluded. The reflective mask blank comprises a substrate, a reflective layer for reflecting EUV light, formed on the substrate, and an absorber layer for absorbing EUV light, formed on the reflective layer, wherein Ssk<1.0 is satisfied, where Ssk is skewness in a region of 1 μm×1 μm on the absorber layer side surface.

Подробнее
24-12-2020 дата публикации

EUV In-Situ Linearity Calibration for TDI Image Sensors Using Test Photomasks

Номер: US20200401037A1
Принадлежит:

To calibrate a TDI photomask inspection tool, a photomask with a plurality of distinctly patterned regions is loaded into the tool. The plurality of distinctly patterned regions is successively illuminated with an EUV beam of light. While illuminating respective distinctly patterned regions, respective instances of imaging of the respective distinctly patterned regions are performed using a TDI sensor in the inspection tool. While performing the respective instances of imaging, a reference intensity detector is used to measure reference intensities of EUV light collected from the photomask. Based on the results of the respective instances of imaging and the measured reference intensities of EUV light, linearity of the TDI sensor is determined.

Подробнее
17-01-1978 дата публикации

Silicon etching process

Номер: US0004069096A1
Принадлежит: Texas Instruments Incorporated

In the manufacture of semiconductor devices it is often times necessary to use photomasks. It has been found that silicon material is useful as see-through photomasks when deposited on a thin film of glass. After deposition the silicon is etched to form the mask. A suitable etchant, which may be used and which does not undercut patterned material formed over the silicon, may be derived from a composition of CCl4 + N2 + Cl2 and in some instances + HCl. This etchant may also be used in patterning polysilicon leads on various silicon devices such as charged coupled devices without undercutting of the leads.

Подробнее
06-01-2009 дата публикации

Exposure mask and mask pattern production method

Номер: US0007473494B2
Принадлежит: Sony Corporation, SONY CORP, SONY CORPORATION

An exposure mask in the form of a binary mask for intensity modulating 0th order diffracted light and a mask pattern production method using the exposure mask are disclosed on which a mask production error, an influence of flare of an exposure apparatus and a development characteristic of resist reflect on the design. The exposure mask has a block area in which a plurality of pattern sites in each of which light intercepting patterns for intercepting illumination light emitted from an exposure apparatus and light transmitting patterns for transmitting the illumination light therethrough are formed at an equal ratio and an equal pitch are disposed. The pattern sites which form the block area are disposed such that the pitches of the light intercepting patterns and the light transmitting patterns are equal while the ratio varies gradually.

Подробнее
04-10-2018 дата публикации

PHOTOMASK AND METHOD OF FORMING THE SAME

Номер: US20180284602A1
Принадлежит:

A method for forming a photomask includes the following steps. A substrate is provided, which has a pattern region and a peripheral region surrounding the pattern region. A first etching operation is performed on a first surface of the substrate to remove first portions of the substrate in the pattern region, so as to form recesses in the pattern region of the substrate. A blasting operation is performed on the first surface of the substrate. A BARC layer is formed filling the recesses and over the first surface of the substrate. A second etching operation is performed on a second surface of the substrate opposite to the first surface until portions of the BARC layer in the recesses are exposed. The BARC layer is removed after the second etching operation, so as to form openings in the substrate in the pattern region.

Подробнее
26-01-2010 дата публикации

Mask for making polysilicon structure, method of making the same, and method of making thin film transistor using the same

Номер: US0007651900B2

A mask for making a polysilicon structure includes a transmitting area that transmits light and a blocking area that has a metal layer and a semiconductor layer deposited in an alternating manner at least once. The blocking area blocks light. The mask is subject to less thermal stress from the light (e.g., a laser beam) and therefore has a longer life span compared to a conventional mask.

Подробнее
01-03-2012 дата публикации

Half tone mask having multi-half permeation part and a method of manufacturing the same

Номер: US20120052420A1
Принадлежит: LG Innotek Co Ltd

A half tone mask having a multi semi-transmission part and a manufacturing method thereof that can pattern a plurality of layers using one mask, by having at least two or more semi-transmission parts with light transmission that are different from each other, are provided. The half tone mask having a multi semi-transmission part includes a transparent substrate, a light transmission part formed the transparent substrate to transmit irradiation light of a predetermined wavelength band, a light shielding part formed the transparent substrate to shield the irradiation light of the predetermined wavelength band, and at least two or more semi-transmission parts formed by depositing semi-transmission material on the transparent substrate to pass through the irradiation light of the predetermined wavelength band with each different light transmission.

Подробнее
26-04-2012 дата публикации

Sputtering target material, silicon-containing film forming method, and photomask blank

Номер: US20120100467A1
Принадлежит: Shin Etsu Chemical Co Ltd

Provided is a silicon target material in which particles are not easily generated during a sputtering process and to form a low-defect (high quality) silicon-containing film. A silicon target material having a specific resistance of 20 Ω·cm or more at room temperature is used for forming a silicon-containing film. The silicon target material may be polycrystalline or noncrystalline. However, when the silicon target material is single-crystalline, a more stable discharge state can be obtained. Also, a single-crystal silicon in which crystals are grown by an FZ method is a preferable material as a highly-pure silicon target material because its content of oxygen is low. Further, a target material having n-type conductivity and containing donor impurities is preferable to obtain stable discharge characteristics. Only a single or a plurality of silicon target materials according to the present invention may be used for sputtering film formation of the silicon-containing film.

Подробнее
30-08-2012 дата публикации

Chemically amplified negative resist composition and patterning process

Номер: US20120219888A1
Принадлежит: Shin Etsu Chemical Co Ltd

A polymer comprising 0.5-10 mol % of recurring units having acid generating capability and 50-99.5 mol % of recurring units providing for dissolution in alkaline developer is used to formulate a chemically amplified negative resist composition. When used in a lithography process, the composition exhibits a high resolution and forms a negative resist pattern of a profile with minimized LER and undercut.

Подробнее
04-10-2012 дата публикации

Phase shift mask blank, phase shift mask, and method for manufacturing phase shift mask blank

Номер: US20120251929A1
Принадлежит: Hoya Corp

A phase shift mask blank having, on a transparent substrate, a phase shift film including, as main components, a metal, silicon (Si) and nitrogen (N), having optical characteristics of a transmittance of equal to or greater than 9% and equal to or less than 30% with respect to a wavelength of the ArF excimer laser beam and a phase difference of equal to or greater than 150° and less than 180°, and a light-shielding film formed on the phase shift film. A thickness of the phase shift film is equal to or less than 80 nrn, a refractive index (n) with respect to the wavelength of the ArF excimer laser beam is equal to or greater than 2.3, and an extinction coefficient (k) is equal to or greater than 0.28.

Подробнее
12-09-2013 дата публикации

UV Mask with Anti-Reflection Coating and UV Absorption Material

Номер: US20130233482A1
Принадлежит: Apple Inc

One embodiment may take the form of a UV mask for use while curing sealant on LCD displays. The UV mask includes a mother glass and a UV mask layer on the mother glass. A UV absorption film is located adjacent the UV mask layer and an anti-reflection (AR) film is located adjacent the UV absorption film.

Подробнее
21-11-2013 дата публикации

Photomask blank, method for manufacturing photomask, and method for manufacturing phase shift mask

Номер: US20130309601A1
Принадлежит: Shin Etsu Chemical Co Ltd

A light-shielding film 2 formed on a transparent substrate 1 has a monolayer structure or a multilayer structure. At least one layer is formed by film-formation with a chromium-containing material including tin. The light-shielding film 2 has an optical density of 2 or higher and 4 or lower and has a reflection-preventing function. The layer made of a chromium-containing material including tin, which constitutes the light-shielding film 2, can cause a significant increase in the etching rate at the time of chlorine-containing dry etching including oxygen. Thus, burden on the resist pattern or hard mask pattern at the time of transferring a pattern on the light-shielding film is reduced, and therefore it is possible to carry out pattern transfer with high precision.

Подробнее
06-01-2022 дата публикации

PHOTOMASK FOR MANUFACTURING ACTIVE SWITCH AND METHOD FOR MANUFACTURING DISPLAY PANEL

Номер: US20220004095A1
Автор: WU CHUAN
Принадлежит:

The present application discloses a photomask for manufacturing an active switch and a method for manufacturing a display panel. The photomask includes a light shielding region, a semi-transmissive region, a light transmitting region and a hollowed-out region, where the light shielding region corresponds to a metal layer of the active switch and is configured to be lighttight; the semi-transmissive region corresponds to a channel region of the active switch, and is configured to be partially transmissive; the light transmitting region is a photomask region other than the light shielding region and the semi-transmissive region and is configured to be completely transmissive; and the hollowed-out region is located within the semi-transmissive region and is configured to be completely transmissive. 1. A photomask for manufacturing an active switch , comprising:a light shielding region, corresponding to a metal layer of the active switch and configured to be lighttight;a semi-transmissive region, corresponding to a channel region of the active switch and configured to be partially transmissive;a light transmitting region being a photomask region other than the light shielding region and the semi-transmissive region, and configured to be completely transmissive; anda hollowed-out region, located within the semi-transmissive region and configured to be completely transmissive.2. The photomask for manufacturing the active switch according to claim 1 , wherein the hollowed-out region comprises a hollowed-out structure claim 1 , the hollowed-out structure being provided as a slit.3. The photomask for manufacturing the active switch according to claim 2 , wherein the slit is linear.4. The photomask for manufacturing the active switch according to claim 3 , wherein the number of the slits is a plurality claim 3 , and a plurality of extension lines of the slits intersect at a same intersection.5. The photomask for manufacturing the active switch according to claim 2 , wherein ...

Подробнее
13-01-2022 дата публикации

REFLECTIVE PHOTOMASK BLANK AND REFLECTIVE PHOTOMASK

Номер: US20220011662A1
Принадлежит:

There is provided a reflective photomask blank and a reflective photomask having good irradiation resistance and capable of obtaining good transfer performance. A reflective photomask blank () contains a reflective layer () reflecting incident light and an absorption layer () absorbing incident light, which are formed in this order on one surface side of a substrate (). The absorption layer () contains a first material selected from the group consisting of tin, indium, and tellurium and a second material containing one or two or more kinds of materials selected from the group consisting of transition metals, bismuth (Bi), and silicon (Si) at least in the outermost layer. The content of the second material is more than 20 at and less than 50 at % in the same laver. 1. A reflective photomask blank comprising:a reflective layer configured to reflect incident light; andan absorption layer configured to absorb incident light,the reflective layer and the absorption layer being formed in this order on one surface side of a substrate, whereinthe absorption layer contains a first material selected from the group consisting of tin, indium, and tellurium and a second material containing one or two or more of materials selected from the group consisting of transition metals, bismuth (Bi), and silicon (Si) at least in an outermost layer, anda content of the second material is more than 20 at % and less than 50 at % in a same layer.2. The reflective photomask blank according to claim 1 , whereinthe absorption layer further contains oxygen at least in the outermost layer, anda ratio (B/A) of a number of atoms of the second material (B) to a total number of atoms of the first material and the oxygen (A) is in a range of more than ¼ and less than 1.3. The reflective photomask blank according to claim 1 , whereinthe transition metals include one or two or more selected from the group consisting of tantalum, gold, osmium, hafnium, tungsten, platinum, iridium, rhenium, and zirconium.4. ...

Подробнее
03-01-2019 дата публикации

PHOTOMASK BLANK AND PHOTOMASK

Номер: US20190004420A1
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A photomask blank for an exposure light of ArF excimer laser, including a transparent substrate and a light-shielding film containing molybdenum, silicon, and nitrogen. The light-shielding film is formed in a single layer or a multilayer composed of a single composition layer or a composition gradient layer, a reflectance of the light-shielding film on a side remote from the substrate is 40% or less, and among the refractive indexes at the surfaces on the substrate side and the side remote from the substrate of all layers, a difference between the highest and lowest refractive indexes is 0.2 or less, and among the extinction coefficients at the surfaces, a difference between the highest and lowest extinction coefficients is 0.5 or less. The light-shielding film assumes a satisfactory and undeteriorated sectional shape of a mask pattern in an etching process in mask processing or defect correcting. 1. A photomask blank comprising:a transparent substrate; anda light-shielding film comprising molybdenum, silicon, and nitrogen, whereinexposure light is ArF excimer laser light,the light-shielding film is formed in a single layer or a multilayer consisting of two or more layers, the layer is composed of a single composition layer having a constant composition in a thickness direction or a composition gradient layer having a continuously varying composition in the thickness direction,a reflectance to the exposure light of the light-shielding film on a side remote from the substrate is 40% or less,in a case where the light-shielding film is a single layer composed of the composition gradient layer in which the composition continuously varies in the thickness direction, a difference of refractive indexes n to the exposure light between a surface on the substrate side and a surface on a side remote from the substrate is 0.2 or less and a difference of extinction coefficients k to the exposure light between a surface on the substrate side and a surface on a side remote from ...

Подробнее
03-01-2019 дата публикации

METHOD OF MANUFACTURING PATTERNED SUBSTRATE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME

Номер: US20190006552A1
Принадлежит: NICHIA CORPORATION

A method of manufacturing a patterned substrate includes: providing an exposure mask, the exposure mask comprising: a plurality of inner light-shielding portions arranged in a lattice, a light-transmissive portion integrally connecting regions surrounding the plurality of inner light-shielding portions, and an outer light-shielding portion surrounding the light-transmissive portion; performing a plurality of exposures of a photoresist layer disposed on a substrate in a step-and-repeat-manner using the exposure mask, so as to form a plurality of inner projected parts corresponding to the inner light-shielding portions, the inner projected parts being aligned in a lattice as a whole; developing the photoresist layer on which the plurality of exposures have been performed; and etching the substrate using the developed photoresist layer as a mask; wherein, in the step of performing the plurality of exposures, a region corresponding to the light-transmissive portion formed by a predetermined one of the exposures and a region corresponding to the light-transmissive portion formed by another one of the exposures do not overlap each other on shortest straight lines connecting outermost inner projected parts formed by the predetermined exposure and respective inner projected parts formed by the another exposure that are located closest to the outermost inner projected parts of the predetermined exposure, while portions of the region corresponding to the light-transmissive portion formed by the predetermined exposure and portions of the region corresponding to the light-transmissive portion formed by the another exposure overlap each other in places other than the shortest straight lines. 1. A method of manufacturing a patterned substrate , the method comprising: a plurality of inner light-shielding portions arranged in a lattice,', 'a light-transmissive portion integrally connecting regions surrounding the plurality of inner light-shielding portions, and', 'an outer light- ...

Подробнее
15-01-2015 дата публикации

Mask, glass substrate and manufacturing method thereof

Номер: US20150017389A1
Автор: Li Chai

A mask including a light-blocking area, a transparent area and a partial-transparent area is disclosed. The partial-transparent area protrudes from edges of the light-blocking area to admit some of the UV rays to pass through. In addition, a glass substrate and the manufacturing method thereof are disclosed. By arranging the partial-transparent area on the edges of the light-blocking, area, the mask is formed with the slope having the small angle after the lithography process. As such, in the etching process, the edge of the thin film is formed with the slope having the small angle, which contributes to the formation of the second thin film. The thin films are prevented from being fragmented around the slope and the ITO layer is also prevented from fragmented around the periphery of the through hole.

Подробнее
03-02-2022 дата публикации

MASK BLANK, TRANSFER MASK, AND SEMICONDUCTOR-DEVICE MANUFACTURING METHOD

Номер: US20220035235A1
Принадлежит: HOYA CORPORATION

Provided is a mask blank including an etching stopper film. The mask blank has a structure where an etching stopper film and a thin film for pattern formation are stacked in this order on a transparent substrate, featured in that the thin film includes a material containing silicon, the etching stopper film includes a material containing hafnium, aluminum, and oxygen, and a ratio by atom % of an amount of hafnium to a total amount of hafnium and aluminum in the etching stopper film is 0.86 or less. 1. A mask blank comprising:a transparent substrate;an etching stopper film provided on the transparent substrate and containing hafnium, aluminum, and oxygen; anda thin film for pattern formation provided on the etching stopper film and containing silicon,wherein a ratio by atom % of an amount of the hafnium in the etching stopper film to a total amount of the hafnium and the aluminum in the etching stopper film is 0.86 or less.2. The mask blank according to claim 1 , wherein a ratio by atom % of an amount of the hafnium in the etching stopper film to a total amount of the hafnium and the aluminum in the etching stopper film is 0.60 or more.3. The mask blank according to claim 1 , wherein an oxygen content of the etching stopper film is 60 atom % or more.4. The mask blank according to claim 1 , wherein the etching stopper film has an amorphous structure in a state comprising a bond of hafnium and oxygen and a bond of aluminum and oxygen.5. The mask blank according to claim 1 , wherein the etching stopper film consists of hafnium claim 1 , aluminum claim 1 , and oxygen.6. The mask blank according to claim 1 , wherein the etching stopper film is formed in contact with a main surface of the transparent substrate.7. The mask blank according to claim 1 , wherein a thickness of the etching stopper film is 2 nm or more.8. The mask blank according to claim 1 , wherein the thin film is a phase shift film configured to transmit an exposure light so that the transmitted light has a ...

Подробнее
21-01-2021 дата публикации

Integrated Circuit Structures And Methods Of Forming An Opening In A Material

Номер: US20210020592A1
Принадлежит: MICRON TECHNOLOGY, INC.

In some embodiments, a method of forming an opening in a material comprises forming RIM over target material. Radiation is impinged onto the RIM through a masking tool over a continuous area of the RIM under which a target-material opening will be formed. The masking tool during the impinging allows more radiation there-through onto a mid-portion of the continuous area of the RIM in a vertical cross-section than onto laterally-opposing portions of the continuous area of the RIM that are laterally-outward of the mid-portion of the RIM in the vertical cross-section. After the impinging, the RIM is developed to form a RIM opening that has at least one pair of laterally-opposing ledges laterally-outward of the mid-portion of the RIM in the vertical cross-section elevationally between a top and a bottom of the RIM opening. The developed RIM is used as masking material while etching the target material through the RIM opening to form the target-material opening to have at least one pair of laterally-opposing ledges laterally-outward of a mid-portion in the target-material opening in the vertical cross-section elevationally between a top and a bottom of the target-material opening. Other aspects and constructions independent of manufacture are disclosed. 119-. (canceled)20. A method of forming an opening in a material , comprising:forming radiation-imageable material (RIM) over target material;impinging radiation onto the RIM through a masking tool over a continuous area of the RIM under which a target-material opening will be formed, the masking tool during the impinging allowing more radiation there-through onto a mid-portion of the continuous area of the RIM in a vertical cross-section than onto laterally-opposing portions of the continuous area of the RIM that are laterally-outward of the mid-portion of the RIM in the vertical cross-section;after the impinging, developing the RIM to form a RIM opening that has at least one pair of laterally-opposing ledges laterally- ...

Подробнее
23-01-2020 дата публикации

Extreme Ultraviolet Mask Absorber Materials

Номер: US20200026178A1
Принадлежит:

Extreme ultraviolet (EUV) mask blanks, methods for their manufacture and production systems therefor are disclosed. The EUV mask blanks comprise a substrate; a multilayer stack of reflective layers on the substrate; a capping layer on the multilayer stack of reflecting layers; and an absorber layer on the capping layer, the absorber layer made from an alloy of tantalum and nickel. 1. A method of manufacturing an extreme ultraviolet (EUV) mask blank comprising:forming a multilayer stack of reflective layers on the substrate, the multilayer stack of reflective layers including a plurality of reflective layer pairs;forming a capping layer on the multilayer stack of reflective layers; andforming an absorber layer on the capping layer, the absorber layer comprising an alloy of tantalum and nickel,wherein the alloy of tantalum and nickel is selected from an alloy having about 70 wt. % to about 85 wt. % tantalum and about 15 wt. % to about 30 wt. % nickel, an alloy having about 45 wt. % to about 55 wt. % tantalum and about 45 wt. % to about 55 wt. % nickel, and an alloy having about 30 wt. % to about 45 wt. % tantalum and about 55 wt. % to about 70 wt. % nickel.2. The method of claim 1 , wherein the alloy of tantalum and nickel is selected from an alloy having about 70 wt. % to about 75 wt. % tantalum and about 25 wt. % to about 30 wt. % nickel claim 1 , an alloy having about 48 wt. % to about 55 wt. % tantalum and about 45 wt. % to about 52 wt. % nickel claim 1 , and an alloy having about 35 wt. % to about 45 wt. % tantalum and about 55 wt. % to about 65 wt. % nickel.3. The method of claim 1 , wherein the alloy is co-sputtered by a gas selected from one or more of argon (Ar) claim 1 , oxygen (O) claim 1 , or nitrogen (N) to form the absorber layer.4. The method of claim 1 , wherein the alloy is deposited layer by layer as a laminate of tantalum and nickel layers using a gas selected from one or more of argon (Ar) claim 1 , oxygen (O) claim 1 , or nitrogen (N) to form the ...

Подробнее
23-01-2020 дата публикации

SYSTEM AND METHOD FOR LIGHT FIELD CORRECTION OF COLORED SURFACES IN AN IMAGE

Номер: US20200027744A1
Автор: Aarabi Parham
Принадлежит: L'OREAL

A computer-implemented method for correcting a makeup or skin effect to be rendered on a surface region of an image of a portion of a body of a person. The method and system correcting the makeup or skin effect by accounting for image-specific light field parameters, such as a light profile estimate and minimum light field estimation, and rendering the corrected the makeup or skin effect on the image to generate a corrected image. 1. A computer-implemented method for correcting a makeup or skin effect to be rendered on a surface region of an image of a portion of a body of a person , which accounts for a light field of the image , the method comprising:calculating a light intensity estimation of the image as an average light intensity of pixels in a first region of the image;determining a minimum light field estimation of the image as a minimum light intensity of pixels in a second region of the image;receiving color effect parameters of the makeup or skin effect;correcting the color effect parameters through a non-linear transformation accounting for the light intensity estimation and the minimum light field estimate to generate a light field corrected makeup or skin effect;rendering the light field corrected makeup or skin effect on the surface region to generate a corrected image; anddisplaying the corrected image.2. The method of wherein the image is a video frame.3. The method of wherein the portion of the body of the person includes a face.4. The method of wherein the first region of the image comprises a face region of the person.5. The method of wherein the second region of the image comprises an eye region of the person.6. A computer system for correcting a makeup or skin effect to be rendered on a surface region of an image of a portion of a body of a person claim 3 , which accounts for a light field of the image claim 3 , the system comprising at least one processor coupled to memory storing instructions and data claim 3 , which when executed by the ...

Подробнее
30-01-2020 дата публикации

MASK BLANK, PHASE SHIFT MASK, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20200033718A1
Принадлежит: HOYA CORPORATION

A mask blank having a phase shift film and a light shielding film laminated on a transparent substrate. The phase shift film transmits ArF exposure light at a transmittance of from 2% to 30% and generates a phase difference of from 150° to 200°, is formed from a material containing Si and not substantially containing Cr, and has a lower layer (L) and an upper layer (U) laminated from the transparent substrate side. A refractive index n for layer L is below that of the substrate while n for layer U is higher, and layer L has an extinction coefficient k higher than that of layer U. The light shielding film includes a layer in contact with the phase shift film that is formed from a material containing Cr, has a n lower than that of layer U, and has an extinction coefficient k higher than that of layer U. 1. A mask blank comprising:a transparent substrate,a phase shift film provided on the transparent substrate, anda light shielding film provided on the phase shift film,wherein the phase shift film is configured to transmit exposure light at a transmittance of not less than 2% and not more than 30% and to generate a phase difference of not less than 150 degrees and not more than 200 degrees between the exposure light transmitted through the phase shift film and exposure light transmitted through air for the same distance as a thickness of the phase shift film, andwherein the phase shift film is formed from a material containing silicon and not substantially containing chromium and includes a structure in which a lower layer and an upper layer are laminated from the transparent substrate side, andwherein the light shielding film includes a layer in contact with the phase shift film, andwherein the layer in contact with the phase shift film is formed from a material containing chromium, andwherein a reflectance to exposure light entering from the transparent substrate side in a state where the phase shift film and the light shielding film are provided is 30% or more.2. ...

Подробнее
04-02-2021 дата публикации

Method of Critical Dimension Control by Oxygen and Nitrogen Plasma Treatment in EUV Mask

Номер: US20210033960A1
Принадлежит:

The present disclosure describes a method of patterning a semiconductor wafer using extreme ultraviolet lithography (EUVL). The method includes receiving an EUVL mask that includes a substrate having a low temperature expansion material, a reflective multilayer over the substrate, a capping layer over the reflective multilayer, and an absorber layer over the capping layer. The method further includes patterning the absorber layer to form a trench on the EUVL mask, wherein the trench has a first width above a target width. The method further includes treating the EUVL mask with oxygen plasma to reduce the trench to a second width, wherein the second width is below the target width. The method may also include treating the EUVL mask with nitrogen plasma to protect the capping layer, wherein the treating of the EUVL mask with the nitrogen plasma expands the trench to a third width at the target width. 1. A method of patterning a semiconductor wafer using extreme ultraviolet lithography (EUVL) , comprising:receiving an EUVL mask that includes a substrate having a low temperature expansion material, a reflective multilayer over the substrate, a capping layer over the reflective multilayer, and an absorber layer over the capping layer;patterning the absorber layer to form a trench on the EUVL mask, wherein the trench has a first width above a target width, wherein the target width corresponds to a critical dimension on the wafer, and wherein the trench has first and second sidewalls;treating the EUVL mask with oxygen plasma to reduce the trench to a second width by enhancing oxide layer growth on the first and second sidewalls, wherein the second width is below the target width; andtreating the EUVL mask with nitrogen plasma to protect the capping layer, wherein the treating of the EUVL mask with the nitrogen plasma expands the trench to a third width by etching the first and second sidewalls, wherein the third width is at the target width.2. The method of claim 1 , ...

Подробнее
04-02-2021 дата публикации

MASK FOR EUV LITHOGRAPHY AND METHOD OF MANUFACTURING THE SAME

Номер: US20210033962A1
Автор: Lin Yun-Yue
Принадлежит:

A mask for extreme ultraviolet (EUV) lithography includes a multilayer (ML) stack including alternating metal and semiconductor layers disposed over a first surface of a mask substrate, a capping layer disposed over the ML stack, and an absorber layer disposed over the capping layer. An image pattern is formed in the absorber layer. A border layer surrounding the image pattern is disposed over the absorber layer. 1. A mask for extreme ultraviolet (EUV) lithography , the mask comprising:a substrate;a reflective multilayer (ML) stack disposed over a first surface of the substrate;a capping layer disposed over the ML stack;an absorber layer disposed over the capping layer;an antireflective layer disposed over the absorber layer; anda black border pattern disposed over the antireflective layer.2. The mask of claim 1 , wherein the black border pattern comprises a resist material.3. The mask of claim 2 , wherein the resist material has a reflectance of less than 3% for wavelengths in a range from about 5 nm to about 20 nm.4. The mask of claim 2 , wherein the black border pattern comprises nanoparticles of a metal oxide claim 2 , a metal nitride or a metal oxynitride.5. The mask of claim 4 , wherein the nanoparticles have an average particle size in a range from 1 nm to 50 nm.6. The mask of claim 4 , wherein metal of the metal oxide claim 4 , the metal nitride or the metal oxynitride includes at least one of Al claim 4 , Ti claim 4 , Zr claim 4 , W and Ta.7. The mask of claim 4 , wherein the resist material comprises a polymer of at least one selected from the group consisting of polyvinylalcohol (PVA) claim 4 , polyvinylpyrrolidone (PVP) claim 4 , poly(ethylene glycol) (PEG) claim 4 , polyamide (PA) claim 4 , polyacrylamide and poly(acrylic acid) claim 4 , poly(methacrylic acid).8. The mask of claim 7 , wherein a weight ratio of the polymer to the metal oxide claim 7 , the metal nitride or the metal oxynitride is in a range from 9:1 to 50:1.9. The mask of claim 8 , ...

Подробнее
07-02-2019 дата публикации

PHOTOMASK HAVING A PLURALITY OF SHIELDING LAYERS

Номер: US20190041742A1
Принадлежит:

Some embodiments pertain to a photomask for mask patterning. The photomask includes a phase shift layer overlying a transparent layer, a first shielding layer overlying the phase shift layer, and a second shielding layer overlying the first shielding layer. The first shielding layer has a first optical density, and the second shielding layer has a second optical density. The second optical density is less than the first optical density. 1. A photomask for mask patterning , comprising:a phase shift layer overlying a transparent layer;a first shielding layer overlying the phase shift layer, wherein the first shielding layer has a first optical density; anda second shielding layer overlying the first shielding layer, wherein the second shielding layer has a second optical density, wherein the second optical density is less than the first optical density.2. The photomask for mask patterning of claim 1 , wherein the first shielding layer has a first thickness and the second shielding layer has a second thickness claim 1 , the second thickness is less than the first thickness.3. The photomask for mask patterning of claim 2 , wherein the first shielding layer and the second shielding layer have a combined optical density that is at least 1.8.4. The photomask for mask patterning of claim 1 , wherein the first optical density is greater than 1 and the second optical density is less than 1.5. The photomask for mask patterning of claim 1 , wherein the second shielding layer comprises chromium (Cr).6. The photomask of for mask patterning of claim 1 , wherein the phase shift layer comprises a molybdenum silicide (MoSi) layer.7. The photomask of for mask patterning of claim 1 , wherein the transparent layer comprises quartz.8. The photomask for mask patterning of claim 1 , wherein the photomask has a photomask optical density of greater than 3.0.9. The photomask for mask patterning of claim 1 , wherein the phase shift layer covers some portions of the transparent layer while ...

Подробнее
15-02-2018 дата публикации

PELLICLE MANUFACTURING METHOD AND METHOD FOR MANUFACTURING PHOTOMASK WITH PELLICLE

Номер: US20180046071A1
Принадлежит:

A method for producing a pellicle according to the one embodiment of the present invention produces a pellicle including a pellicle film and a pellicle frame supporting an outer peripheral portion of the pellicle film. The method includes forming the pellicle film on a substrate, and bonding a pressure-sensitive adhesive sheet, that is elastic and has a pressure-sensitive adhesive force thereof decreased upon receipt of external stimulation, to each of two surfaces of the substrate; making a notch inside a part of the substrate, the part having the pressure-sensitive adhesive sheets bonded thereto; separating a substrate outer peripheral portion outer to the notch of the substrate, in a state where the pressure-sensitive adhesive sheets are bonded to the substrate, to form a pellicle frame; and stimulating the pressure-sensitive adhesive sheets to peel off the pressure-sensitive adhesive sheets. 1. A method for producing a pellicle including a pellicle film and a pellicle frame supporting an outer peripheral portion of the pellicle film , the method comprising:forming the pellicle film on a substrate, and bonding a pressure-sensitive adhesive sheet to each of two surfaces of the substrate, the pressure-sensitive adhesive sheet being elastic and having a pressure-sensitive adhesive force thereof decreased upon receipt of external stimulation;making a notch inside a part of the substrate, the part having the pressure-sensitive adhesive sheets bonded thereto;separating a substrate outer peripheral portion outer to the notch of the substrate, in a state where the pressure-sensitive adhesive sheets are bonded to the substrate, to form the pellicle frame; andstimulating the pressure-sensitive adhesive sheets to peel off the pressure-sensitive adhesive sheets.2. The method for producing a pellicle according to claim 1 , further comprising etching the substrate claim 1 , from a surface opposite to a surface on which the pellicle film is formed claim 1 , to expose the ...

Подробнее
03-03-2022 дата публикации

Mask Defect Prevention

Номер: US20220066312A1
Принадлежит:

A photolithographic mask assembly according to the present disclosure accompanies a photolithographic mask. The photolithographic mask includes a capping layer over a substrate and an absorber layer disposed over the capping layer. The absorber layer includes a first main feature area, a second main feature area, and a venting feature area disposed between the first main feature area and the second main feature area. The venting feature area includes a plurality of venting features.

Подробнее
14-02-2019 дата публикации

REFLECTIVE MASK BLANK AND REFLECTIVE MASK

Номер: US20190049836A1
Принадлежит: AGC Inc.

A reflective mask blank includes, on/above a substrate in the following order from the substrate side a multilayer reflective film which reflects EUV light and an absorber film which absorbs EUV light. The absorber film is a tantalum-based material film containing a tantalum-based material. The absorber film provides a peak derived from the tantalum-based material in an X-ray diffraction pattern, the peak having a peak diffraction angle (2θ) of 36.8 degrees or more and a full width at half maximum of 1.5 degrees or more. 1. A reflective mask blank comprising , on/above a substrate in the following order from the substrate side:a multilayer reflective film which reflects EUV light; andan absorber film which absorbs EUV light,wherein the absorber film is a tantalum-based material film containing a tantalum-based material, andwherein the absorber film provides a peak derived from the tantalum-based material in an X-ray diffraction pattern, the peak having a peak diffraction angle (2θ) of 36.8 degrees or more and a full width at half maximum of 1.5 degrees or more.2. The reflective mask blank according to claim 1 ,wherein the tantalum-based material film contains 10.0 at % to 35.0 at % of nitrogen atoms.3. The reflective mask blank according to claim 1 ,wherein the tantalum-based material film contains 0.05 at % or more of Krypton atoms.4. The reflective mask blank according to claim 1 , comprising a protective film on the multilayer reflective film claim 1 ,wherein etching selectivity between the absorber film and the protective film is 45 or more in a dry etching process with a chlorine gas.5. The reflective mask blank according to claim 4 ,wherein the protective film is a ruthenium-based material film containing a ruthenium-based material.6. A reflective mask obtained by forming a pattern in the absorber film of the reflective mask blank according to .7. The reflective mask blank according to claim 2 ,wherein the tantalum-based material film contains 0.05 at % or ...

Подробнее
13-02-2020 дата публикации

NOVEL METHOD FOR FABRICATION OF EUV PHOTOMASK FIDUCIALS

Номер: US20200050097A1
Автор: MAGANA John, ZHANG Guojing
Принадлежит:

Embodiments disclosed herein include reticles for extreme ultraviolet (EUV) lithography and methods of forming such reticles. In an embodiment, the reticle may comprise a substrate and a mirror layer over the substrate. In an embodiment, the mirror layer includes alternating layers of a first mirror layer and a second mirror layer. In an embodiment, a fiducial may be formed into the mirror layer. In an embodiment, the fiducial comprises constituents of the first mirror layer and the second mirror layer. In an embodiment, an absorber layer may be formed over the mirror layer. 1. A reticle , comprising:a substrate;a mirror layer over the substrate, wherein the mirror layer comprises alternating layers of a first mirror layer and a second mirror layer;a fiducial formed into the mirror layer, wherein the fiducial comprises constituents of the first mirror layer and the second mirror layer; andan absorber layer over the mirror layer.2. The reticle of claim 1 , wherein the fiducial comprises no distinguishable alternating layers of the first mirror layer and the second mirror layer.3. The reticle of claim 1 , wherein the fiducial comprises a recessed surface relative to an uppermost surface of the mirror layer.4. The reticle of claim 3 , wherein the recessed surface is a concave surface.5. The reticle of claim 3 , wherein the fiducial comprises a protrusion up from the recessed surface.6. The reticle of claim 5 , wherein the protrusion is substantially centered within the fiducial.7. The reticle of claim 5 , wherein the protrusion has a point that is coplanar with or below a surface of the mirror layer.8. The reticle of claim 1 , wherein the fiducial is substantially circular.9. The reticle of claim 8 , wherein a diameter of the fiducial is between approximately 0.25 μm and approximately 5 μm.10. The reticle of claim 1 , wherein the first mirror layer is silicon and the second mirror layer is molybdenum.11. The reticle of claim 1 , further comprising a capping layer ...

Подробнее
13-02-2020 дата публикации

Lithography Mask with a Black Border Regions and Method of Fabricating the Same

Номер: US20200050098A1
Принадлежит:

A lithography mask includes a substrate, a reflective structure disposed over a first side of the substrate, and a patterned absorber layer disposed over the reflective structure. The lithography mask includes a first region and a second region that surrounds the first region in a top view. The patterned absorber layer is located in the first region. A substantially non-reflective material is located in the second region. The lithography mask is formed by forming a reflective structure over a substrate, forming an absorber layer over the reflective structure, defining a first region of the lithography mask, and defining a second region of the lithography mask. The defining of the first region includes patterning the absorber layer. The second region is defined to surround the first region in a top view. The defining of the second region includes forming a substantially non-reflective material in the second region. 1. A lithography mask , comprising:a substrate;a reflective structure disposed over a first side of the substrate;a patterned absorber layer disposed over the reflective structure;wherein:the lithography mask includes a first region and a second region that surrounds the first region in a top view;the patterned absorber layer is located in the first region; anda substantially non-reflective material is located in the second region.2. The lithography mask of claim 1 , wherein:the reflective structure is configured to reflect extreme ultraviolet (EUV) light; andthe substantially non-reflective material is configured to be substantially non-reflective with respect to the EUV light.3. The lithography mask of claim 1 , wherein the substantially non-reflective material corresponds to an absence of the reflective structure.4. The lithography mask of claim 1 , wherein the substantially non-reflective material includes a trench that extends through the reflective structure.5. The lithography mask of claim 4 , further comprising a passivation layer disposed on ...

Подробнее
13-02-2020 дата публикации

PATTERNING MATERIAL FILM STACK WITH HARD MASK LAYER CONFIGURED TO SUPPORT SELECTIVE DEPOSITION ON PATTERNED RESIST LAYER

Номер: US20200050113A1
Принадлежит:

A semiconductor structure comprises a semiconductor substrate, and a multi-layer patterning material film stack formed on the semiconductor substrate. The patterning material film stack comprises at least a hard mask layer and a resist layer formed over the hard mask layer. The hard mask layer is configured to support selective deposition of a metal-containing layer on a developed pattern of the resist layer through inclusion in the hard mask layer of one or more materials inhibiting deposition of the metal-containing layer on portions of the hard mask layer corresponding to respective openings in the resist layer. The hard mask layer illustratively comprises, for example, at least one of a grafted self-assembled monolayer configured to inhibit deposition of the metal-containing layer, and a grafted polymer brush material configured to inhibit deposition of the metal-containing layer. 1. A semiconductor structure comprising:a semiconductor substrate; anda multi-layer patterning material film stack formed on the semiconductor substrate;the patterning material film stack comprising at least a hard mask layer and a resist layer formed over the hard mask layer;wherein the hard mask layer is configured to support selective deposition of a metal-containing layer on a developed pattern of the resist layer through inclusion in the hard mask layer of one or more materials inhibiting deposition of the metal-containing layer on portions of the hard mask layer corresponding to respective openings in the resist layer.2. The semiconductor structure of wherein the multi-layer patterning material film stack comprises one or more additional layers including at least an organic planarizing layer claim 1 , and wherein the hard mask layer is formed over the organic planarizing layer.3. The semiconductor structure of wherein the metal-containing layer has a thickness in a range from about 2 nanometers to about 5 nanometers.4. The semiconductor structure of wherein the hard mask layer ...

Подробнее
22-02-2018 дата публикации

MASK BLANK, TRANSFER MASK, METHOD FOR MANUFACTURING TRANSFER MASK, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20180052387A1
Принадлежит: HOYA CORPORATION

A mask blank is provided, which makes it possible to form a fine transfer pattern in a light-semitransmissive film with high accuracy even if the light-semitransmissive film is made of a material containing silicon and a light shielding film is made of a material containing chromium. 1. A mask blank having a structure in which a light-semitransmissive film , an etching mask film , and a light shielding film are laminated in this order on a transparent substrate ,wherein the light-semitransmissive film is made of a material containing silicon,wherein the etching mask film is made of a material containing chromium,wherein the light shielding film is made of a material containing chromium and oxygen, andwherein a ratio of the etching rate of the light shielding film to the etching rate of the etching mask film in the dry etching using an oxygen-containing chlorine-based gas is not less than 3 and not more than 12.2. The mask blank according to claim 1 , wherein the etching mask film is made of a material which contains chromium and further contains at least one or more elements selected from carbon and silicon.3. The mask blank according to claim 1 , wherein the total content of oxygen and nitrogen in the etching mask film is 5 atom % or less.4. The mask blank according to claim 1 , wherein the oxygen content in the light shielding film is 10 atom % or more.5. The mask blank according to claim 1 , wherein the light shielding film is made of a material which does not substantially contain silicon.6. The mask blank according to claim 1 , wherein the light-semitransmissive film is made of a material containing silicon and nitrogen.7. A mask blank having a structure in which an etching mask film and a light shielding film are laminated in this order on a transparent substrate claim 1 ,wherein the etching mask film is made of a material containing chromium,wherein the light shielding film is made of a material containing chromium and oxygen, andwherein a ratio of the ...

Подробнее
23-02-2017 дата публикации

Lithography Mask and Fabricating the Same

Номер: US20170052442A1
Принадлежит:

A mask includes a doped substrate having a first region, a second region and a third region. The doped substrate in the first region has a first thickness to define a first mask state and in the second region has a second thickness to define a second mask state. The second thickness is different than the first thickness. The mask also includes an absorption material layer disposed over the third region to define a border region. 1. A mask comprising:a doped substrate having a first region, a second region and a third region, wherein the doped substrate in the first region has a first thickness to define a first mask state, wherein the doped substrate in the second region has a second thickness to define a second mask state, wherein the second thickness is different than the first thickness; andan absorption material layer disposed over the third region to define a border region.2. The mask of claim 1 , wherein the doped substrate includes a uniformly doped material.3. The mask of claim 1 , wherein the doped substrate has a dopant concentration gradient.4. The mask of claim 1 , wherein the dopant concentration is greater adjacent a top surface of the doped substrate than a bottom surface of the doped substrate.5. The mask of claim 1 , wherein the doped substrate includes a doped material layer disposed over a no-doped material layer.6. The mask of claim 1 , wherein doped substrate includes a doping species selected from the group consisting of a metal and a metal oxide.7. The mask of claim 1 , wherein the first and second mask states are out of phase.8. The mask of claim 1 , wherein the first and second mask states have the same transmittance.9. The mask of claim 1 , wherein the absorption material layer includes molybdenum silicide (MoSi).10. The mask of claim 1 , further comprising a chromium (Cr) layer positioned between the doped substrate and the absorption material layer in the border region.11. A mask claim 1 , comprising:a doped transparent substrate having a ...

Подробнее
21-02-2019 дата публикации

REFLECTIVE MASK BLANK AND REFLECTIVE MASK

Номер: US20190056653A1
Принадлежит: AGC Inc.

A reflective mask blank includes a backside conductive film on a back surface of a substrate. The backside conductive film has a laminated structure including a stress compensation layer and a conductive layer in this order from the substrate side. The conductive layer includes a metal nitride. The stress compensation layer has a compressive stress and the stress compensation layer includes at least one compound selected from the group consisting of oxides, oxynitrides, and nitrides, each having an absorption coefficient (k) over the wavelength range of 400 nm to 800 nm being 0.1 or less. The conductive layer has a thickness of 5 nm or more and 30 nm or less. The backside conductive film has a total thickness of 50 nm or more. 1. A reflective mask blank , comprising:a backside conductive film on a back surface of a substrate,wherein the backside conductive film has a laminated structure comprising a stress compensation layer and a conductive layer in this order from the substrate side,wherein the conductive layer comprises a metal nitride,wherein the stress compensation layer has a compressive stress,wherein the stress compensation layer comprises at least one compound selected from the group consisting of oxides, oxynitrides, and nitrides, each having an absorption coefficient (k) over the wavelength range of 400 nm to 800 nm being 0.1 or less,wherein the conductive layer has a thickness of 5 nm or more and 30 nm or less, andwherein the backside conductive film has a total thickness of 50 nm or more.2. The reflective mask blank according to claim 1 ,wherein the backside conductive film has a compressive stress with a total stress of 50 Pa·m to 400 Pa·m.3. The reflective mask blank according to claim 1 ,wherein the stress compensation layer has a compressive stress with a film internal stress per unit film thickness of 300 MPa to 2,000 MPa.4. The reflective mask blank according to claim 1 ,wherein the stress compensation layer has a refractive index (n) over the ...

Подробнее
03-03-2016 дата публикации

OPTICAL MASK

Номер: US20160062228A1
Принадлежит:

An optical mask including a transmissive base substrate, a reflective pattern layer, and a photothermal conversion pattern layer. The reflective pattern layer is disposed on the transmissive base substrate. The reflective pattern layer includes reflectors. The photothermal conversion pattern layer is disposed on the transmissive base substrate among the reflectors. The photothermal conversion pattern layer includes first regions with a first light absorptivity and second regions with a second light absorptivity. The second light absorptivity is greater than the first light absorptivity. The first regions are disposed among the second regions. 1. An optical mask , comprising:a transmissive base substrate;a reflective pattern layer disposed on the transmissive base substrate, the reflection pattern layer comprising reflectors; anda photothermal conversion pattern layer disposed on the transmissive base substrate among the reflectors, the photothermal conversion pattern layer comprising first regions with a first light absorptivity and second regions with a second light absorptivity,wherein the second light absorptivity is greater than the first light absorptivity, andwherein the first regions are disposed among the second regions.2. The optical mask of claim 1 , further comprising:an adiabatic layer disposed between the transmissive base substrate and the photothermal conversion pattern layer.3. The optical mask of claim 1 , wherein the adiabatic layer is disposed on at least some of the reflectors.4. The optical mask of claim 3 , wherein at least some of the second regions are disposed on the adiabatic layer.5. The optical mask of claim 4 , further comprising:a buffer pattern layer disposed on at least some of the second regions, the buffer pattern layer comprising a barrier overlapping at least some of the reflectors.6. The optical mask of claim 1 , wherein light absorptivity of the first regions and the second regions is at least 50% in the infrared-visible light ...

Подробнее
01-03-2018 дата публикации

Lithographic Mask for EUV Lithography

Номер: US20180059529A1
Принадлежит: IMEC VZW

The disclosure is related to a lithographic mask for EUV lithography, to a method for producing the mask, to a method for printing a pattern with the mask, to a stepper/scanner configured to print a pattern with the mask as well as to a computer-implemented method for calculating a deformation of the pattern. The mask comprises an absorber pattern, which is intentionally deformed in the 2-dimensional plane of the EUV mask, with respect to the intended pattern. The deformation of the pattern is based on a previous measurement of the location of multilayer defects on the blank, and calculated so that in the deformed pattern, a maximum of multilayer defects are covered by absorber material. When the pattern is subsequently printed on a semiconductor wafer in a stepper/scanner, the scanner operation is modulated so that the pattern deformation is not reproduced on the wafer. 1. A mask for printing a pattern of features onto a semiconductor wafer in a stepper apparatus by EUV lithography , the mask comprising:a multilayer blank comprising a plurality of multilayer (ML) defects, the blank further comprising a plurality of reference marks; andan absorber pattern positioned in a predefined position with respect to a 2-dimensional coordinate system that is defined by the position of the reference marks, the absorber pattern comprising areas covered by EUV absorber material and areas not covered by the EUV absorber material, the absorber pattern corresponding to an intended pattern of features, wherein the absorber pattern is deliberately deformed on the mask with respect to the intended pattern of features, so that multiple ML defects in the area of the blank corresponding to the pattern of features are covered by absorber material.2. The mask according to claim 1 , wherein the absorber pattern is furthermore shifted or rotated with respect to the 2-dimensional coordinate system.3. The mask according to claim 1 , wherein the deformation of the absorber pattern is ...

Подробнее
20-02-2020 дата публикации

PHOTOMASK LASER ETCH

Номер: US20200057362A1
Автор: DAGAN Eli, Wu Banqiu
Принадлежит:

Embodiments described herein relate to apparatus and methods for removing one or more films from a photomask to create a black border and one or more pellicle anchor areas thereon. A photomask substrate is exposed by removing the one or more films in the black border and pellicle anchor areas. The black border prevents a pattern on the photomask from overlapping a pattern on a substrate being processed. To create the black border and pellicle anchor areas, a laser beam is projected through a lens and focused on a surface of the films. The films are ablated by the laser beam without damaging the photomask substrate. 1. A laser etch method , comprising:etching a photomask to remove a first layer disposed on the photomask from a black border and one or more pellicle anchor areas;etching the photomask with a femtosecond laser and a lens having a focal length of between about 30 mm and about 110 mm to remove at least a second layer disposed on the photomask from the black border; andetching the photomask with the femtosecond laser to remove the at least the second layer from the one or more pellicle anchor areas.2. The method of claim 1 , wherein a laser source of the femtosecond laser has a power of about 100 mW or less.3. The method of claim 2 , further comprising:positioning the photomask having the at least the second layer disposed thereon in a path of a laser beam emitted from the laser source;projecting the laser beam through the lens positioned between the laser source and the photomask;focusing the laser beam with the lens at a focal point on a surface of the photomask, the focal point positioned in the black border on the photomask; andsublimating the at least the second layer in the black border with the laser beam focused thereon.4. The method of claim 1 , wherein the etching the photomask to remove the first layer is performed in a plasma etch chamber.5. The method of claim 1 , wherein the black border surrounds a pattern area on the photomask.6. The method ...

Подробнее
20-02-2020 дата публикации

PROTECTION LAYER ON LOW THERMAL EXPANSION MATERIAL (LTEM) SUBSTRATE OF EXTREME ULTRAVIOLET (EUV) MASK

Номер: US20200057363A1
Принадлежит:

Fabricating a photomask includes forming a protection layer over a substrate. A plurality of multilayers of reflecting films are formed over the protection layer. A capping layer is formed over the plurality of multilayers. An absorption layer is formed over capping layer. A first photoresist layer is formed over portions of absorption layer. Portions of the first photoresist layer and absorption layer are patterned, forming first openings in absorption layer. The first openings expose portions of the capping layer. Remaining portions of first photoresist layer are removed and a second photoresist layer is formed over portions of absorption layer. The second photoresist layer covers at least the first openings. Portions of the absorption layer and capping layer and plurality of multilayer of reflecting films not covered by the second photoresist layer are patterned, forming second openings. The second openings expose portions of protection layer and second photoresist layer is removed. 1. A method of fabricating a photomask , comprising:forming a protection layer over a substrate, wherein the protection layer protects the substrate against an extreme ultraviolet (EUV) irradiation;forming a plurality of multilayers of reflecting films over the protection layer;forming a capping layer over the plurality of multilayers of reflecting films;forming an absorption layer over the capping layer;forming a first photoresist layer over portions of the absorption layer;patterning portions of the first photoresist layer and the absorption layer, thereby forming first openings in the absorption layer, wherein the first openings expose portions of the capping layer;removing remaining portions of the first photoresist layer;forming a second photoresist layer over portions of the absorption layer, wherein the second photoresist layer covers at least the first openings;patterning portions of the absorption layer and the capping layer and the plurality of multilayer of reflecting films ...

Подробнее
20-02-2020 дата публикации

MASK AND METHOD FOR FORMING THE SAME

Номер: US20200057365A1
Автор: Liao Chi-Hung, LIAO Ju-Wei

A method includes clamping a mask on a mask stage, in which the mask includes a multilayered magnetic film; performing a first lithography process by using the mask; moving the mask away from the mask stage; and determining whether a surface condition of a surface layer of the multilayered thin film is acceptable; and peeling the surface layer of the multilayered magnetic film from the multilayered magnetic film when the surface condition of the surface layer is determined as unacceptable. 1. A method , comprising:clamping a mask on a mask stage, wherein the mask comprises a multilayered magnetic film;performing a first lithography process by using the mask;moving the mask away from the mask stage;determining whether a surface condition of a surface layer of the multilayered magnetic film is acceptable; andpeeling the surface layer of the multilayered magnetic film from the multilayered magnetic film when the surface condition of the surface layer is determined as unacceptable.2. The method of claim 1 , further comprising:after peeling the surface layer of the multilayered magnetic film, clamping the mask back to the mask stage; andafter clamping the mask back to the mask stage, performing a second lithography process.3. The method of claim 2 , wherein:peeling the surface layer of the multilayered magnetic film is performed such that a flat surface of another layer of the multilayered magnetic film is exposed; andclamping the mask back to the mask stage comprises connecting the flat surface of the another layer of the multilayered magnetic film to the mask stage.4. The method of claim 1 , further comprising:clamping the mask back to the mask stage when the surface condition of the surface layer is acceptable; andafter clamping the mask back to the mask stage, performing a second lithography process.5. The method of claim 1 , wherein peeling the surface layer of the multilayered magnetic film is performed manually.6. The method of claim 1 , wherein clamping the mask ...

Подробнее
28-02-2019 дата публикации

MASK BLANK, METHOD FOR MANUFACTURING MASK BLANK, METHOD FOR MANUFACTURING TRANSFER MASK, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20190064649A1
Принадлежит: HOYA CORPORATION

A mask blank for manufacturing a transfer mask. A thin film () includes a material containing a metal, silicon, and nitrogen; a ratio of metal content[atom %] to the total content [atom %] of metal and silicon in the thin film () is 15% or less. When the thin film is subjected to an analysis of a secondary ion mass spectrometry and a distribution of a secondary ion intensity of silicon in depth direction, a ratio of 1.6 or less is obtained of (i) a maximum peak [Counts/sec] of a secondary ion intensity of silicon at a surface layer region, which is opposite from a transparent substrate (), of the thin film (), divided by (ii) an average value [Counts/sec] of a secondary ion intensity of silicon in a depth direction of an inner region, which is a region excluding the surface layer region and a vicinity region with an interface, of the transparent substrate () of the thin film (). 1. A mask blank comprising a thin film for pattern formation on a transparent substrate ,wherein the thin film is made of a material containing a metal, silicon, and nitrogen,wherein a ratio of a content [atom %] of the metal divided by a total content [atom %] of the metal and silicon in the thin film is 15% or less, andwherein when the thin film is subjected to an analysis of a secondary ion mass spectrometry and a distribution of a secondary ion intensity of silicon in depth direction is obtained, a ratio of a maximum peak [Counts/sec] of the secondary ion intensity of silicon at a surface layer region, which is opposite from a transparent substrate, of the thin film divided by an average value [Counts/sec] of the secondary ion intensity of silicon in a depth direction of an inner region, which is a region excluding the surface layer region and a vicinity region with an interface of the transparent substrate, of the thin film is 1.6 or less.2. The mask blank according to claim 1 , wherein the surface layer region is a region ranging from a surface on the thin film opposite from the ...

Подробнее
12-03-2015 дата публикации

Mask blank, transfer mask, and methods of manufacturing the same

Номер: US20150072273A1
Принадлежит: Hoya Corp

This invention provides a mask blank in which a thin film for transfer pattern formation is provided on a main surface of a transparent substrate. The thin film is made of a material containing a transition metal and silicon and further containing at least one of oxygen and nitrogen. The thin film has as its surface layer an oxide layer with an oxygen content higher than that of a region, other than the surface layer, of the thin film. The thin film is formed so that the thickness of its central portion is greater than that of its outer peripheral portion on the main surface side. The oxide layer is formed so that the thickness of its central portion is greater than that of its outer peripheral portion on the main surface side.

Подробнее
08-03-2018 дата публикации

PHOTOMASKS

Номер: US20180067390A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

Disclosed is a photomask. The photomask comprises a substrate, a reflective layer on the substrate, and an absorption structure on the reflective layer. The absorption structure comprises absorption patterns spaced apart from each other on the reflective layer. The absorption structure may include dummy holes in at least one of the absorption patterns. The dummy holes exhaust hydrogen from the absorption structure. The photomask may include a barrier layer on the absorption structure. The barrier layer may reduce the amount of hydrogen entering the absorption structure. 1. A photomask comprising:a substrate;a reflective layer on the substrate; andan absorption structure on the reflective layer, a plurality of absorption patterns spaced apart from one another on the reflective layer, and', 'a plurality of dummy holes in at least one of the plurality of absorption patterns,', 'wherein at least one of the plurality of dummy holes is configured to exhaust hydrogen from the absorption structure., 'the absorption structure including,'}2. The photomask of claim 1 , wherein claim 1 , in at least one of the plurality of absorption patterns claim 1 , at least two of the plurality of dummy holes are spaced apart at a width of less than 500 μm in a first direction parallel to a top surface of the substrate.3. The photomask of claim 1 , wherein at least one of the plurality of dummy holes has a diameter less than a line width determined by a resolution limit of a lithography apparatus using the photomask.4. The photomask of claim 3 , wherein the substrate includes a transfer region and a light shield region around the transfer region claim 3 , the transfer region including a pattern configured to transfer onto a wafer from the photomask claim 3 ,wherein at least one of the plurality of absorption patterns is provided on the transfer region, the one of the plurality of absorption patterns including the plurality of dummy holes.5. The photomask of claim 3 , wherein at least one of ...

Подробнее
27-02-2020 дата публикации

MASK BLANK, PHASE-SHIFT MASK, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20200064727A1
Принадлежит: HOYA CORPORATION

A mask blank is provided in which a phase-shift film is provided on a transparent substrate, the phase-shift film having a predetermined transmittance to ArF exposure light and being configured to shift a phase of ArF exposure light transmitted therethrough, wherein the phase-shift film comprises a nitrogen-containing layer that is formed from a material containing silicon and nitrogen and does not contain a transition metal, and wherein a content of oxygen in the nitrogen-containing layer, when measured by X-ray photoemission spectroscopy, is below a detection limit. 1. A mask blank comprising:a transparent substrate; anda phase-shift film provided on the transparent substrate,wherein a transmittance of the phase-shift film with respect to ArF exposure light is within a range of from 1% to 30%, andwherein the phase-shift film is configured to shift a phase of ArF exposure light transmitted through the phase-shift film by a phase shift amount that is within a range of from 170 degrees to 190 degrees, the phase shift amount being relative to a phase of ArF exposure light transmitted through air over a same distance as a thickness of the phase-shift film, andwherein a nitrogen-containing layer of the phase-shift film is formed from a material containing silicon and nitrogen and does not contain a transition metal, andwherein a content of oxygen in the nitrogen-containing layer, when measured by X-ray photoemission spectroscopy, is below a detection limit, andwherein the nitrogen-containing layer has, with respect to ArF exposure light, a refractive index n that is not less than 2.5 and a extinction coefficient k that is less than 1.0 and not less than 0.39.2. The mask blank according to claim 1 , wherein the nitrogen content of the nitrogen-containing layer is more than 41 at % and not more than 54 at %.3. The mask blank according to claim 1 , wherein the nitrogen-containing layer is formed from a material consisting essentially of silicon and nitrogen or from a ...

Подробнее
11-03-2021 дата публикации

INTERCONNECTION STRUCTURE FABRICATION USING GRAYSCALE LITHOGRAPHY

Номер: US20210074620A1
Принадлежит: Intel Corporation

An lithographic reticle may be formed comprising a transparent substrate, a substantially opaque mask formed on the transparent substrate that defines at least one exposure window, wherein the at least one exposure window has a first end, a first filter formed on the transparent substrate within the at least one exposure window and abutting the first end thereof, and a second filter formed on the transparent substrate within the at least one exposure window and abutting the first filter, wherein an average transmissivity of the first filter is substantially one half of a transmissivity of the second filter. In another embodiment, the at least one exposure window includes a third filter abutting the second end and is adjacent the second filter. Further embodiments of the present description include interconnection structures and systems fabricated using the lithographic reticle. 1. A lithographic reticle , comprising:a transparent substrate;a substantially opaque mask forming at least one exposure window on the transparent substrate, wherein the at least one exposure window has a first end;a first filter formed on the transparent substrate within the at least one exposure window and abutting the first end thereof; anda second filter formed on the transparent substrate within the at least one exposure window and abutting the first filter;wherein an average transmissivity of the first filter is substantially one half a transmissivity of the second filter.2. The lithographic reticle of claim 1 , wherein the transmissivity of the first filter is gradated.3. The lithographic reticle of claim 2 , wherein the first filter has a transmissivity that is substantially equal to the transmissivity of the second filter where the first filter abuts the second filter and is gradated to substantially no transmissivity where the first filter abuts the first end of the exposure window.4. The lithographic reticle of claim 1 , further including a third filter claim 1 , wherein the third ...

Подробнее
24-03-2022 дата публикации

REFLECTION-TYPE MASK BLANK, REFLECTION-TYPE MASK AND METHOD FOR MANUFACTURING SAME, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20220091498A1
Автор: KATAOKA Mizuki
Принадлежит: HOYA CORPORATION

Provided is a reflection-type mask blank which enables the further reduction of the shadowing effect of a reflection-type mask. A reflection-type mask blank in which a multilayer reflection film and an absorber film are arranged in this order on a substrate, the reflection-type mask blank being characterized in that the absorber film is made from a material comprising an amorphous metal containing at least one element selected from tin (Sn), tantalum (Ta), chromium (Cr), cobalt (Co), nickel (Ni), antimony (Sb), platinum (Pt), iridium (Ir), iron (Fe), gold (Au), aluminum (Al), copper (Cu), zinc (Zn) and silver (Ag) and the absorber film has a film thickness of 55 nm or less. 1. A reflective mask blank comprising:a multilayer reflective film provided on a substrate; andan absorber film provided on the multilayer reflective film,wherein the absorber film includes an amorphous metal containing Tin (Sn) and at least one element selected from tantalum (Ta), chromium (Cr), cobalt (Co), nickel (Ni), antimony (Sb), platinum (Pt), iridium (Ir), iron (Fe), gold (Au), aluminum (Al), copper (Cu), zinc (Zn), and silver (Ag), andwherein a film thickness of the absorber film is 55 nm or less.2. The reflective mask blank according to claim 1 , wherein content of the tin (Sn) is 10 atomic % or more and 90 atomic % or less.3. The reflective mask blank according to claim 1 ,wherein an extinction coefficient of the absorber film is 0.035 or more, andwherein the amorphous metal contains tin (Sn) and at least one element selected from tantalum (Ta), chromium (Cr), platinum (Pt), iridium (Ir), iron (Fe), gold (Au), aluminum (Al), and zinc (Zn).4. The reflective mask blank according to claim 1 ,wherein an extinction coefficient of the absorber film is 0.045 or more, andwherein the amorphous metal contains tin (Sn) and at least one element selected from cobalt (Co), nickel (Ni), antimony (Sb), copper (Cu), and silver (Ag).5. The reflective mask blank according to claim 1 ,wherein the ...

Подробнее
05-03-2020 дата публикации

MASK AND METHOD FOR MANUFACTURING THE SAME AND METHOD FOR PATTERNING A LAYER

Номер: US20200073224A1
Принадлежит:

A mask for reflecting an electromagnetic radiation includes a substrate, a reflective multi-layered stack over a surface of the substrate, a metal capping layer over the reflective multi-layered stack, a metal silicide buffer layer over the metal capping layer, and an optical absorber pattern over the metal silicide buffer layer. 1. A mask for reflecting an electromagnetic radiation , comprising:a substrate;a reflective multi-layered stack over a surface of the substrate;a metal capping layer over the reflective multi-layered stack;a metal silicide buffer layer over the metal capping layer; andan optical absorber pattern over the metal silicide buffer layer.2. The mask of claim 1 , wherein a material of the metal capping layer comprises ruthenium (Ru).3. The mask of claim 1 , wherein a material of the optical absorber pattern comprises tantalum-based compound.4. The mask of claim 1 , wherein the optical absorber pattern comprises an optical absorber film claim 1 , and a low-reflective film stacked on the optical absorber film.5. The mask of claim 1 , wherein a material of the metal silicide buffer layer comprises molybdenum silicide (MoSi).6. The mask of claim 1 , wherein a ratio of a thickness of the metal silicide buffer layer to a thickness of the metal capping layer ranges from about 0.5 to about 1.7. The mask of claim 1 , wherein a refractive index of a material of the metal silicide buffer layer is close to a refractive index of a material of the metal capping layer.8. The mask of claim 1 , wherein an extinction coefficient of a material of the metal silicide buffer layer is close to an extinction coefficient of a material of the metal silicide capping layer.9. The mask of claim 1 , wherein an etch selectivity of a material of the optical absorber pattern over a material of the metal silicide buffer layer with respect to a same etchant is higher than about 10.10. A method of manufacturing a mask claim 1 , comprising:forming a reflective multi-layered stack, a ...

Подробнее
14-03-2019 дата публикации

Extreme Ultraviolet Absorbing Alloys

Номер: US20190078177A1
Принадлежит:

Example embodiments relate to extreme ultraviolet absorbing alloys. One example embodiment includes an alloy. The alloy includes one or more first elements selected from: a first list consisting of: Ag, Ni, Co, and Fe; and a second list consisting of: Ru, Rh, Pd, Os, Ir, and Pt. The alloy also includes one or more second elements selected from: the first list, if the one or more first elements are not selected from the first list; and a third list consisting of Sb and Te. An atomic ratio between the one or more first elements and the one or more second elements is between 1:1 and 1:5 if the one or more second elements are selected from the third list and between 1:1 and 1:19 if the one or more second elements are not selected from the third list. 1. An alloy , comprising: a first list consisting of: Ag, Ni, Co, and Fe; and', 'a second list consisting of: Ru, Rh, Pd, Os, Ir, and Pt; and, 'one or more first elements selected from only one of the first list, if the one or more first elements are not selected from the first list; and', 'a third list consisting of Sb and Te,, 'one or more second elements selected from only one ofwherein an atomic ratio between the one or more first elements and the one or more second elements is between 1:1 and 1:5 if the one or more second elements are selected from the third list and between 1:1 and 1:19 if the one or more second elements are not selected from the third list.2. The alloy according to claim 1 , wherein an average crystallite size of the alloy is 10 nm or smaller.3. The alloy according to claim 1 , wherein an extinction coefficient of the alloy measured at 13.5 nm is 0.02 or higher.4. The alloy according to claim 1 , wherein a refractive index of the alloy measured at 13.5 nm is between 0.86 and 1.02.5. The alloy according to claim 1 , wherein a crystallization temperature of the alloy is 150° C. or higher.6. The alloy according to claim 1 , wherein a melting temperature of the alloy is 150° C. or higher.7. The alloy ...

Подробнее
24-03-2016 дата публикации

Mask, spacer produced by using the mask and method for producing spacer using the mask

Номер: US20160085104A1
Автор: Hongshu Zhang

Embodiments of the present invention provide a mask, a spacer produced by the mask and a method for producing a spacer using the mask. They may reduce the bottom size of a cylinder-shaped spacer while keeping the top size of it to meet the design requirements for high resolution TFT-LCD. The mask provided by the embodiments includes a mask substrate on which a light transmission region and a light shielding region are provided, wherein a Fresnel zone plate is arranged in the light transmission region and configured to form a spacer on a glass substrate.

Подробнее
21-03-2019 дата публикации

EXPOSURE MASK AND MANUFACTURING METHOD OF SAME

Номер: US20190086792A1
Автор: KAMO Takashi, TAKAI Kosuke
Принадлежит:

An exposure mask includes a substrate, and a plurality of first films and a plurality of second films located alternately over each other over selected portions of the substrate. The exposure mask further includes a third film selectively located over the first and second films. At least one first pattern is located over the substrate and does not include any of the first, second or third films. At least one second pattern is located over the substrate and includes the first and second films and does not include the third film. At least one third pattern is located over the substrate and includes the first, second and third films. 1. An exposure mask , comprising:a substrate;a plurality of first films and a plurality of second films located alternately over each other over selected portions of the substrate; anda third film selectively located over the first and second films, whereinat least one first pattern is located over the substrate and does not include any of the first, second or third films,at least one second pattern is located over the substrate and includes the first and second films and does not include the third film, andat least one third pattern is located over the substrate and includes the first, second and third films.2. The exposure mask according to claim 1 , whereinthe first and second films comprise layers configured to reflect electromagnetic waves used for exposure of a resist layer on another substrate, andthe third film is an absorber layer configured to absorb electromagnetic waves used for exposure of a resist layer on another substrate.3. The exposure mask according to claim 1 , wherein the reflectance of the third pattern is greater than reflectance of the first pattern and is less than the reflectance of the second pattern.4. The exposure mask according to claim 1 , wherein a second pattern is located between a first pattern region and a third pattern.5. The exposure mask according to claim 1 , wherein a second pattern is located ...

Подробнее
19-03-2020 дата публикации

PHOTOMASK

Номер: US20200089099A1

A photomask includes a patterned photomask plate and a supporting member. The patterned photomask plate has a pattern region and a peripheral region surrounding the pattern region. The patterned photomask plate includes a plurality of openings in the pattern region. The supporting member directly abuts the patterned photomask plate and is in a peripheral region of the patterned photomask plate. The supporting member is formed from a different material than the patterned photomask plate. 1. A photomask , comprising:a patterned photomask plate having a pattern region and a peripheral region surrounding the pattern region, wherein the patterned photomask plate comprises a plurality of openings in the pattern region; anda supporting member directly abutting the patterned photomask plate and in a peripheral region of the patterned photomask plate, wherein the supporting member is formed from a different material than the patterned photomask plate.2. The photomask of claim 1 , wherein the patterned photomask and the supporting member have a substantially U-shaped cross-section.3. The photomask of claim 1 , wherein the patterned photomask plate has a melting point higher than about 1100° C.4. The photomask of claim 1 , wherein the patterned photomask plate has a thermal expansion coefficient less than about 9×10K.5. The photomask of claim 1 , wherein the patterned photomask plate includes a plurality of light absorber layers.6. The photomask of claim 5 , wherein the light absorber layers are formed from different materials.7. The photomask of claim 1 , wherein the patterned photomask plate is formed from quartz.8. A photomask claim 1 , comprising:a patterned photomask plate having a pattern region formed with a plurality of openings and a peripheral region surrounding the pattern region; anda supporting member in a peripheral region, wherein the patterned photomask plate and the supporting member are an integrally formed structure.9. The photomask of claim 8 , further ...

Подробнее
19-03-2020 дата публикации

REFLECTIVE EUV MASK ABSORBER MANIPULATION TO IMPROVE WAFER CONTRAST

Номер: US20200089101A1
Принадлежит: Synopsys, Inc.

Techniques and systems for improving wafer contrast by manipulating reflective extreme ultraviolet (EUV) mask absorber are described. Some embodiment disclosed herein provide for EUV absorber material, which transmits some EUV illumination, to suppress the printing of sub-resolution assist features (SRAFs) while making the SRAFs closer in size to the printed feature by thinning the SRAF absorber thickness from the nominal mask absorber thickness in the bright-field mask case. In the dark-field mask case, a layer of absorber material is left in the SRAF trenches to prevent SRAF printing. 1. A non-transitory computer-readable storage medium storing instructions that , when executed by a computer , cause the computer to perform a method for modifying a bright-field mask layout to improve wafer contrast , the method comprising:receiving the bright-field mask layout comprising a set of main feature shapes, each main feature shape representing a mask feature made of absorber material having a first thickness; andadding at least one sub-resolution assist feature (SRAF) shape to the bright-field mask layout, the at least one SRAF shape representing a mask feature made of absorber material having a second thickness that is less than the first thickness.2. The non-transitory computer-readable storage medium of claim 1 , wherein the bright-field mask layout is used to create a bright-field mask for use in extreme ultraviolet (EUV) lithography.3. The non-transitory computer-readable storage medium of claim 2 , wherein the bright-field mask prints the set of main feature shapes claim 2 , but not the at least one SRAF shape claim 2 , on a wafer during EUV lithography.4. The non-transitory computer-readable storage medium of claim 1 , wherein the method comprises adding at least two SRAF shapes to the bright-field mask layout claim 1 , the two SRAF shapes representing mask features made of absorber material having different thicknesses that are less than the first thickness.5. The ...

Подробнее
12-04-2018 дата публикации

A Manufacturing Method Of A Mask Plate And A Color Filter Substrate

Номер: US20180101092A1
Автор: Hui He

The present disclosure discloses a mask plate, the mask plate includes: a substrate; a plurality of shading portions respectively arranged in intervals on a surface of the substrate; a plurality of semi-transparent portions respectively arranged against both sides of the shading portions, wherein, a transparent region between two adjacent shading portions are formed between two- adjacent- semi-transparent- portions. The present disclosure discloses a manufacturing method of a color filter substrate.

Подробнее
26-03-2020 дата публикации

MASK FOR EUV LITHOGRAPHY AND METHOD OF MANUFACTURING THE SAME

Номер: US20200096858A1
Автор: Lin Yun-Yue
Принадлежит:

A mask for extreme ultraviolet (EUV) lithography includes a multilayer (ML) stack including alternating metal and semiconductor layers disposed over a first surface of a mask substrate, a capping layer disposed over the ML stack, and an absorber layer disposed over the capping layer. An image pattern is formed in the absorber layer. A border layer surrounding the image pattern is disposed over the absorber layer. 1. A mask for extreme ultraviolet (EUV) lithography , the mask comprising:a multilayer (ML) stack comprising alternating metal and semiconductor layers disposed over a first surface of a mask substrate;a capping layer disposed over the ML stack;an absorber layer having an image pattern formed therein disposed over the capping layer; anda border layer surrounding the image pattern disposed over the absorber layer.2. The mask of claim 1 , wherein the border layer comprises a resist material comprising a polymer and a metal oxide claim 1 , a metal nitride or metal oxynitride.3. The mask of claim 1 , wherein the border layer has a root mean squared (rms) surface roughness in a range from about 0.1 nm to about 2 nm.4. The mask of claim 1 , wherein the metal oxide claim 1 , metal nitride or metal oxynitride particles have an average particle size in a range from about 1 nm to about 50 nm.5. The mask of claim 1 , wherein the border layer has a thickness in a range from about 20 nm to about 1000 nm.6. The mask of claim 1 , the resist material comprises a negative photoresist or a negative electron-beam resist.7. The mask of claim 1 , wherein the resist material comprises a hard-cured positive tone resist.8. A method of making an extreme ultraviolet (EUV) lithography mask claim 1 , the method comprising:forming a hardmask layer over a mask blank and a first resist layer over the hardmask layer, the mask blank comprising a multilayer (ML) stack disposed on a substrate, a capping layer disposed on the ML stack and an absorber layer disposed on the capping layer; ...

Подробнее
08-04-2021 дата публикации

MASK AND METHOD OF FORMING THE SAME

Номер: US20210103210A1

A mask includes a substrate, a reflective multilayer, an absorption layer and an absorption part. The substrate includes a mask image region and a mask frame region, wherein the mask frame region has a mask black border region adjacent to the mask image region. The reflective multilayer is disposed over the substrate. The absorption layer is disposed over the reflective multilayer. The absorption part is disposed in the reflective multilayer and the absorption layer and in the mask black border region, wherein an entire top surface of the absorption part is substantially flush with a top surface of the absorption layer. 1. A mask , comprising:a substrate comprising a mask image region and a mask frame region, wherein the mask frame region has a mask black border region adjacent to the mask image region;a reflective multilayer over the substrate;an absorption layer over the reflective multilayer; andan absorption part, disposed in the reflective multilayer and the absorption layer and in the mask black border region, wherein an entire top surface of the absorption part is substantially flush with a top surface of the absorption layer.2. The mask of claim 1 , wherein the absorption part is in direct contact with the substrate.3. The mask of claim 1 , wherein a material of the absorption part is different from a material of the absorption layer.4. The mask of claim 1 , wherein the absorption part comprises tin (Sn) claim 1 , nickle (Ni) claim 1 , cobalt (Co) claim 1 , iron (Fe) or a combination thereof.5. The mask of claim 1 , further comprising a buffer layer disposed between the reflective multilayer and the absorption layer claim 1 , wherein the absorption part is further disposed in the buffer layer.6. The mask of claim 1 , wherein the absorption part is filled between a portion of the absorption layer in the mask image region and a portion of the absorption layer in the mask frame region.7. The mask of claim 1 , wherein the entire top surface is at a substantially ...

Подробнее
04-04-2019 дата публикации

EXTREME ULTRAVIOLET MASK AND METHOD OF MANUFACTURING THE SAME

Номер: US20190101817A1
Автор: Lin Yun-Yue
Принадлежит:

A method of manufacturing an extreme ultraviolet mask, including forming a multilayer Mo/Si stack including alternating Mo and Si layers over a first major surface of a mask substrate, and forming a capping layer over the multilayer Mo/Si stack. An absorber layer is formed on the capping layer, and a hard mask layer is formed over the absorber layer. The hard mask layer is patterned to form a hard mask layer pattern. The hard mask layer pattern is extended into the absorber layer to expose the capping layer and form a mask pattern. A border pattern is formed around the mask pattern. The border pattern is extended through the multilayer Mo/Si stack to expose the mask substrate and form a trench surrounding the mask pattern. A passivation layer is formed along sidewalls of the trench. 1. A method of manufacturing an extreme ultraviolet mask , comprising:forming a multilayer Mo/Si stack comprising alternating Mo and Si layers over a first major surface of a mask substrate;forming a capping layer over the multilayer Mo/Si stack;forming an absorber layer on the capping layer;forming a hard mask layer over the absorber layer;patterning the hard mask layer to form a hard mask layer pattern;extending the hard mask layer pattern into the absorber layer to expose the capping layer and forming a mask pattern;forming a border pattern around the mask pattern;extending the border pattern through the multilayer Mo/Si stack exposing the mask substrate and forming a trench surrounding the mask pattern; andforming a passivation layer along sidewalls of the trench.2. The method according to claim 1 , further comprising forming a conductive backside coating layer over a second major surface of the mask substrate opposing the first major surface.3. The method according to claim 1 , further comprising forming an anti-reflection layer over the absorber layer.4. The method according to claim 1 , further comprising removing the hard mask layer after forming the mask pattern.5. The method ...

Подробнее
04-04-2019 дата публикации

ASSEMBLY OF ELEMENTS FOR FLEXOGRAPHY

Номер: US20190101820A1
Принадлежит:

An assembly can be used to provide flexographic printing plates. This assembly has (a) a flexographic photosensitive element consisting essentially of: a backing film, and a water-soluble or water-dispersible photosensitive layer comprising a photosensitive resin composition and having a front imaging surface and a backside imaging surface that is in contact with the backing film; and (b) a mask element directly in contact with the front imaging surface of the water-soluble or water-dispersible photosensitive layer. The water-soluble or water-dispersible photosensitive layer has a controlled release of at least 5 g/cm and up to and including 700 g/cm as established by ASTM D-3330 Method D, between the front imaging surface and the mask element. 1. An assembly comprising:(a) a flexographic photosensitive element consisting essentially of:a backing film, anda water-soluble or water-dispersible photosensitive layer comprising a photosensitive resin composition and having a front imaging surface and a backside imaging surface that is in contact with the backing film; and(b) a mask element directly in contact with the front imaging surface of the water-soluble or water-dispersible photosensitive layer;wherein the water-soluble or water-dispersible photosensitive layer has a controlled release of at least 5 g/cm and up to and including 700 g/cm as established by ASTM D-3330 Method D, between the front imaging surface and the mask element.2. The assembly of claim 1 , wherein the mask element is a non-silver halide claim 1 , thermally-sensitive film.3. The assembly of claim 1 , wherein the mask element comprises one or more infrared radiation absorbing compounds claim 1 , all dispersed within a polymeric binder that comprises one or more of a polyurethane claim 1 , poly(vinyl butyral) claim 1 , (meth)acrylamide polymer claim 1 , nitrocellulose claim 1 , a poly(cyanoacrylate) claim 1 , polyacetal claim 1 , or a polymer derived at least in part from any of methyl methacrylate ...

Подробнее
20-04-2017 дата публикации

Reflective photomask, method of fabricating the same, and exposure apparatus using the reflective photomask

Номер: US20170108767A1
Автор: Insung Kim, Seongsue Kim
Принадлежит: SAMSUNG ELECTRONICS CO LTD

Provided is a reflective photomask including a substrate, and a reflective layer formed on the substrate. The reflective layer includes at least one recessed portion. An absorbing layer is formed in the recessed portion. The absorbing layer includes at least one absorbent and at least one polymer.

Подробнее
29-04-2021 дата публикации

Extreme Ultraviolet Mask Blank With Alloy Absorber And Method Of Manufacture

Номер: US20210124256A1
Автор: Jindal Vibhu
Принадлежит: Applied Materials, Inc.

Extreme ultraviolet (EUV) mask blanks, methods for their manufacture and production systems therefor are disclosed. The EUV mask blanks comprise a an absorber layer on the capping layer, the absorber layer made from an alloy of at least two absorber materials. 1. An extreme ultraviolet (EUV) mask blank comprising:a substrate;a multilayer stack of reflective layers on the substrate, the multilayer stack of reflective layers including a plurality of reflective layers including reflective layer pairs;a capping layer on the multilayer stack of reflecting layers; and{'sub': '4', 'an absorber layer comprising an alloy selected from the group consisting of zinc-telluride-antimony, zinc-telluride-tantalum, zinc-telluride-tantalum-nitride, zinc-telluride-tantalum-nitride-oxide, zinc-telluride-chromium, zinc-telluride-chromium-nitride, zinc-telluride-tantalum-borate, antimony-tantalum, antimony-tantalum-nitride, antimony-tantalum-nitride-oxide, antimony-chromium, antimony-chromium-nitride, antimony-tantalum-borate (TaBO), tantalum-chromium (TaCr), tantalum-chromium-nitride (TaCrN), tin-zinc-telluride; tin-antimony, tin-tantalum, tin-tantalum-nitride, tin-tantalum-nitride-oxide, tin-chromium, tin-chromium-nitride, tin-tantalum-borate, tellurium-tin, tellurium-antimony, tellurium-tantalum, tellurium-tantalum-nitride, tellurium-tantalum-nitride-oxide, tellurium-chromium, tellurium-chromium-nitride, and tellurium-tantalum-borate.'}2. The extreme ultraviolet (EUV) mask blank of claim 1 , wherein the absorber layer is made from an alloy selected from the group consisting of zinc-telluride-antimony claim 1 , zinc-telluride-tantalum claim 1 , zinc-telluride-tantalum-nitride claim 1 , zinc-telluride-tantalum-nitride-oxide claim 1 , zinc-telluride-chromium claim 1 , and zinc-telluride-chromium-nitride claim 1 , zinc-telluride-tantalum-borate.3. The extreme ultraviolet (EUV) mask blank of claim 1 , wherein the absorber layer is made from an alloy of at least two absorber materials ...

Подробнее
09-06-2022 дата публикации

MASK BLANK, METHOD FOR MANUFACTURING TRANSFER MASK, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20220179300A1
Принадлежит: HOYA CORPORATION

A mask blank has a structure in which a pattern-forming thin film and a hard mask film are formed on a substrate in this order. The hard mask film is made of a material containing silicon, oxygen, and nitrogen. The hard mask film has a nitrogen content of at least 2% and at most 18%. An Si2p narrow spectrum obtained by analyzing the hard mask film by X-ray photoelectron spectroscopy has a maximum peak at a binding energy of at least 103 eV. 1. A mask blank comprising:a substrate;a pattern-forming thin film on the substrate; anda hard mask film on the pattern-forming thin film;wherein the hard mask film contains silicon, oxygen, and nitrogen;wherein a nitrogen content of the hard mask film is at least 2 atomic % and at most 18 atomic %; andwherein an Si2p narrow spectrum, obtained by analyzing the hard mask film by X-ray photoelectron spectroscopy, has a maximum peak at a binding energy of at least 103 eV.2. The mask blank according to claim 1 , wherein the Si2p narrow spectrum does not have a peak at a binding energy in a range of at least 97 eV and at most 100 eV.3. The mask blank according to claim 1 , wherein a difference is at most 0.2 eV between:a first binding energy at which the maximum peak is present in the Si2p narrow spectrum obtained by analyzing a surface of the hard mask film by X-ray photoelectron spectroscopy, anda second binding energy at which the maximum peak is present in the Si2p narrow spectrum obtained by analyzing an inside of the hard mask film by X-ray photoelectron spectroscopy.4. The mask blank according to claim 1 , wherein a difference is at most 0.2 eV between;a first binding energy at which a maximum peak is present in an N1s narrow spectrum obtained by analyzing a surface of the hard mask film by X-ray photoelectron spectroscopy, anda second binding energy at which a maximum peak is present in an N1s narrow spectrum obtained by analyzing an inside of the hard mask film by X-ray photoelectron spectroscopy.5. The mask blank according ...

Подробнее
13-05-2021 дата публикации

MASK BLANK, TRANSFER MASK, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20210141305A1
Принадлежит: HOYA CORPORATION

Provided is a mask blank in which a light shielding film which is a single layer film formed of a silicon nitride-based material has high light shielding performance against ArF exposure light and is capable of reducing EMF bias of a pattern of the light shielding film. The mask blank includes the light shielding film on a transparent substrate. The light shielding film has an optical density of 3.0 or greater to ArF exposure light. A refractive index n and an extinction coefficient k of the light shielding film to ArF exposure light simultaneously satisfy relationships defined by Formulas (1) and (2) below. 1. A mask blank comprising a light shielding film on a transparent substrate , wherein the light shielding film is a single layer film formed of a material consisting of silicon and nitrogen or a material consisting of silicon , nitrogen , and one or more elements selected from semimetal elements and non-metal elements ,the light shielding film has an optical density of 3.0 or greater to exposure light of an ArF excimer laser, [{'br': None, 'i': n≤', 'k', 'k+, 'sup': '2', '0.0733×+0.4069×1.0083\u2003\u2003Formula (1)'}, {'br': None, 'i': n≥', 'k', 'k+, 'sup': '2', '29.316×−92.292×72.671\u2003\u2003Formula (2).'}], 'and a refractive index n and an extinction coefficient k of the light shielding film to the exposure light simultaneously satisfy relationships defined by Formulas (1) and (2)2. The mask blank according to claim 1 , wherein the light shielding film has the extinction coefficient k of 2.6 or less.3. The mask blank according to claim 1 , wherein the light shielding film has the refractive index n of 0.8 or greater.4. The mask blank according to claim 1 , wherein the refractive index n and the extinction coefficient k of the light shielding film further satisfy a relationship defined by Formula (3):{'br': None, 'i': n≥', 'k', 'k+, 'sup': '2', '0.7929×−2.1606×2.1448\u2003\u2003Formula (3).'}5. The mask blank according to claim 1 , wherein dispersion of ...

Подробнее
05-05-2016 дата публикации

Euv mask with ito absorber to suppress out of band radiation

Номер: US20160124297A1

The present disclosure also provides a photolithography mask. The photolithography mask includes a substrate that contains a low thermal expansion material (LTEM). A reflective structure is disposed over the substrate. A capping layer is disposed over the reflective structure. An absorber layer is disposed over the capping layer. The absorber layer contains an indium tin oxide (ITO) material. In some embodiments, the ITO material has a SnO 6 crystalline structure.

Подробнее
25-08-2022 дата публикации

Extreme ultraviolet mask and method for forming the same

Номер: US20220269163A1
Автор: Yun-Yue Lin

A photolithography mask includes a substrate, a reflective multilayer structure over the substrate, an adhesion layer over the reflective multilayer structure, a capping layer over the adhesion layer, and a patterned absorber layer over the capping layer. The capping layer includes a non-crystalline conductive material.

Подробнее
16-04-2020 дата публикации

MASK BLANKS, PHASE SHIFT MASK, METHOD FOR MANUFACTURING PHASE SHIFT MASK, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20200117077A1
Принадлежит: HOYA CORPORATION

A mask blank including a phase shift film is provided, wherein the phase shift film has a transmittance with respect to exposure light of an ArF excimer laser of not less than 10% and not more than 20% and is configured to transmit the exposure light to have a phase difference of not less than 150 degrees and not more than 190 degrees with respect to exposure light transmitted through the air for the same distance as a thickness of the phase shift film. A ratio of the metal content to the total content of the metal and silicon in the phase shift film is not less than 5% and not more than 10%, the oxygen content in the phase shift film is 10 atom % or more, and the silicon content in the phase shift film is three times or more the oxygen content. 1. A mask blank comprising:a transparent substrate;a phase shift film on the transparent substrate; anda light shielding film on the phase shift film,wherein the phase shift film is made of a material containing silicon and nitrogen, andwherein a refractive index n of the phase shift film with respect to light at a wavelength of 193 nm is at least 1.9 and not more than 3.1, andwherein an extinction coefficient k of the phase shift film with respect to light at a wavelength of 193 nm is at least 0.26 and not more than 0.62, andwherein the light shielding film is made of a material containing chromium and has a lowermost layer, a lower layer, and an upper layer, andwherein, among the lowermost layer, the lower layer, and the upper layer, the lowermost layer is closest to the phase shift film and the upper layer is farthest from the phase shift film, andwherein, with respect to light at a wavelength of 193 nm, a refractive index n of the lower layer is less than a refractive index n of the lowermost layer and greater than a refractive index n of the upper layer, andwherein, with respect to light at a wavelength of 193 nm, an extinction coefficient k of the lower layer is greater than an extinction coefficient k of the lowermost ...

Подробнее
27-05-2021 дата публикации

EUV MASK BLANK, PHOTOMASK MANUFACTURED BY USING THE EUV MASK BLANK, LITHOGRAPHY APPARATUS USING THE PHOTOMASK AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE USING THE PHOTOMASK

Номер: US20210157226A1
Принадлежит:

An extreme ultraviolet (EUV) mask blank is provided. The EUV mask blank includes a substrate having a first surface and a second surface opposed to each other, a reflective layer having first reflective layers and second reflective layers alternately stacked on the first surface of the substrate, a capping layer on the reflective layer, and a hydrogen absorber layer between the reflective layer and the capping layer, the hydrogen absorber layer configured to store hydrogen and being in contact with the capping layer. 1. A method of fabricating a semiconductor device , the method comprising:forming a mask film on a substrate; andetching the mask film using a photolithography process using a photomask to form a mask pattern on the substrate,wherein the photomask comprises:a substrate comprising a first surface and a second surface opposed to each other;a reflective layer comprising first reflective layers and second reflective layers alternately stacked on the first surface of the substrate;a capping layer on the reflective layer;a hydrogen absorber layer between the reflective layer and the capping layer, the hydrogen absorber layer comprising a material having a lattice structure that is configured to dissolve hydrogen therein and being in contact with the capping layera light absorption pattern on the capping layer, the light absorption pattern comprising an opening for passing extreme ultraviolet light therethrough; anda conductive coating film on the second surface of the substrate.2. The method of claim 1 , wherein the hydrogen absorber layer comprises at least one of titanium (Ti) claim 1 , vanadium (V) claim 1 , manganese (Mn) claim 1 , iron (Fe) claim 1 , cobalt (Co) claim 1 , nickel (Ni) claim 1 , copper (Cu) claim 1 , zirconium (Zr) claim 1 , molybdenum (Mo) claim 1 , palladium (Pd) claim 1 , hafnium (Hf) claim 1 , tantalum (Ta) claim 1 , rhodium (Rh) claim 1 , gold (Au) claim 1 , potassium (K) claim 1 , cesium (Cs) claim 1 , or boron carbide (B4C).3. The ...

Подробнее
23-04-2020 дата публикации

LITHOGRAPHY MASK WITH BOTH TRANSMISSION-TYPE AND REFLECTIVE-TYPE OVERLAY MARKS AND METHOD OF FABRICATING THE SAME

Номер: US20200124958A1
Принадлежит:

A method of performing a lithography process includes receiving a lithography mask and performing overlay measurement. The lithography mask includes a substrate that contains a low thermal expansion material (LTEM); a reflective structure over a first side of the substrate; an absorber layer over the reflective structure and containing one or more first overlay marks; and a conductive layer over a second side of the substrate and containing one or more second overlay marks. The second side is opposite the first side. The overlay measurement includes using the one or more first overlay marks in an extreme ultraviolet (EUV) lithography process or using the one or more second overlay marks in a non-EUV lithography process. 1. A method of performing a lithography process , comprising: a substrate that contains a low thermal expansion material (LTEM);', 'a reflective structure over a first side of the substrate;', 'an absorber layer over the reflective structure, wherein the absorber layer contains one or more first overlay marks; and', 'a conductive layer over a second side of the substrate, the second side being opposite the first side, wherein the conductive layer contains one or more second overlay marks; and, 'receiving a lithography mask, the lithography mask includingperforming overlay measurement, wherein the overlay measurement includes using the one or more first overlay marks in an extreme ultraviolet (EUV) lithography process or using the one or more second overlay marks in a non-EUV lithography process.2. The method of claim 1 , wherein:the one or more first overlay marks are used as reflective-type overlay marks; andthe one or more second overlay marks are used as transmission-type overlay marks.3. The method of claim 1 , wherein:the one or more first overlay marks include one or more first trenches; andthe one or more second overlay marks include one or more second trenches.4. The method of claim 3 , wherein the one or more first trenches are offset from ...

Подробнее
19-05-2016 дата публикации

Photo mask and method of manufacturing the same

Номер: US20160139504A1
Принадлежит: Samsung Display Co Ltd

A photo mask includes a transparent substrate and a mask pattern. The mask pattern is disposed on the transparent substrate. The mask pattern includes a blocking portion for blocking light and a transmitting portion for transmitting the light. The transmitting portion is adjacent to the blocking portion. The blocking portion includes a first blocking layer, a photo guide layer and a second blocking layer. The first blocking layer is disposed on the transparent substrate. The first blocking layer transmits a portion of the light. The first blocking layer includes a plurality of blocking patterns including a first blocking material. The photo guide layer is disposed on the first blocking layer. The photoguide layer guides the transmitted portion of the light to a side surface of the photoguide layer. The second blocking layer reflects the transmitted portion of the light.

Подробнее
03-06-2021 дата публикации

METHOD FOR MAKING PHOTOLITHOGRAPHY MASK PLATE

Номер: US20210165329A1
Принадлежит:

A method of making photolithography mask plate is provided. The method includes: providing a carbon nanotube composite structure, wherein the carbon nanotube composite structure comprises a carbon nanotube layer and a chrome layer coated on the carbon nanotube layer; locating the carbon nanotube composite structure on a substrate to expose partial surfaces of the substrate; and depositing a cover layer on the carbon nanotube composite structure. 1. A method of making photolithography mask plate , comprising:providing a carbon nanotube composite structure, wherein the carbon nanotube composite structure comprises a carbon nanotube layer and a chrome layer coated on the carbon nanotube layer;locating the carbon nanotube composite structure on a substrate to expose partial surfaces of the substrate; anddepositing a cover layer on the carbon nanotube composite structure.2. The method as claimed in claim 1 , wherein the carbon nanotube layer is a free-standing structure.3. The method as claimed in claim 1 , wherein the carbon nanotube layer comprises a plurality of carbon nanotubes crossed or weaved together to form a carbon nanotube net having holes between the carbon nanotubes.4. The method as claimed in claim 3 , wherein the chrome layer is wrapped on surfaces of the carbon nanotubes of the carbon nanotube layer.5. The method as claimed in claim 3 , wherein the holes of the carbon nanotube layer are not covered by the chrome layer.6. The method as claimed in claim 1 , wherein a thickness of the chrome layer is in a range from 10 nm to 50 nm.7. The method as claimed in claim 1 , wherein the cover layer is a continuous layer structure and not interrupted by the carbon nanotube composite structure.8. The method as claimed in claim 1 , wherein the cover layer is directly deposited to a surface of the carbon nanotube composite structure.9. The method as claimed in claim 1 , wherein a transmittance of the substrate to ultraviolet light is higher than 60%.10. The method as ...

Подробнее
08-09-2022 дата публикации

EUV MASK AND PHOTOMASK FABRICATED BY USING THE EUV MASK

Номер: US20220283488A1
Принадлежит:

An Extreme UltraViolet (EUV) mask includes: a reflective layer over a substrate; a capping layer including a porous hydrogen trapping layer over the reflective layer; and an absorption layer over the capping layer. 1. An Extreme UltraViolet (EUV) mask , comprising:a reflective layer over a substrate;a capping layer including a porous hydrogen trapping layer over the reflective layer; andan absorption layer over the capping layer.2. The EUV mask of claim 1 , wherein the capping layer includes a stacked structure of a first capping layer and a second capping layer which have different thin film densities.3. The EUV mask of claim 2 , wherein the first capping layer includes a porous material claim 2 , and the second capping layer includes a dense material.4. The EUV mask of claim 2 , wherein the first capping layer includes a dense material claim 2 , and the second capping layer includes a porous material.5. The EUV mask of claim 1 , wherein the capping layer includes a stacked structure of a first capping layer claim 1 , a second capping layer claim 1 , and a third capping layer which have different thin film densities.6. The EUV mask of claim 5 , wherein the first capping layer and the third capping layer include a porous material claim 5 , and the second capping layer includes a dense material.7. The EUV mask of claim 5 , wherein the first capping layer and the third capping layer include a dense material claim 5 , and the second capping layer includes a porous material.8. The EUV mask of claim 1 , wherein the capping layer includes a single layer whose thin film density changes continuously.9. The EUV mask of claim 8 , wherein the capping layer has more pores inside as the capping layer becomes closer to the reflective layer claim 8 , andthe capping layer has denser film quality as the capping layer becomes farther to the reflective layer.10. The EUV mask of claim 8 , wherein the capping layer has denser film quality as the capping layer becomes closer to the ...

Подробнее
30-04-2020 дата публикации

Ta-Cu Alloy Material For Extreme Ultraviolet Mask Absorber

Номер: US20200133111A1
Принадлежит:

Extreme ultraviolet (EUV) mask blanks, methods for their manufacture and production systems therefor are disclosed. The EUV mask blanks comprise a substrate; a multilayer stack of reflective layers on the substrate; a capping layer on the multilayer stack of reflecting layers; and an absorber layer including an alloy of tantalum and copper on the capping layer. 1. An extreme ultraviolet (EUV) mask blank comprising:a substrate;a multilayer stack of reflective layers on the substrate, the multilayer stack of reflective layers including a plurality of reflective layers including reflective layer pairs;a capping layer on the multilayer stack of reflecting layers; andan absorber layer comprising an alloy of tantalum and copper.2. The extreme ultraviolet (EUV) mask blank of claim 1 , wherein the alloy of tantalum and copper is a tantalum rich alloy comprising from about 50 to about 85 wt. % tantalum and from about 15 to about 50 wt. % copper.3. The extreme ultraviolet (EUV) mask blank of claim 2 , wherein the tantalum rich alloy comprises from about 60.5 to about 72.7 wt. % tantalum and from about 27.3 to about 39.5 wt. % copper.4. The extreme ultraviolet (EUV) mask blank of claim 1 , wherein the alloy of tantalum and copper is a copper rich alloy comprising from about 65 to about 95 wt. % copper and from about 5 to about 35 wt. % tantalum.5. The extreme ultraviolet (EUV) mask blank of claim 4 , wherein the copper rich alloy comprises from about 80.7 to about 83.3 wt. % copper and from about 27.3 to about 39.5 wt. % tantalum.6. The extreme ultraviolet (EUV) mask blank of claim 1 , wherein the absorber layer has a thickness of less than 45 nm.7. The extreme ultraviolet (EUV) mask blank of claim 1 , wherein the absorber layer has a reflectivity of less than about 2% and is etch selective relative to the capping layer.8. The extreme ultraviolet (EUV) mask blank of claim 1 , wherein the absorber layer further comprises 0.1 wt. % to about 5 wt. % of a dopant selected from one ...

Подробнее
26-05-2016 дата публикации

Euv mask and manufacturing method by using the same

Номер: US20160147138A1

The present disclosure provides a photolithography mask. The photolithography mask includes a substrate that contains a low thermal expansion material (LTEM). A reflective structure is disposed over the substrate. A capping layer is disposed over the reflective structure. An absorber layer is disposed over the capping layer. The absorber layer contains a material that has a refractive index in a range from about 0.95 to about 1.01 and an extinction coefficient greater than about 0.03.

Подробнее
10-06-2021 дата публикации

PHOTOMASK BLANK, MANUFACTURING METHOD OF PHOTOMASK AND PHOTOMASK

Номер: US20210173296A1
Автор: MATSUHASHI Naoki
Принадлежит: SHIN-ETSU CHEMICAL CO., LTD.

A photomask blank has a first layer, a second layer, a third layer and a fourth layer. The first layer has a chromium content of 40 atomic % or less, an oxygen content of 38 atomic % or more, and a nitrogen content of 22 atomic % or less. The second layer has a chromium content of 38 atomic % or less, an oxygen content of 30 atomic % or more, a nitrogen content of 18 atomic % or less, and a carbon content of 14 atomic % or less. The third layer has a chromium content of 50 atomic % or less, an oxygen content of 30 atomic % or less, and a nitrogen content of 20 atomic % or more. The fourth layer has a chromium content of 44 atomic % or less, an oxygen content of 20 atomic % or more, a nitrogen content of 20 atomic % or less, and a carbon content of 16 atomic % or less. 1. A photomask blank comprising:a substrate;a film made of a chromium-containing material; anda film to be processed that is provided between the film made of the chromium-containing material and the substrate, and that is to be processed by using a pattern of the film made of the chromium-containing material as an etching mask, whereinthe film made of the chromium-containing material has a first layer, a second layer, a third layer, and a fourth layer in order from a side away from the substrate,each of the first layer, the second layer, the third layer, and the fourth layer contains three or more kinds of elements of chromium, oxygen, nitrogen, and carbon,the first layer has a chromium content of 40 atomic % or less, an oxygen content of 38 atomic % or more, and a nitrogen content of 22 atomic % or less, and a thickness of 6 nm or less,the second layer has a chromium content of 38 atomic % or less, an oxygen content of 30 atomic % or more, a nitrogen content of 18 atomic % or less, and a carbon content of 14 atomic % or less, and a film thickness of 22 nm or more and 32 nm or less,the third layer has a chromium content of 50 atomic % or less, an oxygen content of 30 atomic % or less, and a nitrogen ...

Подробнее
16-05-2019 дата публикации

MASK BLANK, PHASE-SHIFT MASK, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20190146327A1
Принадлежит: HOYA CORPORATION

Provided is a mask blank in which uniformity of the composition and optical characteristics of a phase-shift film in the in-plane direction and direction of film thickness is high, uniformity of the composition and optical characteristics of the phase-shift film between a plurality of substrates is also high, and defectivity is low even if a silicon-based material is applied to the material that forms the phase-shift film. 1. A mask blank in which a phase-shift film is provided on a transparent substrate; wherein ,the phase-shift film having a function to transmit ArF exposure light therethrough at a transmittance within the range of 1% to 30% and a function to generate an amount of phase shift within the range of 170 degrees to 190 degrees between the ArF exposure light that has transmitted through the phase-shift film and the ArF exposure light that has transmitted through air over the same distance as the thickness of the phase-shift film,the phase-shift film is formed from a material consisting of silicon and nitrogen or a material consisting of silicon, nitrogen and one or more elements selected from semi-metallic elements, non-metallic elements and noble gas,the phase-shift film comprises a structure in which a first silicon-containing layer and a second silicon-containing layer are laminated,the first silicon-containing layer and the second silicon-containing layer have nitrogen, andthe first silicon-containing layer has a relatively low nitrogen content in comparison with the second silicon-containing layer.2. The mask blank according to claim 1 , wherein the first silicon-containing layer is formed from a material having a refractive index n of less than 2.5 and the extinction coefficient k of not less than 1.0 with respect to ArF exposure light claim 1 , and the second silicon-containing layer is formed from a material having a refractive index n of not less than 2.5 and the extinction coefficient of less than 1.0 with respect to ArF exposure light.3. The ...

Подробнее
31-05-2018 дата публикации

Lithography mask with both transmission-type and reflective-type overlay marks and method of fabricating the same

Номер: US20180149959A1

A lithography mask includes a substrate that contains a low thermal expansion material (LTEM). A reflective structure is disposed over a first side of the substrate. An absorber layer is disposed over the reflective structure. The absorber layer contains one or more first overlay marks. A conductive layer is disposed over a second side of the substrate, the second side being opposite the first side. The conductive layer contains portions of one or more second overlay marks. In some embodiments, the lithography mask includes an EUV lithography mask.

Подробнее
31-05-2018 дата публикации

Substrate with electrically conductive film, substrate with multilayer reflective film, reflective mask blank, reflective mask, and method of manufacturing semiconductor device

Номер: US20180149962A1
Принадлежит: Hoya Corp

A substrate with an electrically conductive film for fabricating a reflective mask is obtained that is capable of preventing positional shift of the reflective mask during pattern transfer. Provided is a substrate with an electrically conductive film used in lithography, the substrate with an electrically conductive film having an electrically conductive film formed on one of the main surfaces of a mask blank substrate, and a coefficient of static friction of the surface of the electrically conductive film is not less than 0.25.

Подробнее
31-05-2018 дата публикации

EXTREME ULTRAVIOLET ALIGNMENT MARKS

Номер: US20180149963A1

The present disclosure describes a method to form alignment marks on or in the top layer of an extreme ultraviolet (EUV) mask blank without the use of photolithographic methods. For example, the method can include forming a metal structure on the top layer of the EUV mask blank by dispensing a hexacarbonylchromium vapor on the top layer of the EUV mask and exposing the hexacarbonylchromium vapor to an electron-beam. The hexacarbonylchromium vapor is decomposed to form the metal structure at an area which is proximate to where the hexacarbonylchromium vapors interact with the electron-beam. In another example, the method can include forming a patterned structure in the top layer of an EUV mask blank with the use of an etcher aperture and an etching process. 1. A method comprising:providing an extreme ultraviolet (EUV) mask blank comprising a substrate material, a reflective multilayer, an absorber layer, and a top layer;edge-aligning the EUV mask blank to a stage in a deposition chamber dispensing a hexacarbonylchromium vapor on the top layer of the EUV mask;', 'exposing the hexacarbonylchromium vapor to an electron-beam; and', 'decomposing the hexacarbonylchromium vapor to form the metal structure at an area proximate to where the hexacarbonylchromium vapors interact with the electron-beam., 'forming, without a resist, a metal structure on the top layer of the EUV mask blank, wherein the forming comprises2. The method of claim 1 , wherein the metal structure comprises chromium Cr.3. The method of claim 1 , wherein the top layer of the EUV mask blank comprises chromium (Cr) claim 1 , or a chromium-containing compound.4. The method of claim 1 , wherein the electron beam has an energy that ranges from 10 to 300 keV and a spot size of at least 0.045 nm.5. The method of claim 1 , wherein the metal structure comprises a plurality of alignment marks.6. The method of claim 5 , wherein the plurality of alignment marks comprises at least three alignment marks.7. The method of ...

Подробнее
07-05-2020 дата публикации

Method for making microstructures and photolithography mask plate

Номер: US20200142296A1

A method of making microstructures, including: setting a photoresist layer on a surface of a base; covering a surface of the photoresist layer with a photolithography mask plate, wherein the photolithography mask plate includes: a substrate; a carbon nanotube composite structure on a surface of the substrate, wherein the carbon nanotube composite structure includes a carbon nanotube layer and a chrome layer coated on the carbon nanotube layer; and a cover layer on the carbon nanotube composite structure; exposing the photoresist layer to form an exposed photoresist layer by irradiating the photoresist layer through the photolithography mask plate with ultraviolet light; and developing the exposed photoresist layer to obtain a patterned photoresist microstructures.

Подробнее
07-06-2018 дата публикации

METHOD FOR MAKING MICROSTRUCTURES

Номер: US20180157165A1
Принадлежит:

A method of making microstructures, the method including: providing a first substrate, setting a photoresist layer on a surface of the first substrate; covering a surface of the photoresist layer with a photolithography mask plate, wherein the photolithography mask plate comprises a second substrate and a carbon nanotube composite layer located on a surface of the second substrate; exposing the photoresist layer to form an exposed photoresist layer by irradiating the photoresist layer through the photolithography mask plate with ultraviolet light; developing the exposed photoresist layer to obtain a patterned photoresist microstructures. 1. A method of making microstructures , comprising:providing a first substrate having a surface, setting a photoresist layer on the surface of the first substrate;covering a surface of the photoresist layer with a photolithography mask plate, wherein the photolithography mask plate comprises a second substrate and a carbon nanotube composite layer located on a surface of the second substrate;exposing the photoresist layer to form an exposed photoresist layer by irradiating the photoresist layer through the photolithography mask plate with ultraviolet light; anddeveloping the exposed photoresist layer to obtain a patterned photoresist microstructures.2. The method of claim 1 , wherein the carbon nanotube composite layer is located between the second substrate and the photoresist layer.3. The method of claim 1 , wherein the second substrate is located between the carbon nanotube composite layer and the photoresist layer.4. The method of claim 1 , wherein a transmittance of the second substrate to ultraviolet light is more than 60%.5. The method of claim 1 , wherein the photolithography mask plate comprises at least two second substrates and at least two carbon nanotube composite layers claim 1 , and each composite layer is located on one of the two second substrates to form a photolithography mask plate unit.6. The method of claim 1 , ...

Подробнее
14-05-2020 дата публикации

MASK BLANK, TRANSFER MASK, METHOD FOR MANUFACTURING TRANSFER MASK, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20200150524A1
Принадлежит: HOYA CORPORATION

A mask blank is provided which comprises a transparent substrate, an etching mask formed on the transparent substrate, and a light shielding film formed on the etching mask film. The mask blank may also include a light-semitransmissive film formed between the transparent substrate and the etching mask film. The etching mask film contains chromium and carbon, and the light shielding film contains chromium and oxygen. A C1s narrow spectrum of the etching mask film as obtained by X-ray photoelectron spectroscopy analysis has a maximum peak at a binding energy of not less than 282 eV and not more than 284 eV. 1. A mask blank comprising:a transparent substrate;an etching mask film formed on the transparent substrate; anda light shielding film formed on the etching mask film,wherein the etching mask film contains chromium and carbon, andwherein a C1s narrow spectrum of the etching mask film as obtained by X-ray photoelectron spectroscopy analysis has a maximum peak at a binding energy of not less than 282 eV and not more than 284 eV, andwherein the light shielding film contains chromium and oxygen.2. The mask blank according to claim 1 , wherein the total content of oxygen and nitrogen in the etching mask film is 5 atom % or less.3. The mask blank according to claim 1 , wherein the oxygen content in the light shielding film is 10 atom % or more.4. The mask blank according to claim 1 , wherein a O1s narrow spectrum of the etching mask film as obtained by X-ray photoelectron spectroscopy analysis is not more than the detection lower limit.5. The mask blank according to claim 1 , wherein a N1s narrow spectrum of the etching mask film as obtained by X-ray photoelectron spectroscopy analysis is not more than the detection lower limit.6. The mask blank according to claim 1 , wherein a thickness of the etching mask film is 14 nm or less.7. The mask blank according to claim 1 , wherein a light-semitransmissive film is provided between the transparent substrate and the etching ...

Подробнее
23-05-2019 дата публикации

PHOTOMASK AND METHOD OF FABRICATING A PHOTOMASK

Номер: US20190155140A1
Принадлежит:

A method of fabricating a photomask includes selectively exposing portions of a photomask blank to radiation to change an optical property of the portions of the photomask blank exposed to the radiation, thereby forming a pattern of exposed portions of the photomask blank and unexposed portions of the photomask blank. The pattern corresponds to a pattern of semiconductor device features. 1. A method of fabricating a photomask , comprising:selectively exposing portions of a photomask blank to radiation to change an optical property of the portions of the photomask blank exposed to the radiation thereby forming a pattern of exposed portions of the photomask blank and unexposed portions of the photomask blank,wherein the pattern corresponds to a pattern of semiconductor device features.2. The method according to claim 1 , wherein the portions of the photomask exposed to the radiation is in an upper portion of the photomask blank.3. The method according to claim 1 , wherein the portions of the photomask exposed to the radiation is in a middle or lower portion of the photomask blank.4. The method according to claim 1 , wherein the radiation is light selected from the group consisting of infrared claim 1 , visible light claim 1 , ultraviolet claim 1 , deep ultraviolet claim 1 , and extreme ultraviolet.5. The method according to claim 1 , wherein the optical property changed is selected from the group consisting of extreme ultraviolet reflectivity claim 1 , deep ultraviolet reflectivity claim 1 , ultraviolet absorption claim 1 , infrared absorption claim 1 , visible light absorption claim 1 , and Raman spectra.6. The method according to claim 1 , wherein the optical property change is a result of a radiation-matter interaction selected from the group consisting of ionization claim 1 , absorption claim 1 , photolysis claim 1 , and crystallization.7. The method according to claim 1 , wherein the photomask is a light reflective photomask.8. The method according to claim 1 , ...

Подробнее
16-06-2016 дата публикации

Phase shift mask, method for manufacturing the same, and method for forming micro pattern

Номер: US20160170294A1
Принадлежит: Samsung Display Co Ltd

A phase shift mask enables much smaller scale of electronic circuit pattern. A phase shift mask comprises a transparent substrate, a phase shift pattern arranged on the transparent substrate to change a phase of light that penetrates the transparent substrate, and a metal coating layer arranged on at least a part of a surface of the phase shift pattern.

Подробнее
29-09-2022 дата публикации

METHOD FOR MANUFACTURING MULTILAYERED-REFLECTIVE-FILM-PROVIDED SUBSTRATE, REFLECTIVE MASK BLANK AND METHOD FOR MANUFACTURING THE SAME, AND METHOD FOR MANUFACTURING REFLECTIVE MASK

Номер: US20220308438A1
Принадлежит: HOYA CORPORATION

A method for manufacturing a multilayered-reflective-film-provided substrate including a substrate and a multilayer reflective film that reflects EUV light on the substrate, the method includes performing a first defect inspection on the multilayered-reflective-film-provided substrate with a first wavelength to acquire first defect information, performing a second defect inspection on the multilayered-reflective-film-provided substrate with a second wavelength different from the first wavelength to acquire second defect information, and determining whether there is an unmatching defect and a matching defect by comparing the first defect information with the second defect information to acquire third defect information. 1. A method of manufacturing a multilayered-reflective-film-provided substrate including a substrate and a multilayer reflective film that reflects EUV light on the substrate , the method comprising:performing a first defect inspection on the multilayered-reflective-film-provided substrate with a first wavelength to acquire first defect information;performing a second defect inspection on the multilayered-reflective-film-provided substrate with a second wavelength different from the first wavelength to acquire second defect information; anddetermining whether there is an unmatching defect and a matching defect by comparing the first defect information with the second defect information to acquire third defect information.2. The method of manufacturing the multilayered-reflective-film-provided substrate according to claim 1 , whereinthe second wavelength is a wavelength substantially equal to an exposure wavelength, andthe first wavelength is a wavelength longer than the second wavelength.3. The method of manufacturing the multilayered-reflective-film-provided substrate according to claim 1 , whereinthe multilayered-reflective-film-provided substrate includes a reference mark RM,{'b': '1', 'the first defect information includes first mark coordinates ...

Подробнее
21-05-2020 дата публикации

REFLECTIVE PHOTOMASK BLANK AND REFLECTIVE PHOTOMASK

Номер: US20200159106A1
Принадлежит:

A reflective photomask blank () of a first aspect includes a substrate (); a reflective layer () formed on the substrate (); and a light absorbing layer () formed on the reflective layer (). The light absorbing layer () includes a tin oxide film with an atomic ratio (O/Sn) of oxygen (O) to tin (Sn) being more than 1.50 and equal to or less than 2.0, and with a film thickness of 25 nm or more and 45 nm or less. Consequently, the shadowing effect of a reflective photomask for pattern transfer using extreme ultraviolet light as a light source is suppressed or reduced to improve the performance of transfer to a semiconductor substrate, and further, the cleaning resistance of the light absorbing layer is improved. 1. A reflective photomask blank for producing a reflective photomask for pattern transfer using extreme ultraviolet light as a light source , the reflective photomask blank comprising:a substrate;a reflective layer including a multilayer film and formed on the substrate; anda light absorbing layer formed on the reflective layer,wherein the light absorbing layer includes a tin oxide film with an atomic ratio (O/Sn) of oxygen (O) to tin (Sn) being more than 1.50 and equal to or less than 2.0, and with a film thickness of 25 nm or more and 45 nm or less.2. The reflective photomask blank according to claim 1 , wherein the film thickness of the tin oxide film is 32 nm or more and 45 nm or less.3. The reflective photomask blank according to claim 1 , wherein a material forming the tin oxide film contains 80 at % or more of tin (Sn) and oxygen (O) in total.4. The reflective photomask blank according to claim 1 , further comprising a capping layer formed between the light absorbing layer and the reflective layer.5. A reflective photomask comprising:a substrate;a reflective layer formed on the substrate; anda light absorbing pattern layer formed on the reflective layer and patterned, the light absorbing pattern layer including a tin oxide film with an atomic ratio (O/Sn ...

Подробнее
01-07-2021 дата публикации

MASK BLANKS AND METHODS FOR DEPOSITING LAYERS ON MASK BLANK

Номер: US20210200078A1
Принадлежит:

A reflective mask blank includes a substrate, a reflective multilayer (RML) disposed on the substrate, a capping layer disposed on the reflective multilayer, and an absorber layer disposed on the capping layer. The absorber layer has length or width dimensions smaller than the capping layer, and part of the capping layer is exposed by the absorber layer. The dimension of the absorber layer and the hard mask layer ranges between 146 cm to 148 cm. The dimensions of the substrate, the RML, and the capping layer range between 150 cm to 152 cm. 1. A reflective mask blank , comprising:a substrate;a reflective multilayer (RML) disposed on the substrate;a capping layer disposed on the reflective multilayer; and the absorber layer has length or width dimensions in a plan view smaller than the capping layer, and', 'part of the capping layer is exposed from the absorber layer., 'an absorber layer disposed on the capping layer, wherein'}2. The reflective mask of claim 1 , further comprising:a hard mask layer formed on the absorber layer, wherein the hard mask layer has length and width dimensions same as the absorber layer and part of the capping layer is exposed by the hard mask layer.3. The reflective mask of claim 2 , wherein dimensions of the absorber layer and the hard mask layer are between 146 cm to 148 cm.4. The reflective mask of claim 1 , wherein dimensions of each of the substrate claim 1 , the RML claim 1 , and the capping layer range between 150 cm to 152 cm.5. The reflective mask of claim 1 , wherein the capping layer includes ruthenium or an alloy of ruthenium.6. The reflective mask of claim 5 , wherein the alloy of ruthenium is an alloy of ruthenium and at least one selected from the group consisting of Po claim 5 , Hg claim 5 , Os claim 5 , Rh claim 5 , Pd claim 5 , Jr claim 5 , Nb claim 5 , Pt claim 5 , Zr claim 5 , V claim 5 , Mn claim 5 , and Ta.7. The reflective mask of claim 1 , further comprising a protection layer disposed on the substrate and between ...

Подробнее
21-06-2018 дата публикации

Lithography Patterning with Sub-Resolution Assistant Patterns and Off-Axis Illumination

Номер: US20180174839A1
Принадлежит:

A photolithography system includes a substrate stage for holding a workpiece, and a mask having main patterns and sub-resolution assistant patterns. The system further includes a diffractive optical element (DOE) for directing a radiation having an aerial image of the main patterns onto the workpiece. The DOE includes a first pair of poles that is positioned symmetrically about a center of the DOE along a first direction. The main patterns are oriented lengthwise along a second direction that is perpendicular to the first direction. The sub-resolution assistant patterns are oriented lengthwise along the first direction. 1. A photolithography system , comprising:a substrate stage for holding a workpiece;a mask having main patterns and sub-resolution assistant patterns; anda diffractive optical element (DOE) for directing a radiation having an aerial image of the main patterns onto the workpiece, wherein the DOE includes a first pair of poles that is positioned symmetrically about a center of the DOE along a first direction,wherein the main patterns are oriented lengthwise along a second direction that is perpendicular to the first direction, and the sub-resolution assistant patterns are oriented lengthwise along the first direction.2. The photolithography system of claim 1 , wherein the main patterns have a width that is more than twice of a width of the sub-resolution assistant patterns.3. The photolithography system of claim 1 , wherein the sub-resolution assistant patterns have a same pattern density as the main patterns.4. The photolithography system of claim 1 , wherein a pattern density of the sub-resolution assistant patterns is within ±15% of a pattern density of the main patterns.5. The photolithography system of claim 1 , wherein the sub-resolution assistant patterns have a pattern density ranging from 15% to 25%.6. The photolithography system of claim 1 , wherein the main patterns have a first pitch and a second pitch different from the first pitch claim 1 ...

Подробнее
28-05-2020 дата публикации

MASK BLANK, METHOD OF MANUFACTURING TRANSFER MASK, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20200166833A1
Принадлежит:

A mask blank includes a light shielding film for forming a transfer pattern, provided on a transparent substrate. The light shielding film is made of a material that consists of silicon and nitrogen or that further includes one or more elements selected from a metalloid element and a non-metallic element. In an inner region of the light shielding film (excluding a vicinity region of an interface of the light shielding film with the transparent substrate and a surface layer region of the light shielding film opposite the transparent substrate), the ratio of SiNbonds to the total number of SiNbonds, SiNbonds (where a relationship b/[a+b]<4/7 is satisfied), and Si—Si bonds is 0.04 or less, and the ratio of SiNbonds to the total number of SiNbonds, SiNbonds, and Si—Si bonds is 0.1 or more. 1. A mask blank comprising:a transparent substrate; anda light shielding film for forming a transfer pattern on the transparent substrate,wherein the light shielding film is made of a material consisting of silicon and nitrogen, or is made of a material consisting of silicon, nitrogen, and one or more elements selected from the group consisting of metalloid elements and non-metallic elements, and a vicinity region that includes an interface of the light shielding film with the transparent substrate,', 'a surface layer region that includes a surface of the light shielding film which faces away from the transparent substrate, and', 'an inner region between the vicinity region and the surface layer region, and, 'wherein the light shielding film comprises{'sub': 3', '4', '3', '4', 'a', 'b, 'wherein a ratio of a number of SiNbonds present in the inner region to a total number of SiNbonds, Si—Si bonds, and SiNbonds present in the inner region (where a ratio of b to [a+b] is less than 4/7) is not more than 0.04, and'}{'sub': a', 'b', '3', '4', 'a', 'b, 'wherein a ratio of a number of SiNbonds present in the inner region to a total number of SiNbonds, Si—Si bonds, and SiNbonds present in the ...

Подробнее
28-06-2018 дата публикации

Photomask blank, and preparation method thereof

Номер: US20180180986A1
Принадлежит: Shin Etsu Chemical Co Ltd

A photomask blank including a transparent substrate, and at least one film (A) containing chromium and nitrogen and free of silicon and at least one film (B) containing silicon and oxygen, and free of a transition metal that are contacted to each other In the blank, when an intension of secondary ions is measured along a thickness direction of the films by a time-of-flight secondary ion mass spectrometry (TOF-SIMS), an intension of secondary ions derived from Cr 2 O 5 is lower than an intension of secondary ions derived from SiN, at a position located at the interface or its vicinity of the film (A) and film (B) and having a maximum intensity of secondary ions derived from SiCrO 5 .

Подробнее
08-07-2021 дата публикации

MASK PLATE AND MANUFACTURING METHOD THEREOF, FLEXIBLE SUBSTRATE STRIPPING APPARATUS AND FLEXIBLE SUBSTRATE STRIPPING METHOD

Номер: US20210210702A1
Автор: JU Changcheng, YU Jing
Принадлежит: BOE Technology Group Co., Ltd.

A mask plate and a manufacturing method thereof, a flexible substrate stripping apparatus and a flexible substrate stripping method are provided. The mask plate includes a laser-transmitting substrate and a patterned laser-shielding layer located on the laser transmitting substrate. 1. A mask plate for stripping a flexible substrate comprising: a laser-transmitting substrate and a patterned laser-shielding layer located on the laser-transmitting substrate.2. The mask plate according to claim 1 , wherein in a plan view of the mask plate claim 1 , the patterned laser-shielding layer defines a laser-transmitting region claim 1 , and the laser-transmitting region comprises at least one closed laser-transmitting sub-region.3. The mask plate according to claim 1 , wherein a material of the laser-transmitting substrate is quartz glass.4. The mask plate according to claim 1 , wherein a material of the laser-shielding layer is a material capable of absorbing ultraviolet light or infrared light when undergoes a phase transition claim 1 , or a material of the laser-shielding layer is a super-lattice metal material.5. The mask plate according to claim 1 , wherein a material of the laser-shielding layer is vanadium dioxide.6. The mask plate according to claim 4 , wherein the laser-shielding layer has a thickness of 500 nm.7. The mask plate according to claim 1 , wherein laser of a same type is ultraviolet light having a wavelength of 245 nm.8. A manufacturing method of a mask plate claim 1 , comprising:depositing a laser-shielding film on the laser-transmitting substrate; andperforming a patterning process on the laser-shielding film to form a patterned laser-shielding layer.9. The method according to claim 8 , wherein the depositing the laser-shielding film on the laser-transmitting substrate comprises:heating the laser-transmitting substrate;sputtering a film layer on the laser-transmitting substrate after being heated, by using metal vanadium as a target, in an argon and ...

Подробнее
13-06-2019 дата публикации

Photomasks, methods of manufacturing photomasks, and methods of manufacturing semiconductor device using photomasks

Номер: US20190179225A1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

A photomask is provided. The photomask comprises: a low thermal expansion material (LTEM) substrate including a first surface and a second surface; a reflective layer disposed on the first surface of the low thermal expansion material substrate and including first material layers and second material layers, which are stacked alternately; a light absorbing pattern on the reflective layer; and a conductive layer on the second surface of the low thermal expansion material substrate, wherein the low thermal expansion material substrate includes a correction defect correcting the light absorbing pattern, and the conductive layer is one of ruthenium oxide (RuO 2 ), iridium oxide (IrO 2 ), and/or a combination thereof.

Подробнее
15-07-2021 дата публикации

METHOD OF ACCELERATED HAZING OF MASK ASSEMBLY

Номер: US20210216007A1
Принадлежит:

A method of testing a photomask assembly includes placing the photomask assembly into a chamber, wherein the photomask assembly includes a pellicle attached to a first side of a photomask. The method further includes exposing the photomask assembly to a radiation source having a wavelength ranging from about 160 nm to 180 nm in the chamber to accelerate haze development, wherein the exposing of the photomask assembly includes illuminating an entirety of an area of the photomask covered by the pellicle throughout an entire illumination time and illuminating a frame adhesive attaching the pellicle to the photomask. The method further includes detecting haze of the photomask following exposing the photomask assembly to the radiation source. The method further includes predicting performance of the photomask assembly during a manufacturing process based on the detected haze of the photomask following exposing the photomask assembly to the radiation source. 1. A method of testing a photomask assembly comprising:placing the photomask assembly into a chamber, wherein the photomask assembly comprises a pellicle attached to a first side of a photomask;exposing the photomask assembly to a radiation source having a wavelength ranging from about 160 nm to 180 nm in the chamber to accelerate haze development, wherein the exposing of the photomask assembly comprises illuminating an entirety of an area of the photomask covered by the pellicle throughout an entire illumination time and illuminating a frame adhesive attaching the pellicle to the photomask;detecting haze of the photomask following exposing the photomask assembly to the radiation source; andpredicting performance of the photomask assembly during a manufacturing process based on the detected haze of the photomask following exposing the photomask assembly to the radiation source.2. The method of claim 1 , wherein placing the photomask assembly into the chamber comprises positioning the pellicle between the photomask and ...

Подробнее
22-07-2021 дата публикации

Euv photo masks and manufacturing method thereof

Номер: US20210223679A1

A reflective mask includes a substrate, a reflective multilayer disposed on the substrate, a capping layer disposed on the reflective multilayer, and an absorber layer disposed on the capping layer. The absorber layer includes one or more alternating pairs of a first Cr based layer and a second Cr based layer different from the first Cr based layer.

Подробнее
27-06-2019 дата публикации

EUV MASK BLANK, PHOTOMASK MANUFACTURED BY USING THE EUV MASK BLANK, LITHOGRAPHY APPARATUS USING THE PHOTOMASK AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE USING THE PHOTOMASK

Номер: US20190196321A1
Принадлежит:

An extreme ultraviolet (EUV) mask blank is provided. The EUV mask blank includes a substrate having a first surface and a second surface opposed to each other, a reflective layer having first reflective layers and second reflective layers alternately stacked on the first surface of the substrate, a capping layer on the reflective layer, and a hydrogen absorber layer between the reflective layer and the capping layer, the hydrogen absorber layer configured to store hydrogen and being in contact with the capping layer. 1. An extreme ultraviolet (EUV) mask blank comprising:a substrate comprising a first surface;a reflective layer comprising first reflective layers and second reflective layers alternately stacked on the first surface of the substrate;a capping layer on the reflective layer; anda hydrogen absorber layer between the reflective layer and the capping layer, the hydrogen absorber layer configured to store hydrogen and being in contact with the capping layer.2. The EUV mask blank of claim 1 , wherein the hydrogen absorber layer comprises at least one of titanium (Ti) claim 1 , vanadium (V) claim 1 , manganese (Mn) claim 1 , iron (Fe) claim 1 , cobalt (Co) claim 1 , nickel (Ni) claim 1 , copper (Cu) claim 1 , zirconium (Zr) claim 1 , molybdenum (Mo) claim 1 , palladium (Pd) claim 1 , hafnium (Hf) claim 1 , tantalum (Ta) claim 1 , rhodium (Rh) claim 1 , gold (Au) claim 1 , potassium (K) claim 1 , cesium (Cs) claim 1 , or boron carbide (BC).3. The EUV mask blank of claim 2 , wherein the hydrogen absorber layer comprises a metal in which a change in enthalpy of a hydrogen dissolution reaction is about 0.5 (eV/absorbed hydrogen) or less.4. The BUY mask blank of claim 1 , wherein the hydrogen absorber layer comprises one of a Ti—Fe based alloy claim 1 , a Ti—Mn based alloy claim 1 , a Ti—Cr based alloy claim 1 , or a Ti—Fe—V based alloy.5. The EUV mask blank of claim 1 , wherein the hydrogen absorber layer is in contact with the reflective layer.6. The EUV mask ...

Подробнее
27-06-2019 дата публикации

LITHOGRAPHY MASK WITH A BLACK BORDER REGION AND METHOD OF FABRICATING THE SAME

Номер: US20190196322A1
Принадлежит:

A lithography mask includes a substrate, a reflective structure disposed over a first side of the substrate, and a patterned absorber layer disposed over the reflective structure. The lithography mask includes a first region and a second region that surrounds the first region in a top view. The patterned absorber layer is located in the first region. A substantially non-reflective material is located in the second region. The lithography mask is formed by forming a reflective structure over a substrate, forming an absorber layer over the reflective structure, defining a first region of the lithography mask, and defining a second region of the lithography mask. The defining of the first region includes patterning the absorber layer. The second region is defined to surround the first region in a top view. The defining of the second region includes forming a substantially non-reflective material in the second region. 1. A lithography mask , comprising:a substrate;a reflective structure disposed over a first side of the substrate;a patterned absorber layer disposed over the reflective structure;wherein:the lithography mask includes a first region and a second region that surrounds the first region in a top view;the patterned absorber layer is located in the first region; anda substantially non-reflective material is located in the second region.2. The lithography mask of claim 1 , wherein:the reflective structure is configured to reflect extreme ultraviolet (EUV) light; andthe substantially non-reflective material is configured to be substantially non-reflective with respect to the EUV light.3. The lithography mask of claim 1 , wherein the substantially non-reflective material corresponds to an absence of the reflective structure.4. The lithography mask of claim 1 , wherein the substantially non-reflective material includes a trench that extends through the reflective structure.5. The lithography mask of claim 4 , further comprising a passivation layer disposed on ...

Подробнее
18-06-2020 дата публикации

SUBSTRATE WITH AN ELECTRICALLY CONDUCTIVE FILM, SUBSTRATE WITH A MULTILAYER REFLECTIVE FILM, REFLECTIVE MASK BLANK, REFLECTIVE MASK AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20200192213A1
Принадлежит: HOYA CORPORATION

A substrate with an electrically conductive film for fabricating a reflective mask is obtained that is capable of preventing positional shift of the reflective mask during pattern transfer. Provided is a substrate with an electrically conductive film used in lithography, the substrate with an electrically conductive film having an electrically conductive film formed on one of the main surfaces of a mask blank substrate, and a coefficient of static friction of the surface of the electrically conductive film is not less than 0.25. 1. A substrate with an electrically conductive film comprising:a substrate having two main surfaces; andan electrically conductive film formed on one of the main surfaces of the substrate,wherein the electrically conductive film comprises at least one of tantalum and chromium, anda nitrogen content of a surface layer of the electrically conductive film is less than 5 at %.2. The substrate with an electrically conductive film according to claim 1 , wherein the electrically conductive film comprises tantalum and boron.3. The substrate with an electrically conductive film according to claim 2 , wherein a boron content of the electrically conductive film is in the range of from 5 at % to 30 at %.4. The substrate with an electrically conductive film according to claim 1 , wherein the electrically conductive film does not contain nitrogen.5. The substrate with an electrically conductive film according to claim 1 , wherein the substrate with an electrically conductive film comprises an intermediate film between the substrate and the electrically conductive film.6. The substrate with an electrically conductive film according to claim 5 , wherein the electrically conductive film comprises tantalum and boron claim 5 , and the intermediate film comprises tantalum and oxygen.7. A substrate with a multilayer reflective film comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'the substrate with an electrically conductive film according to ; and ...

Подробнее
27-06-2019 дата публикации

Patterning material film stack with hard mask layer configured to support selective deposition on patterned resist layer

Номер: US20190196340A1
Принадлежит: International Business Machines Corp

A lithographic patterning method includes forming a multi-layer patterning material film stack on a semiconductor substrate. Forming the patterning material film stack more particularly includes forming a hard mask layer and forming a resist layer over the hard mask layer. The hard mask layer is configured to support selective deposition of a metal-containing layer on the resist layer, the selective deposition of the metal-containing layer on the resist layer occurring after pattern development. The method further includes exposing the multi-layer patterning material film stack to patterning radiation to form a desired pattern in the resist layer, developing the pattern formed in the resist layer, and selectively depositing the metal-containing layer on the developed pattern in the resist layer. The selective deposition avoids deposition of the metal-containing layer on portions of the hard mask layer corresponding to respective openings in the resist layer.

Подробнее
29-07-2021 дата публикации

Extreme Ultraviolet Mask Absorber Materials

Номер: US20210232039A1
Принадлежит: Applied Materials, Inc.

Extreme ultraviolet (EUV) mask blanks, methods for their manufacture and production systems therefor are disclosed. The EUV mask blanks comprise a substrate; a multilayer stack of reflective layers on the substrate; a capping layer on the multilayer stack of reflecting layers; and an absorber layer on the capping layer, the absorber layer made from tantalum and ruthenium. 1. A method of manufacturing an extreme ultraviolet (EUV) mask blank comprising:forming on a substrate a multilayer stack which reflects EUV radiation, the multilayer stack comprising a plurality of reflective layer pairs;forming a capping layer on the multilayer stack; andforming an absorber layer on the capping layer, the absorber layer comprising an alloy of tantalum and ruthenium.2. The method of claim 1 , wherein the alloy of tantalum and ruthenium comprises from about 20 wt. % to about 70 wt. % tantalum and from about 30 wt. % to about 80 wt. % ruthenium.3. The method of claim 1 , wherein the alloy of tantalum and ruthenium comprises from about 30 wt. % to about 60 wt. % tantalum and from about 40 wt. % to about 70 wt. % ruthenium.4. The method of claim 2 , wherein the alloy of tantalum and ruthenium comprises from about 40 wt. % to about 50 wt. % tantalum and from about 50 wt. % to about 60 wt. % ruthenium.5. The method of claim 3 , wherein the alloy of tantalum and ruthenium is amorphous.6. The method of claim 1 , wherein the alloy is formed by co-sputtered tantalum and ruthenium with a gas selected from one or more of argon (Ar) claim 1 , oxygen (O) claim 1 , or nitrogen (N) to form the absorber layer.7. The method of claim 1 , wherein the alloy is deposited layer by layer as a laminate of tantalum and ruthenium layers using a gas selected from one or more of argon (Ar) claim 1 , oxygen (O) claim 1 , or nitrogen (N) to form the absorber layer.8. The method of claim 1 , wherein the alloy is deposited using a bulk target having a same composition as the alloy and is sputtered using a gas ...

Подробнее
29-07-2021 дата публикации

Extreme Ultraviolet Mask Blank Hard Mask Materials

Номер: US20210232040A1
Принадлежит: Applied Materials Inc

Extreme ultraviolet (EUV) mask blanks, methods for their manufacture and production systems therefor are disclosed. The EUV mask blanks comprise a substrate; a multilayer stack of reflective layers on the substrate; a capping layer on the multilayer stack of reflecting layers; an absorber layer on the capping layer, the absorber layer comprising an antimony-containing material; and a hard mask layer on the absorber layer, the hard mask layer comprising a hard mask material selected from the group consisting of CrO, CrON, TaNi, TaRu and TaCu.

Подробнее
29-07-2021 дата публикации

Extreme Ultraviolet Mask Blank Hard Mask Materials

Номер: US20210232041A1
Принадлежит: Applied Materials, Inc.

Extreme ultraviolet (EUV) mask blanks, methods for their manufacture and production systems therefor are disclosed. The EUV mask blanks comprise a substrate; a multilayer stack of reflective layers on the substrate; a capping layer on the multilayer stack of reflecting layers; an absorber layer on the capping layer, the absorber layer comprising a tantalum-containing material; and a hard mask layer on the absorber layer, the hard mask layer comprising a hard mask material selected from the group consisting of CrO, CrON, TaNi, TaRu and TaCu. 1. A method of manufacturing an extreme ultraviolet (EUV) mask blank comprising: 'forming a capping layer on the multilayer stack;', 'forming on a substrate a multilayer stack which reflects EUV radiation, the multilayer stack comprising a plurality of reflective layer pairs;'}forming an absorber layer on the capping layer, the absorber layer comprising a tantalum-containing material; andforming a hard mask layer on the absorber layer, the hard mask layer comprising a hard mask material selected from the group consisting of CrO, CrON, TaNi, TaRu and TaCu.2. The method of claim 1 , wherein the tantalum-containing material comprises TaN.3. The method of claim 1 , wherein the hard mask material comprises Cr in a range of from about 68.4 wt. % to about 99.7 wt. % claim 1 , oxygen in a range from about 0.3 wt. % to about 31.6 wt. % and nitrogen in a range of from 0%0 wt. % to about 7.9 wt. %.4. The method of claim 2 , wherein the hard mask material comprises Ta in a range of from about 82.2 wt. % to about 98.3 wt. % and Ni in a range of from about 1.7 wt. % to about 17.8 wt. %.5. The method of claim 1 , wherein the hard mask material comprises Ta in a range of from 0 wt. % to about 64.2 wt. % and Ru in a range of from about 35.8 wt. % to 100 wt. %.6. The method of claim 1 , wherein the hard mask material comprises Ta in a range of from about 0.2 wt. % to about 64.2 wt. % and Ru in a range of from about 35.8 wt. % to about 99.8 wt. %.7 ...

Подробнее
29-07-2021 дата публикации

Extreme Ultraviolet Mask Absorber Materials

Номер: US20210232042A1
Принадлежит: Applied Materials, Inc.

Extreme ultraviolet (EUV) mask blanks, methods for their manufacture and production systems therefor are disclosed. The EUV mask blanks comprise a substrate; a multilayer stack of reflective layers on the substrate; a capping layer on the multilayer stack of reflecting layers; and an absorber layer on the capping layer, the absorber layer made from antimony and nitrogen. 1. A method of manufacturing an extreme ultraviolet (EUV) mask blank comprising:forming on a substrate a multilayer stack which reflects EUV radiation, the multilayer stack comprising a plurality of reflective layer pairs;forming a capping layer on the multilayer stack; andforming an absorber layer on the capping layer, the absorber layer comprising a compound of antimony and nitrogen.2. The method of claim 1 , wherein the compound of antimony and nitrogen comprises from about 78.8 wt. % to about 99.8 wt. % antimony and from about 0.2 wt. % to about 21.2 wt. % nitrogen.3. The method of claim 1 , wherein the compound of antimony and nitrogen comprises from about 83.8 wt. % to about 94.8 wt. % antimony and from about 5.2 wt. % to about 16.2 wt. % nitrogen.4. The method of claim 2 , wherein the compound of antimony and nitrogen comprises from about 86.8 wt. % to about 91.8 wt. % antimony and from about 8.2 wt. % to about 13.2 wt. % nitrogen.5. The method of claim 3 , wherein the compound of antimony and nitrogen is amorphous.6. The method of claim 1 , wherein the compound is formed by sputtering the antimony with a gas selected from one or more of argon (Ar) claim 1 , oxygen (O) claim 1 , or nitrogen (N) to form the absorber layer.7. The method of claim 1 , wherein the compound is formed layer by layer as a laminate of antimony and nitrogen layers including gas phase nitridation of an antimony layer.8. The method of claim 1 , wherein the compound is deposited using a bulk target having the same composition as the compound and is sputtered using a gas selected from one or more of argon (Ar) claim 1 , ...

Подробнее
19-07-2018 дата публикации

PHOTOMASK

Номер: US20180203344A1
Принадлежит:

A photomask includes a substrate, a patterned absorber layer disposed on the substrate, and a plurality of openings. Each of the openings penetrates the patterned absorber layer and exposes a part of the substrate. At least two of the openings are disposed adjacent to each other in a first direction. At least a part of the patterned absorber layer disposed between the two adjacent openings in the first direction has a first thickness. A part of the patterned absorber layer disposed at two opposite edges of each of the openings in a second direction different from the first direction has a second thickness. Another part of the patterned absorber layer disposed at the two opposite edges of each of the openings in the second direction has a third thickness. The first thickness is equal to the second thickness, and the first thickness is different from the third thickness. 1. A photomask , comprising:a substrate; anda patterned absorber layer disposed on the substrate; and at least two of the openings are disposed adjacent to each other in a first direction,', 'at least a part of the patterned absorber layer disposed between the two adjacent openings in the first direction has a first thickness,', 'a part of the patterned absorber layer disposed at two opposite edges of each of the openings in a second direction different from the first direction has a second thickness, and', 'another part of the patterned absorber layer disposed at the two opposite edges of each of the openings in the second direction has a third thickness, wherein the first thickness is equal to the second thickness, and the first thickness is different from the third thickness., 'a plurality of openings, each of the openings penetrating the patterned absorber layer and exposing a part of the substrate, wherein'}2. The photomask according to claim 1 , wherein the first thickness is larger than the third thickness.3. The photomask according to claim 1 , wherein at least two of the openings are disposed ...

Подробнее
25-06-2020 дата публикации

Extreme Ultraviolet Mask Absorber And Processes For Manufacture

Номер: US20200201167A1
Принадлежит: Applied Materials, Inc.

Extreme ultraviolet (EUV) mask blanks, methods for their manufacture and production systems therefor are disclosed. The EUV mask blanks comprise a substrate; a multilayer stack of reflective layers on the substrate; a capping layer on the multilayer stack of reflecting layers; and an absorber layer on the capping layer, the absorber layer made from amorphous tantalum nitride formed by non-reactive sputtering. 1. A method of manufacturing an extreme ultraviolet (EUV) mask blank comprising:forming on a substrate a multilayer stack of reflective layers on the substrate, the multilayer stack of reflective layers including a plurality of reflective layer pairs;forming a capping layer on the multilayer stack of reflective layers; andforming an absorber layer on the capping layer, by first depositing a thin film of tantalum in a deposition chamber and then flowing nitrogen into the deposition chamber after depositing the thin film of tantalum to form an absorber layer comprising tantalum nitride (TaN).2. The method of claim 1 , wherein the thin film of tantalum has a thickness in a range of about 1 nm to 3 nm.3. The method of claim 2 , wherein flowing nitrogen in the deposition chamber is performed at a temperature in a range of from 20° C. to 30° C.4. The method of claim 3 , further comprising repeating first depositing the thin film of tantalum in a deposition chamber and then flowing nitrogen into the deposition chamber after depositing the thin film of tantalum to form the tantalum nitride layer having a thickness in a range of 10 nm to 83 nm.5. The method of claim 3 , wherein the thickness of the tantalum nitride layer is in a range of 30 nm to 70 nm.6. The method of claim 3 , wherein the thickness of the tantalum nitride layer is in a range of 40 nm to 60 nm.7. The method of claim 3 , wherein the thickness of the tantalum nitride layer is about 56 nm.8. The method of claim 5 , wherein repeating the process of first depositing the thin film of tantalum in a deposition ...

Подробнее
05-08-2021 дата публикации

Extreme ultraviolet mask and method of manufacturing the same

Номер: US20210240069A1
Автор: Yun-Yue Lin

A method of manufacturing an extreme ultraviolet mask, including forming a multilayer Mo/Si stack including alternating Mo and Si layers over a first major surface of a mask substrate, and forming a capping layer over the multilayer Mo/Si stack. An absorber layer is formed on the capping layer, and a hard mask layer is formed over the absorber layer. The hard mask layer is patterned to form a hard mask layer pattern. The hard mask layer pattern is extended into the absorber layer to expose the capping layer and form a mask pattern. A border pattern is formed around the mask pattern. The border pattern is extended through the multilayer Mo/Si stack to expose the mask substrate and form a trench surrounding the mask pattern. A passivation layer is formed along sidewalls of the trench.

Подробнее
04-07-2019 дата публикации

MASK, DISPLAY PANEL AND MANUFACTURING METHOD OF NON-DISPLAY SECTION THEREOF

Номер: US20190206909A1
Автор: ZENG Shuanghua
Принадлежит:

A mask includes a plurality of completely-transmitting sections and a plurality of light-blocking sections. The completely-transmitting sections and the light-blocking sections are arranged alternate with each other. The light-blocking sections each include a non-light-transmitting sub-section and N light-transmitting sub-sections arranged between the non-light-transmitting sub-section and an adjacent one of the completely-transmitting sections. The N light-transmitting sub-sections have light transmission rates that are increased, step by step, in a direction from the non-light-transmitting sub-section to the completely-transmitting section, where N≥22 and N is an inter. Through modification of the structure of mask, grooves formed in a planarization layer of a non-display section with such a modified mask would have sidewalk that are smooth and the sidewalls having gentle slopes so that no residue of photoresist remains in a subsequent process. 1. A mask , comprising a plurality of completely-transmitting sections and a plurality of light-blocking sections , the completely-transmitting sections and the light-blocking sections being arranged alternate with each other , the light-blocking sections each comprising a non-light-transmitting sub-section and. N light-transmitting sub-sections arranged between the non-light-transmitting sub-section and the completely-transmitting sections , the N light-transmitting sub-sections having light transmission rates that are increased , step by step , in a direction from the non-light-transmitting sub-section to one of the completely-transmitting sections adjacent thereto , wherein N≥2 and N is an integer.2. The mask according to claim 1 , wherein a first light-transmitting sub-section to an Nth light-transmitting sub-section are arranged claim 1 , in sequence in the direction from the non-light-transmitting sub-section to the completely-transmitting section claim 1 , between the non-light-transmitting sub-section and the ...

Подробнее
13-08-2015 дата публикации

Uv mask and fabrication method thereof

Номер: US20150226891A1
Автор: Sung Hun Song

A UV mask and a fabrication method thereof. The UV mask fabrication method includes: forming a UV shielding layer on a coverage area of a base substrate by using a color filter layer mask plate and a black matrix mask plate; or forming a UV shielding layer on the base substrate by using the color filter layer mask plate. In this way, the cost spent in manufacturing a display device by using a special mask plate (mask) to fabricate the UV mask is reduced.

Подробнее
03-08-2017 дата публикации

Mask for EUV Lithography, EUV Lithography Apparatus and Method for Determining a Contrast Proportion Caused by DUV Radiation

Номер: US20170219920A1
Автор: Huber Peter
Принадлежит:

A mask (M) for EUV lithography includes: a substrate (), a first surface region (A) formed by a surface () of a multilayer coating () embodied to reflect EUV radiation (), said surface () facing away from the substrate (), and a second surface region (A) formed by a surface () of a further coating () embodied to reflect DUV radiation () and to suppress the reflection of EUV radiation (), said surface () facing away from the substrate (). The further coating is a multilayer coating (). Also disclosed are an EUV lithography apparatus that includes such a mask (M) and a method for determining a contrast proportion caused by DUV radiation when imaging a mask (M) onto a light-sensitive layer. 1. A mask for extreme ultraviolet (EUV) lithography , comprising:a substrate having:{'sub': '1', 'a first surface region (A) formed by a surface of a multilayer coating embodied to reflect EUV radiation, said multilayer coating surface facing away from the substrate, and a second surface region (A2) formed by a surface of a further coating embodied to reflect deep ultraviolet (DUV) radiation and to suppress the reflection of the EUV radiation, said further coating surface facing away from the substrate, wherein the further coating is a further multilayer coating,'}wherein the wavelength-dependent reflectivity of the further multilayer coating for the DUV radiation in the wavelength range between 140 nm and 400 nm does not deviate by more than +/−5% from the wavelength-dependent reflectivity of the multilayer coating.2. The mask as claimed in claim 1 , further comprising: a third surface region (A) formed by a surface of a coating absorbing the EUV radiation claim 1 , said EUV radiation absorbing surface facing away from the substrate.3. The mask as claimed in claim 1 , wherein the reflectivity of the further coating is less than 0.3% at a used wavelength (λ) of the EUV radiation at which the reflectivity of the multilayer coating is at a maximum.4. The mask as claimed in claim 1 , ...

Подробнее
02-08-2018 дата публикации

GLASS SUBSTRATE FOR MASK BLANK, MASK BLANK AND PHOTOMASK

Номер: US20180217492A1
Автор: OKAMURA Yuzo
Принадлежит: Asahi Glass Company, Limited

A glass substrate for a mask blank includes a first surface and a second surface. The first surface and second surface face each other. Each of the first surface and the second surface is approximately square having a vertical length and a horizontal length being equal to the vertical length. The first surface of the glass substrate has specific profile properties. 1. A glass substrate for a mask blank , comprising a first surface and a second surface , which face each other and each of which is approximately square having a vertical length (P) and a horizontal length (P) being equal to the vertical length (P) , wherein:{'b': 1', '2', '3, 'in the first surface, a first square having a center coinciding with a center of the first surface and sides which are parallel with respective sides of the first surface and have a length P of 104 mm, a second square having a center coinciding with the center of the first surface and sides which are parallel with the respective sides of the first surface and have a length P of 132 mm, and a third square having a center coinciding with the center of the first surface and sides which are parallel with the respective sides of the first surface and have a length P of 142 mm, are assumed;'}a region inside the first square, the region including the first square, is referred to as a central region,a region enclosed by the second square and the first square, the region including the second square but not including the first square, is referred to as a peripheral region,a region enclosed by the third square and the second square, the region including the third square but not including the second square, is referred to as an edge region,a region inside the second square, the region including the second square, is referred to as a non-edge region, anda region inside the third square, the region including the third square, is referred to as an effective region;in the effective region of the first surface, 100 or more vertical lines are drawn ...

Подробнее
09-07-2020 дата публикации

Reflective photomask blank and reflective photomask

Номер: US20200218143A1
Принадлежит: Toppan Printing Co Ltd

A reflective photomask blank ( 10 ) of a first aspect includes a substrate ( 1 ); a reflective layer ( 2 ) formed on the substrate ( 1 ); and a light absorbing layer ( 4 ) formed on the reflective layer ( 2 ) and including a tin oxide film with a film thickness of 17 nm or more and less than 25.0 nm. Consequently, the shadowing effect of a reflective photomask for pattern transfer using extreme ultraviolet light as a light source is suppressed or reduced to improve the performance of transfer to a semiconductor substrate, and further, pattern collapse due to cleaning of the reflective photomask is suppressed.

Подробнее