반도체 소자의 소자분리막 형성방법

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method for manufacturing a shallow trench isolation layer of the semiconductor device

Номер патента: KR100503344B1. Автор: 김성래. Владелец: 동부아남반도체 주식회사. Дата публикации: 2005-07-26.

Method for forming trench type isolation layer in semiconductor device

Номер патента: KR100614575B1. Автор: 은병수,진성곤. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-08-25.

Method for forming trench type isolation layer in semiconductor device

Номер патента: KR100681212B1. Автор: 정채오. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-02-09.

Method for forming trench type isolation layer in semiconductor device

Номер патента: KR100460770B1. Автор: 김응수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-12-09.

Method for forming trench type isolation layer in semiconductor device

Номер патента: KR100703836B1. Автор: 윤양한. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-04-06.

Method for forming trench type isolation layer in semiconductor device

Номер патента: KR20040059444A. Автор: 김현수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-07-05.

Semiconductor device with flowable layer and method for fabricating the same

Номер патента: US20220013629A1. Автор: Liang-Pin Chou. Владелец: Nanya Technology Corp. Дата публикации: 2022-01-13.

Method of forming isolation film of semiconductor device

Номер патента: US20040127035A1. Автор: Sung Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-07-01.

Method for manufacturing semiconductor device

Номер патента: US10395927B2. Автор: Cheng Long ZHANG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-08-27.

Method for forming a inter layer dielectric of metal line in semiconductor device

Номер патента: KR100326814B1. Автор: 구자춘. Владелец: 박종섭. Дата публикации: 2002-03-04.

Method for forming semiconductor devices

Номер патента: US4523976A. Автор: Yefim Bukhman. Владелец: Motorola Inc. Дата публикации: 1985-06-18.

Semiconductor device with body spacer at the bottom of the fin and method for manufacturing the same

Номер патента: US09564434B2. Автор: Huilong Zhu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2017-02-07.

Method for forming isolation layer and method for fabricating nonvolatile memory device using the same

Номер патента: US20100203702A1. Автор: Young-Kwang Choi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-08-12.

Method for forming isolation regions on semiconductor device

Номер патента: US20020192961A1. Автор: Motoki Kobayashi. Владелец: Individual. Дата публикации: 2002-12-19.

Method for forming isolation regions on semiconductor device

Номер патента: US20020052118A1. Автор: Motoki Kobayashi. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2002-05-02.

Method for forming isolation regions on semiconductor device

Номер патента: US6579807B2. Автор: Motoki Kobayashi. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2003-06-17.

Method for fabricating semiconductor devices

Номер патента: US7776622B2. Автор: Kouichi Nagai. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2010-08-17.

Semiconductor device and method of manufacturing the same

Номер патента: US09490159B2. Автор: Yang Bok Lee,Seung Cheol Lee. Владелец: SK hynix Inc. Дата публикации: 2016-11-08.

Production method of semiconductor device

Номер патента: US6780793B2. Автор: Yoshiyuki Tanaka,Masaki Saito,Yoshiyuki Enomoto. Владелец: Sony Corp. Дата публикации: 2004-08-24.

Semiconductor device and method for manufacturing same

Номер патента: US20150364427A1. Автор: Takashi KANSAKU. Владелец: Longitude Semiconductor SARL. Дата публикации: 2015-12-17.

FDSOI semiconductor structure and method for manufacturing the same

Номер патента: US09548317B2. Автор: Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2017-01-17.

Method for forming FinFET devices

Номер патента: US09443729B1. Автор: Martin Christopher Holland,Blandine Duriez. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-13.

Method for forming a capping layer on a copper interconnect

Номер патента: US20020197865A1. Автор: Koichi Ohto. Владелец: NEC Corp. Дата публикации: 2002-12-26.

Method for forming silicon oxide film and for manufacturing capacitor and semiconductor device

Номер патента: US20070218637A1. Автор: Yoshiko Harada,Naotada Ogura. Владелец: Yamaha Corp. Дата публикации: 2007-09-20.

Method for forming self-aligned isolation trenches in semiconductor substrate and semiconductor device

Номер патента: US20150171162A1. Автор: Tzung-Han Lee,Yaw-Wen Hu. Владелец: Inotera Memories Inc. Дата публикации: 2015-06-18.

Method for depositing a strain relaxed graded buffer layer of silicon germanium on a surface of a substrate

Номер патента: WO2023143804A1. Автор: Peter Storck,Lucas Becker. Владелец: SILTRONIC AG. Дата публикации: 2023-08-03.

Method for Producing a Gate Cut Structure on an Array of Semiconductor Fins

Номер патента: US20200083090A1. Автор: Chan Boon Teik,Kim Ryan Ryoung Han,Altamirano Sanchez Efrain. Владелец: . Дата публикации: 2020-03-12.

Method for manufacturing semiconductor device

Номер патента: US20170076984A1. Автор: Hisashi Onodera. Владелец: Toshiba Corp. Дата публикации: 2017-03-16.

Method for planarizing the surface of an interlayer insulating film in a semiconductor device

Номер патента: US4634496A. Автор: Masahiro Abe,Masaharu Aoyama,Yasukazu Mase. Владелец: Toshiba Corp. Дата публикации: 1987-01-06.

Method for local thinning of top silicon layer of SOI wafer

Номер патента: US9601389B1. Автор: Zhangli LIU. Владелец: Shanghai Huahong Grace Semiconductor Manufacturing Corp. Дата публикации: 2017-03-21.

Method for local thinning of top silicon layer of SOI wafer

Номер патента: US09601389B1. Автор: Zhangli LIU. Владелец: Shanghai Huahong Grace Semiconductor Manufacturing Corp. Дата публикации: 2017-03-21.

METHOD FOR FORMING AND USING STRESS-TUNED SILICON OXIDE FILMS IN SEMICONDUCTOR DEVICE PATTERNING

Номер патента: US20200152473A1. Автор: deVilliers Anton,Leusink Gerrit J.,Tapily Kandabara N.. Владелец: . Дата публикации: 2020-05-14.

METHOD FOR PRODUCING POLYAMID ACID FOR USE IN THE PRODUCTION OF SEMICONDUCTORS

Номер патента: DE2927864A1. Автор: Daisuke Makino,Yasuo Miyadera. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 1980-01-24.

Methods And Apparatus For Forming Tantalum Silicate Layers On Germanium Or III-V Semiconductor Devices

Номер патента: US20140065842A1. Автор: Anthis Jeffrey W.,Ahmed Khaled Z.. Владелец: . Дата публикации: 2014-03-06.

Method for depositing silicon nitride layer of semiconductor device

Номер патента: US20050118814A1. Автор: Hyung Kim,Sung Jung,Yong Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-06-02.

Semiconductor device and manufacturing method thereof

Номер патента: US09991293B2. Автор: Shunpei Yamazaki,Jun Koyama. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2018-06-05.

Method for forming line pattern array, photomask having the same and semiconductor device fabricated thereby

Номер патента: US7820344B2. Автор: Jae In Moon. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-10-26.

Method for forming line pattern array, photomask having the same and semiconductor device fabricated thereby

Номер патента: US20080315323A1. Автор: Jae In Moon. Владелец: Individual. Дата публикации: 2008-12-25.

Method for forming line pattern array, photomask having the same and semiconductor device fabricated thereby

Номер патента: TWI362691B. Автор: Jae In Moon. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-04-21.

Method for forming trench type isolation layer in semiconductor device

Номер патента: KR20060010933A. Автор: 김형균,송창록. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-02-03.

Method for forming trench type isolation layer in semiconductor device

Номер патента: KR100429555B1. Автор: 전승준. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-05-03.

Method for forming a thin film transistor

Номер патента: US5834071A. Автор: Kang-Cheng Lin. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 1998-11-10.

Method for depositing a strain relaxed graded buffer layer of silicon germanium on a surface of a substrate

Номер патента: EP4220686B1. Автор: Peter Storck,Lucas Becker. Владелец: SILTRONIC AG. Дата публикации: 2024-07-10.

Method for growing non-polar m-plane epitaxy layer of wurtzite semiconductors on single crystal oxide substrates

Номер патента: TW201112311A. Автор: Li Chang,Yen-Teng Ho. Владелец: Univ Nat Chiao Tung. Дата публикации: 2011-04-01.

METHOD FOR FORMING SELF-ALIGNED ISOLATION TRENCHES IN SEMICONDUCTOR SUBSTRATE AND SEMICONDUCTOR DEVICE

Номер патента: US20150171162A1. Автор: LEE TZUNG-HAN,Hu Yaw-Wen. Владелец: INOTERA MEMORIES, INC.. Дата публикации: 2015-06-18.

A method for forming anti-reflect-film and its application to manufacturing semiconductor devices

Номер патента: KR970004447B1. Автор: 여기성,박정철,박춘근. Владелец: 김광호. Дата публикации: 1997-03-27.

Method for forming line pattern array, photomask having the same and semiconductor device fabricated thereby

Номер патента: TW200901276A. Автор: Jae-In Moon. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-01-01.

Method for forming a gexsi1-x buffer layer of solar-energy battery on a silicon wafer

Номер патента: TWI377690B. Автор: Edward Yi Chang,Shih Hsuan Tang,Yue Cin Lin. Владелец: Univ Nat Chiao Tung. Дата публикации: 2012-11-21.

METHODS AND APPARATUS FOR FORMING SILICON PASSIVATION LAYERS ON GERMANIUM OR III-V SEMICONDUCTOR DEVICES

Номер патента: US20130280918A1. Автор: Ahmed Khaled Z.. Владелец: . Дата публикации: 2013-10-24.

Methods and apparatus for forming silicon passivation layers on germanium or III-V semiconductor devices

Номер патента: US9093264B2. Автор: Khaled Z. Ahmed. Владелец: Applied Materials Inc. Дата публикации: 2015-07-28.

Method for producing 3c-sic epitaxial layer, 3c-sic epitaxial substrate, and semiconductor device

Номер патента: US20150108504A1. Автор: Yukimune Watanabe,Noriyasu KAWANA. Владелец: Seiko Epson Corp. Дата публикации: 2015-04-23.

Method for producing 3C-SiC epitaxial layer, 3C-SiC epitaxial substrate, and semiconductor device

Номер патента: US9758902B2. Автор: Yukimune Watanabe,Noriyasu KAWANA. Владелец: Seiko Epson Corp. Дата публикации: 2017-09-12.

Method for forming thermal oxide film of semiconductor substrate

Номер патента: EP4160657A1. Автор: Tatsuo Abe,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-04-05.

Method for forming gate oxide layer in semiconductor device

Номер патента: US20050142770A1. Автор: Yong Choi. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Method for forming thermal oxide film of semiconductor substrate

Номер патента: EP4148769A1. Автор: Tatsuo Abe,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-03-15.

Method of manufacturing silicon rich oxide (SRO) and semiconductor device employing SRO

Номер патента: US20070072424A1. Автор: Jung-hyun Lee,Sang-Bong Bang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-03-29.

Method for forming an oxynitride layer

Номер патента: US20030077915A1. Автор: Chia-Lin Ku,Yung-Hsien Wu. Владелец: Promos Technologies Inc. Дата публикации: 2003-04-24.

Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants

Номер патента: US09552982B2. Автор: Adrien Lavoie. Владелец: Novellus Systems Inc. Дата публикации: 2017-01-24.

Method for fabricating high permitivity dielectric stacks having low buffer oxide

Номер патента: EP1173886A1. Автор: Effiong Ibok. Владелец: Advanced Micro Devices Inc. Дата публикации: 2002-01-23.

Method for forming field oxide film of semiconductor device

Номер патента: US6013561A. Автор: Jong Choul Kim,Byung Jin Cho,Se Aug Jang. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2000-01-11.

Method for manufacturing a shallow trench isolation layer of semiconductor device

Номер патента: KR100501549B1. Автор: 이대근. Владелец: 동부아남반도체 주식회사. Дата публикации: 2005-07-18.

A method for forming trench type isolation layer in semiconductor device

Номер патента: KR100825011B1. Автор: 김명진. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-04-24.

Method for forming shallow trench isolation layer

Номер патента: KR100588643B1. Автор: 정민호. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-06-12.

Method for manufacturing a shallow trench isolation layer

Номер патента: KR100509821B1. Автор: 김중규. Владелец: 동부아남반도체 주식회사. Дата публикации: 2005-08-24.

Method of forming device isolation layer and method of fabricating semiconductor device

Номер патента: US20110003458A1. Автор: Seung-jae Lee,Jin-gi Hong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2011-01-06.

METHOD FOR SMOOTHING THE CONTOUR OF A USEFUL LAYER OF MATERIAL REFLECTED ON A SUPPORT SUBSTRATE

Номер патента: FR2842651B1. Автор: . Владелец: . Дата публикации: 2005-07-08.

Method for Forming Isolation Layer in Semiconductor Devices

Номер патента: US20070166949A1. Автор: In Kyu Chun. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-19.

Methods for manufacturing shallow trench isolation layers of semiconductor devices

Номер патента: US20060024913A1. Автор: Bo Jo. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2006-02-02.

Method for forming isolation member in trench of semiconductor substrate

Номер патента: US09570338B2. Автор: Yan Yan,Jun Yang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-02-14.

Semiconductor device and manufacturing method therefor

Номер патента: US20200006372A1. Автор: Feng Ji,Haoyu Chen,Qiwei Wang,Jinshuang Zhang. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2020-01-02.

Semiconductor devices and methods of manufacturing the same

Номер патента: US09929160B1. Автор: Sung-dae Suk,Seungmin Song,Yong-Suk Tak,Juri LEE. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-03-27.

Method for manufacturing semiconductor device using a gettering layer

Номер патента: US09385210B2. Автор: Hiroki Wakimoto. Владелец: Fuji Electric Co Ltd. Дата публикации: 2016-07-05.

Method for forming a capacitor of a semiconductor device

Номер патента: US20030109103A1. Автор: Kyong Kim,Ho-Jung Sun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-06-12.

Methods for forming fine patterns of semiconductor device

Номер патента: US09627202B2. Автор: DAE-YONG KANG,Sung-Wook Hwang,Joonsoo PARK,Soonmok Ha,Byungjun Jeon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-04-18.

Method for fabricating a semiconductor device including fin relaxation, and related structures

Номер патента: EP3140858A1. Автор: Pierre Morin,Frederic Allibert. Владелец: STMicroelectronics lnc USA. Дата публикации: 2017-03-15.

Method for fabricating a semiconductor device including fin relaxation, and related structures

Номер патента: US09620626B2. Автор: Pierre Morin,Frederic Allibert. Владелец: STMicroelectronics lnc USA. Дата публикации: 2017-04-11.

Method for forming isolation film

Номер патента: US6656851B1. Автор: Young-Kuk Cha. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-12-02.

Isolation layer of semiconductor device and manufacturing method thereof

Номер патента: US20090315141A1. Автор: Ki-Moon Jung. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-12-24.

Structure for relaxed SiGe buffers including method and apparatus for forming

Номер патента: US09752224B2. Автор: Zhiyuan Ye,Errol Antonio C. Sanchez,Xinyu Bao,Keun-Yong BAN. Владелец: Applied Materials Inc. Дата публикации: 2017-09-05.

Method for forming semiconductor structure and semiconductor structure

Номер патента: US12094723B2. Автор: Yuejiao Shu,Ming-Pu Tsai. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-17.

Method for reducing pillar structure dimensions of a semiconductor device

Номер патента: WO2009045347A1. Автор: Michael Chan,Steven J. Radigan,Yung-Tin Chen,Paul Poon. Владелец: SanDisk 3D LLC. Дата публикации: 2009-04-09.

Method for manufacturing device isolation film of semiconductor device

Номер патента: US20050054176A1. Автор: Jong Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-03-10.

Method for manufacturing device isolation film of semiconductor device

Номер патента: US7081396B2. Автор: Jong Goo Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-07-25.

Fabrication of semiconductor structures

Номер патента: US09704757B1. Автор: Daniele Caimi,Lukas Czornomaz,Jean Fompeyrine,Vladimir DJARA,Veeresh Deshpande. Владелец: International Business Machines Corp. Дата публикации: 2017-07-11.

Method for forming fine patterns of semiconductor device

Номер патента: US09666434B2. Автор: DAE-YONG KANG,Jaehee Kim,Joonsoo PARK,Soonmok Ha. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-05-30.

Semiconductor device and method

Номер патента: US12119392B2. Автор: Shih-Chieh Chang,Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-15.

Semiconductor device and method for forming the same

Номер патента: US20240194769A1. Автор: Yu-Cheng Tung,Chia-Wei Wu. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2024-06-13.

Nanowire semiconductor device

Номер патента: US9735258B2. Автор: SANGHOON Lee,Karthik Balakrishnan,Pouya Hashemi. Владелец: International Business Machines Corp. Дата публикации: 2017-08-15.

Method for forming semiconductor device having epitaxial channel layer using laser treatment

Номер патента: US20020001890A1. Автор: Jung-Ho Lee. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2002-01-03.

Nanowire semiconductor device

Номер патента: US9613873B1. Автор: SANGHOON Lee,Karthik Balakrishnan,Pouya Hashemi. Владелец: International Business Machines Corp. Дата публикации: 2017-04-04.

Nanowire semiconductor device

Номер патента: US20170263507A1. Автор: SANGHOON Lee,Karthik Balakrishnan,Pouya Hashemi. Владелец: International Business Machines Corp. Дата публикации: 2017-09-14.

Nanowire semiconductor device

Номер патента: US20170179251A1. Автор: SANGHOON Lee,Karthik Balakrishnan,Pouya Hashemi. Владелец: International Business Machines Corp. Дата публикации: 2017-06-22.

Formation method of semiconductor device structure

Номер патента: US09721805B1. Автор: Yi-Wei Chiu,Tzu-Chan Weng,Chen-Wei Pan,Chia-Hui Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-08-01.

Nanowire semiconductor device

Номер патента: US09613873B1. Автор: SANGHOON Lee,Karthik Balakrishnan,Pouya Hashemi. Владелец: International Business Machines Corp. Дата публикации: 2017-04-04.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US11915967B2. Автор: Zhan Ying,Kui Zhang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-27.

On-chip capacitors in semiconductor devices and methods for forming the same

Номер патента: US11955422B2. Автор: LIANG Chen,WEI Liu,Lei Xue. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-04-09.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: EP4181182A1. Автор: Hiroshi Takeno,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-05-17.

Method and equipment for forming gaps in a material layer

Номер патента: US20190096739A1. Автор: Yu-Ming Lin,Li-Te Lin,Chan-Syun David Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-03-28.

Method for forming titanium silicide ohmic contact layer of semiconductor device

Номер патента: KR100626741B1. Автор: 손현철,엄장웅. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-09-22.

Semiconductor device and process

Номер патента: US09837307B2. Автор: Tien-Lu Lin,Yung-Chih Wang,Yu-Chieh Liao,Hsiang-Lun Kao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-12-05.

Semiconductor device and process

Номер патента: US09564396B2. Автор: Tien-Lu Lin,Yung-Chih Wang,Yu-Chieh Liao,Hsiang-Lun Kao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-07.

Method for Forming a Via Profile of Interconnect Structure of Semiconductor Device Structure

Номер патента: US20170194197A1. Автор: Chang Che-Cheng,CHENG Tai-Shin,CHEN Wei-Ting,SHIAO Wei-Yin. Владелец: . Дата публикации: 2017-07-06.

Method for forming electrical interconnection using dual damascene process of semiconductor device

Номер патента: KR100711929B1. Автор: 정성희. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-04-27.

Semiconductor structure and method for manufacturing semiconductor structure

Номер патента: US20230005931A1. Автор: Zhan Ying,Xin Li,Junyi Zhang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-05.

Method for removing circle defect on the metal layer of semiconductor device

Номер патента: KR100702796B1. Автор: 조보연. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-04-03.

Method for manufacturing a semiconductor component

Номер патента: US20070099430A1. Автор: Kelley Higgins,Joseph Wiseman. Владелец: SPANSION LLC. Дата публикации: 2007-05-03.

Semiconductor device suitable for electrostatic discharge (esd) protection

Номер патента: US20180342496A1. Автор: Jean Philippe Laine,Patrice Besse,Evgueniy Nikolov Stefanov. Владелец: NXP USA Inc. Дата публикации: 2018-11-29.

Self-aligned contacts for semiconductor device

Номер патента: US6165910A. Автор: Joel M. Cook,Janet M. Flanner,Ian J. Morey,Linda N. Marquez. Владелец: Lam Research Corp. Дата публикации: 2000-12-26.

Methods for utilization of disappearing silicon hard mask for fabrication of semiconductor structures

Номер патента: US6689693B2. Автор: John H. Givens,Mark E. Jost. Владелец: Micron Technology Inc. Дата публикации: 2004-02-10.

Semiconductor device and method

Номер патента: US11854688B2. Автор: Yen-Ming Chen,Feng-Cheng Yang,Wei-Yang Lee,Feng-Ching Chu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Semiconductor Device and Method

Номер патента: US20230411474A1. Автор: Yee-Chia Yeo,Liang-Yin Chen,Huicheng Chang,Su-Hao LIU,Kuo-Ju Chen,Shih-Hsiang Chiu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-21.

Semiconductor device and method

Номер патента: US11862694B2. Автор: Yee-Chia Yeo,Liang-Yin Chen,Huicheng Chang,Su-Hao LIU,Kuo-Ju Chen,Shih-Hsiang Chiu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-02.

METHOD FOR THE MECHANICAL CHEMICAL POLISHING OF A LAYER OF A COPPER-BASED MATERIAL

Номер патента: FR2781922B1. Автор: Eric Jacquinot,Pascal Letourneau,Maurice Rivoire. Владелец: Clariant France SA. Дата публикации: 2001-11-23.

Systems and methods for controlling the effective dielectric constant of materials used in a semiconductor device

Номер патента: US20080299779A1. Автор: Gregory C. Smith. Владелец: Sematech Inc. Дата публикации: 2008-12-04.

METHOD FOR THE MECHANICAL CHEMICAL POLISHING OF A LAYER OF A COPPER-BASED MATERIAL

Номер патента: FR2781922A1. Автор: Eric Jacquinot,Pascal Letourneau,Maurice Rivoire. Владелец: Clariant France SA. Дата публикации: 2000-02-04.

Method for forming isolation layer in semiconductor device

Номер патента: US20030119266A1. Автор: Cheol Park. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2003-06-26.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US20220319908A1. Автор: Zhan Ying,Kui Zhang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-10-06.

Method for forming isolation layer of semiconductor device

Номер патента: US20020137307A1. Автор: CHANG Kim,Wan Kim. Владелец: Kim Wan Shick. Дата публикации: 2002-09-26.

Method for fabricating semiconductor device

Номер патента: US09601588B2. Автор: Hyung-Kyun Kim. Владелец: SK hynix Inc. Дата публикации: 2017-03-21.

Method for forming a semiconductor device has a lengthened channel length

Номер патента: US20090124085A1. Автор: Hung-Ming Tsai,Ying Cheng CHUANG. Владелец: Nanya Technology Corp. Дата публикации: 2009-05-14.

Bed structure underlying electrode pad of semiconductor device and method for manufacturing same

Номер патента: US20010040242A1. Автор: Noboru Koike. Владелец: Toshiba Corp. Дата публикации: 2001-11-15.

Method for forming and filling isolation trenches

Номер патента: EP1338033A2. Автор: Andreas Knorr,Rajeev Malik,Mihel Seitz. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2003-08-27.

Method of forming isolation layer of semiconductor device

Номер патента: US7682928B2. Автор: Myung IL Kang. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2010-03-23.

Method for forming isolation regions on semiconductor device

Номер патента: US20020076901A1. Автор: Toshiyuki Nakamura. Владелец: Individual. Дата публикации: 2002-06-20.

Method for forming isolated semiconductor devices

Номер патента: US3695956A. Автор: Robert Ray Speers. Владелец: RCA Corp. Дата публикации: 1972-10-03.

Semiconductor structure and method for forming the same

Номер патента: US09824943B2. Автор: Shiu-Ko Jangjian,Chun-Che Lin,Wei-Ken LIN,Jia-Ming Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-21.

Method for manufacturing semiconductor element

Номер патента: US20060105540A1. Автор: Kousuke Hara,Toyokazu Sakata. Владелец: Individual. Дата публикации: 2006-05-18.

Fabrication method of soi semiconductor devices

Номер патента: EP1371089A1. Автор: Denis Flandre,Jean-Pierre Raskin,Amaury Neve De Mevergnies. Владелец: Universite Catholique de Louvain UCL. Дата публикации: 2003-12-17.

Fabrication method of soi semiconductor devices

Номер патента: EP1371089B1. Автор: Denis Flandre,Jean-Pierre Raskin,Amaury Neve De Mevergnies. Владелец: Universite Catholique de Louvain UCL. Дата публикации: 2007-12-05.

Isolation layer of semiconductor device and manufacturing method thereof

Номер патента: US20100084733A1. Автор: Jong-Doo Kim. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2010-04-08.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US11482446B1. Автор: Zhan Ying,Kui Zhang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-10-25.

Methods for protecting film layers while removing hardmasks during fabrication of semiconductor devices

Номер патента: US20110086495A1. Автор: Rohit Pal,Janice MONZET. Владелец: Globalfoundries Inc. Дата публикации: 2011-04-14.

Method for Forming Semiconductor Structure and Semiconductor Structure

Номер патента: US20220293466A1. Автор: Dongxue Zhang,Ge-Wei Lin. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-09-15.

Method of forming interconnectings in semiconductor devices

Номер патента: US20020090810A1. Автор: Mario Napolitano. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2002-07-11.

Methods for forming on-chip capacitor structures in semiconductor devices

Номер патента: US12108603B2. Автор: LIANG Chen. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-10-01.

Tungsten plug structure of semiconductor device and method for forming the same

Номер патента: US20070102824A1. Автор: In Chun. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2007-05-10.

Method for forming multi-layer metal line of semiconductor device

Номер патента: US20030129825A1. Автор: Jun Yoon. Владелец: Individual. Дата публикации: 2003-07-10.

Semiconductor device having wafer-to-wafer bonding structure and manufacturing method thereof

Номер патента: US12040280B2. Автор: Sung Lae OH. Владелец: SK hynix Inc. Дата публикации: 2024-07-16.

Semiconductor device having wafer-to-wafer bonding structure and manufacturing method thereof

Номер патента: US20240321756A1. Автор: Sung Lae OH. Владелец: SK hynix Inc. Дата публикации: 2024-09-26.

Structure and formation method of semiconductor device structure

Номер патента: US09905633B1. Автор: Chi-Han YANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-27.

Semiconductor device and method for fabricating the same

Номер патента: US20230253242A1. Автор: Jun Sik Kim. Владелец: SK hynix Inc. Дата публикации: 2023-08-10.

Method for forming word line of semiconductor device

Номер патента: US20040082155A1. Автор: Won Lee. Владелец: Individual. Дата публикации: 2004-04-29.

Power line layout structure of semiconductor device and method for forming the same

Номер патента: US09793210B2. Автор: Jae Hwan Kim. Владелец: SK hynix Inc. Дата публикации: 2017-10-17.

Interconnection structure, semiconductor device with interconnection structure and method for fabricating the same

Номер патента: US20230046051A1. Автор: Jong Su Kim. Владелец: SK hynix Inc. Дата публикации: 2023-02-16.

Method for fabricating lateral semiconductor device

Номер патента: US7589347B2. Автор: John Henry Jefferson,Geoffrey Richard Nash,Keith James Nash. Владелец: Qinetiq Ltd. Дата публикации: 2009-09-15.

Method for forming bit line of semiconductor device

Номер патента: US20040067656A1. Автор: Sung Jin,Jai Roh. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-04-08.

Methods for forming semiconductor structures and semiconductor structures

Номер патента: US12051618B2. Автор: Yuchen Wang,Nianwang YANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-30.

Semiconductor structure and method for manufacturing the same

Номер патента: US20130001691A1. Автор: Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Beijing NMC Co Ltd. Дата публикации: 2013-01-03.

Semiconductor devices and method for their manufacture

Номер патента: US20030211723A1. Автор: Ling Yun,Rick Teo Kok Hin. Владелец: 1st Silicon (Malaysia) Sdn Bhd. Дата публикации: 2003-11-13.

A method for patterning layers of semiconductor devices

Номер патента: WO2002015231A2. Автор: Steffen Schneider,Virinder Grewal,Lars Paschedag,Ricky Mc Gowan. Владелец: Semiconductor 300 Gmbh & Co. Kg. Дата публикации: 2002-02-21.

Memory device and method for forming the same

Номер патента: US9401368B2. Автор: Yun Yang,ZHONGSHAN Hong. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-07-26.

Semiconductor structure and method for forming same

Номер патента: US20210343581A1. Автор: Hongmin WU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2021-11-04.

Method for forming a semiconductor image sensor device

Номер патента: US09570494B1. Автор: Sungkwon C. Hong,Gordon M. Grivna,David T. Price,Rick Jerome. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2017-02-14.

Method for manufacturing a semiconductor device and semiconductor device

Номер патента: US20210366764A1. Автор: Jochen Kraft,Georg Parteder,Raffaele Coppeta. Владелец: ams AG. Дата публикации: 2021-11-25.

Methods for forming fin field-effect transistors

Номер патента: US12068199B2. Автор: Chao-Cheng Chen,Ryan Chia-Jen Chen,Yih-Ann Lin,Chia Tai Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Semiconductor device and manufacturing method thereof

Номер патента: US09991290B2. Автор: Hideto Ohnuma,Masayuki Sakakura,Hideaki Kuwabara. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2018-06-05.

Semiconductor device and manufacturing method thereof

Номер патента: US09576986B2. Автор: Hideto Ohnuma,Masayuki Sakakura,Hideaki Kuwabara. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-02-21.

Semiconductor device and fabrication method thereof

Номер патента: US20210057272A1. Автор: Tiantian Zhang,Jingjing Tan. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2021-02-25.

Method for manufacturing semiconductor devices having a metallisation layer

Номер патента: US09887152B2. Автор: Rudolf Zelsacher,Paul Ganitzer. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2018-02-06.

Method for forming semiconductor device

Номер патента: US09755056B2. Автор: Ying-Tsung Chen,Chao-Hung Lin,Chih-Kai Hsu,Ssu-I Fu,Yu-Hsiang Hung,Jyh-Shyang Jenq,Shih-Hung Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2017-09-05.

Semiconductor device, and method for manufacturing semiconductor device

Номер патента: US09595584B2. Автор: Katsuhisa Nagao. Владелец: ROHM CO LTD. Дата публикации: 2017-03-14.

Semiconductor device and manufacturing method thereof

Номер патента: US09437620B2. Автор: Yoshitaka Dozen,Takuya Tsurume. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2016-09-06.

Semiconductor device and method for forming semiconductor device

Номер патента: US12100657B2. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Manufacturing method of semiconductor device

Номер патента: US20200279917A1. Автор: Yu-Chih Su,Yao-Jhan Wang,Che-Hsien Lin,Chun-jen Huang,Cheng-Yeh Huang,Te-Chang Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2020-09-03.

Method for manufacturing semiconductor device

Номер патента: US09859158B2. Автор: Youngmok KIM,Kyoung-Eun Uhm,Kyunglyong KANG,Hodae OH. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-01-02.

Method of forming junction of semiconductor device

Номер патента: US20090111233A1. Автор: Dong Ho Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-04-30.

Semiconductor device with self-aligned air gap and method for fabricating the same

Номер патента: US09640426B2. Автор: Jong-Min Lee,Il-Cheol RHO. Владелец: SK hynix Inc. Дата публикации: 2017-05-02.

Semiconductor device assembly, method for manufacturing same, and application thereof

Номер патента: US20240243095A1. Автор: Xin Huang,Limin Wang. Владелец: Chongqing Alpha And Omega Semiconductor Ltd. Дата публикации: 2024-07-18.

Method for dicing a wafer

Номер патента: US20070224780A1. Автор: Fu Tang Chu,Chi Yuam Chung. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2007-09-27.

Resonator and Preparation Method for Resonator

Номер патента: US20230318557A1. Автор: Chengliang SUN,Yang Zou,Yao Cai,Dawdon CHEAM,Bowoon SOON,Zhipeng DING,Binghui LIN. Владелец: Wuhan Memsonics Technologies Co Ltd. Дата публикации: 2023-10-05.

Process for forming the channel regions and the wells of semiconductor components

Номер патента: DE3012363C2. Автор: Alan Cowansville Quebec Aitken. Владелец: Mitel Corp. Дата публикации: 1986-04-03.

Method for forming an electrode with a layer of hemispherical grains thereon

Номер патента: US20020110993A1. Автор: Wengyi Chen,Chiuling Lee. Владелец: United Microelectronics Corp. Дата публикации: 2002-08-15.

Methods and arrangements for forming a tapered floating gate in non-volatile memory semiconductor devices

Номер патента: US5973353A. Автор: Lewis Shen,Wenge Yang. Владелец: Advanced Micro Devices Inc. Дата публикации: 1999-10-26.

Method for manufacturing semiconductor device including inline inspection

Номер патента: US09406571B2. Автор: Takuya Yoshida,Kazutoyo Takano. Владелец: Mitsubishi Electric Corp. Дата публикации: 2016-08-02.

Backside and sidewall metallization of semiconductor devices

Номер патента: US20240194486A1. Автор: Wen Hung HUANG,Yufu Liu,Kuan-Hsiang Mao,Che Ming Fang. Владелец: NXP BV. Дата публикации: 2024-06-13.

Manufacturing method of semiconductor device and semiconductor device

Номер патента: US09947553B2. Автор: Mamoru Yamagami,Yasuhiro Fuwa. Владелец: ROHM CO LTD. Дата публикации: 2018-04-17.

Semiconductor apparatus and method for producing the same

Номер патента: US09543252B2. Автор: Yoshiyuki Nakaki,Kei Yamamoto,Mamoru Terai,Shiori Idaka. Владелец: Mitsubishi Electric Corp. Дата публикации: 2017-01-10.

Mid-processing removal of semiconductor fins during fabrication of integrated circuit structures

Номер патента: US11887860B2. Автор: Anurag Jain,Szuya S. LIAO,Mehmet O. BAYKAN. Владелец: Intel Corp. Дата публикации: 2024-01-30.

Mid-processing removal of semiconductor fins during fabrication of integrated circuit structures

Номер патента: US20200227267A1. Автор: Anurag Jain,Szuya S. LIAO,Mehmet O. BAYKAN. Владелец: Intel Corp. Дата публикации: 2020-07-16.

Mid-processing removal of semiconductor fins during fabrication of integrated circuit structures

Номер патента: US20230343599A1. Автор: Anurag Jain,Szuya S. LIAO,Mehmet O. BAYKAN. Владелец: Intel Corp. Дата публикации: 2023-10-26.

Method for fabricating semiconductor package

Номер патента: US7413925B2. Автор: Yoshimi Egawa. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2008-08-19.

Method for polishing leads for semiconductor packages

Номер патента: US6726533B2. Автор: Takeyuki Sato. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2004-04-27.

Method for filling a space in a semiconductor

Номер патента: US11824122B2. Автор: Zheng Tao,Boon Teik CHAN,Waikin Li. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-11-21.

Method for filling a space in a semiconductor

Номер патента: US20210296500A1. Автор: Zheng Tao,Boon Teik CHAN,Waikin Li. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2021-09-23.

Method for dry-etching lithium niobate

Номер патента: EP4290556A1. Автор: Jian Liu,Kaidong Xu,Dongchen CHE,Taiyan PENG,Yingxiong FENG,Haicheng DAI. Владелец: Jiangsu Leuven Instruments Co Ltd. Дата публикации: 2023-12-13.

Method for forming a gate electrode having polycide structure in a semiconductor device

Номер патента: KR100260364B1. Автор: 박상훈. Владелец: 현대전자산업주식회사. Дата публикации: 2000-08-01.

DEVICE AND METHOD FOR CONTROLLING THE ETCH DEPTH DURING PLASMA ALTERNATE ETCHING OF SEMICONDUCTOR SUBSTRATES

Номер патента: FR2880470B1. Автор: Michel Puech,Nicolas Launay. Владелец: Alcatel SA. Дата публикации: 2007-04-20.

DEVICE AND METHOD FOR CONTROLLING THE ETCH DEPTH DURING PLASMA ALTERNATE ETCHING OF SEMICONDUCTOR SUBSTRATES

Номер патента: FR2880470A1. Автор: Michel Puech,Nicolas Launay. Владелец: Alcatel SA. Дата публикации: 2006-07-07.

Methods for polishing dielectric layer in forming semiconductor device

Номер патента: US11862472B2. Автор: Xiaohong Zhou. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-01-02.

Method for depositing an epitaxial layer on a substrate wafer of semiconductor material in a deposition apparatus

Номер патента: IL308678A. Автор: . Владелец: SILTRONIC AG. Дата публикации: 2024-01-01.

High frequency semiconductor device and producing the same

Номер патента: WO2004036650A1. Автор: Choong-Mo Nam. Владелец: Telephus Inc.. Дата публикации: 2004-04-29.

Method for forming a silicide layer of semiconductor device

Номер патента: US20030119309A1. Автор: Jeong Lee,Chang Ryoo,Yong Sohn. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-06-26.

Method for fabricating a semiconductor device having a device isolation trench

Номер патента: US8338246B2. Автор: Song Hyeuk Im. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-12-25.

Anti-fuse array of semiconductor device and method for forming the same

Номер патента: US9000560B2. Автор: Min Chul SUNG. Владелец: SK hynix Inc. Дата публикации: 2015-04-07.

Anti-fuse array of semiconductor device and method for forming the same

Номер патента: US20150179526A1. Автор: Min Chul SUNG. Владелец: SK hynix Inc. Дата публикации: 2015-06-25.

Method for forming step channel of semiconductor device

Номер патента: US20060141800A1. Автор: Young Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-06-29.

Method for forming step channel of semiconductor device

Номер патента: US7309656B2. Автор: Young Man Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-12-18.

Semiconductor device having a device isolation trench

Номер патента: US20070264789A1. Автор: Song Hyeuk Im. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-11-15.

Method for forming thin films of semiconductor devices

Номер патента: US20030124760A1. Автор: Seok Lee,Sung Joo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-07-03.

Method for fabricating semiconductor devices

Номер патента: US20020106863A1. Автор: In-Chul Jung,Seung-Hoon Sa. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-08-08.

Method for forming silicide of semiconductor device

Номер патента: US8105910B2. Автор: Hee-Jae Shin. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2012-01-31.

Formation method of semiconductor device structure

Номер патента: US09870955B2. Автор: Che-Cheng Chang,Yi-Jen Chen,Yung-Jung Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-16.

Formation method of semiconductor device structure with gate stacks

Номер патента: US12132111B2. Автор: Tsung-Yu CHIANG,Ya-Wen Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-29.

Protective structures for bond wires, methods for forming same, and test apparatus including such structures

Номер патента: US20020031847A1. Автор: Salman Akram. Владелец: Individual. Дата публикации: 2002-03-14.

Semiconductor Device and Method for Producing a Semiconductor Device

Номер патента: US20200185494A1. Автор: Rolf Weis,Ahmed Mahmoud,Richard Hensch. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2020-06-11.

Fuse of Semiconductor Device and Method for Forming the Same

Номер патента: US20090236687A1. Автор: Min Gu Ko. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-09-24.

A method of forming dual gate oxide layers of varying thickness on a single substrate

Номер патента: EP1145307A1. Автор: Jeffrey Lutze,Emmanuel de Muizon. Владелец: Philips Semiconductors Inc. Дата публикации: 2001-10-17.

Fuse of semiconductor device and method for forming the same

Номер патента: US8017454B2. Автор: Min Gu Ko. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-09-13.

Semiconductor structure and method for the forming same

Номер патента: US20200235016A1. Автор: Zhan Ying,Nan Wang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2020-07-23.

Semiconductor device and method for manufacturing the same

Номер патента: US09601566B2. Автор: Huilong Zhu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2017-03-21.

Semiconductor structure, method for forming semiconductor structure and memory

Номер патента: US12108591B2. Автор: Yiming Zhu,Erxuan PING. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-10-01.

Method for manufacturing semiconductor structure, and semiconductor structure

Номер патента: EP4276894A1. Автор: Jie Bai,Kang You. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-11-15.

Manufacturing method of semiconductor device and semiconductor device thereof

Номер патента: US09837376B2. Автор: Dong Jin Kim,Do Hyung Kim,Jin Han Kim,Glenn Rinne,Yeong Beom Ko. Владелец: Amkor Technology Inc. Дата публикации: 2017-12-05.

Cutting method and method of manufacturing semiconductor device

Номер патента: US20050012193A1. Автор: Kiyoshi Mita,Koujiro Kameyama. Владелец: Individual. Дата публикации: 2005-01-20.

Resistive random access memory devices and methods for forming the same

Номер патента: US20210151504A1. Автор: Frederick Chen. Владелец: Winbond Electronics Corp. Дата публикации: 2021-05-20.

Package structure and method for fabricating same

Номер патента: US20240047437A1. Автор: Mingxing ZUO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-08.

Manufacturing method of semiconductor device and semiconductor device thereof

Номер патента: US09490231B2. Автор: Dong Jin Kim,Do Hyung Kim,Jin Han Kim,Glenn Rinne,Yeong Beom Ko. Владелец: Amkor Technology Inc. Дата публикации: 2016-11-08.

Method for controlling sheet resistance of poly in fabrication of semiconductor device

Номер патента: US20090077509A1. Автор: Nan Soon CHOI. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-03-19.

Semiconductor device and method for fabricating the same

Номер патента: US09577043B2. Автор: Hyun Jung Lee,Seung Hun Lee,Sunjung Kim,Eunhye Choi,Jongryeol YOO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-02-21.

Method for fabricating semiconductor device

Номер патента: US09461172B2. Автор: HAIYANG Zhang,Jia Ren. Владелец: Semiconductor Manufacturing International Corp. Дата публикации: 2016-10-04.

Method of manufacturing semiconductor device

Номер патента: US20040115924A1. Автор: Min Yong Lee,Yong Seok Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-06-17.

Method for forming well of semiconductor device

Номер патента: US5759884A. Автор: Kang-Sik Youn. Владелец: LG Semicon Co Ltd. Дата публикации: 1998-06-02.

Manufacturing method of semiconductor device and semiconductor manufacturing apparatus

Номер патента: US20160079102A1. Автор: Kazuhiro Murakami,Shinya Fukayama,Yukifumi Oyama. Владелец: Toshiba Corp. Дата публикации: 2016-03-17.

Semiconductor devices and methods for forming semiconductor devices

Номер патента: US20040097048A1. Автор: Kwan Koh. Владелец: Anam Semiconductor Inc. Дата публикации: 2004-05-20.

Method for manufacturing semiconductor device

Номер патента: US20060141757A1. Автор: Kang Choi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-06-29.

Device and methods for characterization of semiconductor films

Номер патента: US20240264113A1. Автор: Michel DE KEERSMAECKER,Erin L. RATCLIFF,Neal R. Armstrong. Владелец: University of Arizona. Дата публикации: 2024-08-08.

Method for producing optoelectronic devices

Номер патента: US12112968B2. Автор: Ralph Wagner. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2024-10-08.

Semiconductor device and method for manufacturing the same

Номер патента: US09748141B2. Автор: Huilong Zhu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2017-08-29.

Manufacturing method of semiconductor device and semiconductor manufacturing apparatus

Номер патента: US09448065B2. Автор: Kazuhiro Murakami,Shinya Fukayama,Yukifumi Oyama. Владелец: Toshiba Corp. Дата публикации: 2016-09-20.

Secure inspection and marking of semiconductor wafers for trusted manufacturing thereof

Номер патента: US20210134682A1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2021-05-06.

Semiconductor structures and methods for forming the same

Номер патента: US12033933B2. Автор: Kaimin Lv. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-09.

Manufacturing method of semiconductor device

Номер патента: US12062552B2. Автор: Takashi Saito,Ryoichi Kato,Yuma Murata,Ryotaro Tsuruoka. Владелец: Fuji Electric Co Ltd. Дата публикации: 2024-08-13.

Method for fabricating semiconductor device

Номер патента: US20080157290A1. Автор: Eunjong SHIN. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-07-03.

Wafer, semiconductor device and method for manufacturing the same

Номер патента: WO2020103873A1. Автор: Chih-Wei Chang,Changhao QUAN,Dingyou LIN. Владелец: CHANGXIN MEMORY TECHNOLOGIES, INC.. Дата публикации: 2020-05-28.

Semiconductor device measurement method

Номер патента: US20220077004A1. Автор: Hongxiang Li. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-03-10.

Manufacturing method of a semiconductor device

Номер патента: US6605512B2. Автор: Yukihiro Kiyota. Владелец: HITACHI LTD. Дата публикации: 2003-08-12.

Method for removing non-bonding compound from polycrystalline materials on solar panel

Номер патента: US09498799B2. Автор: Shih-Wei Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-22.

Method for measuring thickness variations in a layer of a multilayer semiconductor structure

Номер патента: US20180347966A1. Автор: Oleg Kononchuk. Владелец: Soitec SA. Дата публикации: 2018-12-06.

Method for providing and utilizing rerouting resources

Номер патента: US20040072390A1. Автор: Steven Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2004-04-15.

Semiconductor device and method for manufacturing the same

Номер патента: US20220085021A1. Автор: Xinran Liu,Yule SUN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-03-17.

Semiconductor structure and method for fabricating same

Номер патента: US20230328965A1. Автор: Deyuan Xiao,GuangSu SHAO,Weiping BAI,Yunsong QIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-10-12.

Multilayer interconnect board and multilayer semiconductor device

Номер патента: US20030067056A1. Автор: Yasushi Araki. Владелец: Shinko Electric Industries Co Ltd. Дата публикации: 2003-04-10.

Method for manufacturing a floating gate of a dual gate of semiconductor device

Номер патента: US20040224468A1. Автор: Sung-Bo Hwang. Владелец: MagnaChip Semiconductor Ltd. Дата публикации: 2004-11-11.

Radiation emitting semiconductor device

Номер патента: US20030173575A1. Автор: Dominik Eisert,Uwe Strauss,Volker Haerle,Frank Kuehn,Manfred Mundbrod-Vangerow,Ulrich Zehnder. Владелец: Individual. Дата публикации: 2003-09-18.

Method for manufacturing an edge termination for a silicon carbide power semiconductor device

Номер патента: EP3180799A1. Автор: Jan Vobecky. Владелец: ABB Schweiz AG. Дата публикации: 2017-06-21.

Gate pad protection structure for power semiconductor device and manufacturing method therefor

Номер патента: US20030157753A1. Автор: Jun Zeng,Ming-Jiang Zhou,Tzong-Shiann Wu. Владелец: Pyramis Corp. Дата публикации: 2003-08-21.

Semiconductor device and manufacturing method thereof

Номер патента: US20080157285A1. Автор: Shunichi Tokitoh. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2008-07-03.

METHOD FOR MEASURING THE THICKNESS VARIATIONS OF A LAYER OF A MULTILAYER SEMICONDUCTOR STRUCTURE

Номер патента: FR2998047A1. Автор: Oleg Kononchuk,Didier Dutartre. Владелец: Soitec SA. Дата публикации: 2014-05-16.

Method for removing at least regions of a layer of a layer stack

Номер патента: CA2782200A1. Автор: Vasile Raul Moldovan,Christoph Tobias Neugebauer. Владелец: Manz AG. Дата публикации: 2011-06-09.

Method for measuring a overlay status in a fabricating process of a semiconductor device

Номер патента: KR100688721B1. Автор: 지승민. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-02-28.

Wiring Layer of Highly Integrated Semiconductor Devices

Номер патента: KR970013211A. Автор: 김승인. Владелец: 김광호. Дата публикации: 1997-03-29.

Semiconductor device having stacked capacitor and method for forming the same

Номер патента: US20240268094A1. Автор: Yen-Min RUAN. Владелец: Winbond Electronics Corp. Дата публикации: 2024-08-08.

Substrate imprinted with a pattern for forming isolated device regions

Номер патента: US09806258B2. Автор: James A. Brug,Lihua Zhao,Carl A. TAUSSIG. Владелец: Applied Materials Inc. Дата публикации: 2017-10-31.

Nanowire semiconductor device

Номер патента: US09608099B1. Автор: Effendi Leobandung,Tenko Yamashita,Wilfried E. Haensch. Владелец: International Business Machines Corp. Дата публикации: 2017-03-28.

Manufacturing method for semiconductor structure, and semiconductor structure

Номер патента: EP4358140A1. Автор: Yi Tang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-04-24.

Method for forming a DRAM semiconductor device with a sense amplifier

Номер патента: US20070148850A1. Автор: Dong Chul Koo. Владелец: Individual. Дата публикации: 2007-06-28.

Semiconductor optical devices and method for forming

Номер патента: US7494832B2. Автор: Yang Du,Leo Mathew,Voon-Yew Thean. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2009-02-24.

Method for fabricating thin photovoltaic cells

Номер патента: US09484487B2. Автор: Alex Masolin,Maria Recaman Payo. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2016-11-01.

Method for Forming a Semiconductor Device

Номер патента: US20230197831A1. Автор: Hans Mertens,Boon Teik CHAN,Eugenio Dentoni Litta. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-06-22.

Method for Forming a Semiconductor Device

Номер патента: US20230197522A1. Автор: Naoto Horiguchi,Julien Ryckaert,Boon Teik CHAN,Anne Vandooren. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-06-22.

A method for forming a semiconductor device

Номер патента: EP4391038A1. Автор: Boon Teik CHAN,Hsiao-Hsuan Liu,Pieter Schuddinck. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Method for producing wafer notches with rounded corners and a tool therefor

Номер патента: US20030089931A1. Автор: Richard Guldi,James Garvin,Moitreyee Mukerjee-Roy. Владелец: Individual. Дата публикации: 2003-05-15.

Production of stamps, masks or templates for semiconductor device manufacturing

Номер патента: US20100129735A1. Автор: Jelm Franse. Владелец: Singulus Mastering BV. Дата публикации: 2010-05-27.

Semiconductor Device and Method for Manufacturing Semiconductor Device

Номер патента: US20110260165A1. Автор: Hideto Ohnuma. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2011-10-27.

Transistor and method for forming the same

Номер патента: US8741708B2. Автор: Leo Liu. Владелец: Semiconductor Manufacturing International Corp. Дата публикации: 2014-06-03.

Method for manufacturing semiconductor device

Номер патента: US09893194B2. Автор: Yoshitaka Yamamoto,Hideomi Suzawa,Takayuki Inoue,Tetsuhiro Tanaka. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2018-02-13.

Apparatuses and methods for coupling a plurality of semiconductor devices

Номер патента: US20240290752A1. Автор: Timothy M. Hollis,Matthew B. Leslie,Roy E. Greeff. Владелец: Micron Technology Inc. Дата публикации: 2024-08-29.

Method for transfer of semiconductor devices

Номер патента: US09871023B2. Автор: Andrew Huska,Cody Peterson,Clinton Adams,Sean Kupcow. Владелец: Rohinni LLC. Дата публикации: 2018-01-16.

Semiconductor devices having stressor regions and related fabrication methods

Номер патента: US20110303954A1. Автор: Bin Yang,Man Fai NG. Владелец: Globalfoundries Inc. Дата публикации: 2011-12-15.

Method for Forming Backside Illumination CMOS Image Sensor

Номер патента: US20230290805A1. Автор: Han Wang,XIAO FAN,Guanglong Chen. Владелец: Hua Hong Semiconductor Wuxi Co Ltd. Дата публикации: 2023-09-14.

Method for producing optoelectronic semiconductor devices

Номер патента: US09966370B2. Автор: Simon Jerebic,Frank Singer,Jürgen Moosburger,Markus Pindl. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2018-05-08.

Compositions and methods for semiconductor processing and devices formed therefrom

Номер патента: US09793188B2. Автор: Arjun Mendiratta. Владелец: Equity Solar Inc. Дата публикации: 2017-10-17.

Method for the fabrication of bonding solder layers on metal bumps with improved coplanarity

Номер патента: US20130052817A1. Автор: Tim Hsiao. Владелец: WIN Semiconductors Corp. Дата публикации: 2013-02-28.

Method for manufacturing capacitor array, capacitor array, and semiconductor device

Номер патента: US20230231007A1. Автор: Liutao ZHOU,Shuo Pan. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-07-20.

Semiconductor device and production method therefor

Номер патента: US09425120B2. Автор: Akira Nagai,Kazutaka Honda,Makoto Satou. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2016-08-23.

System and method for forming solder bumps

Номер патента: WO2021018466A1. Автор: Jae-Woong Nah,Jeng-Bang Yau,Peter Jerome Sorce,Eric Peter Lewandowski. Владелец: Ibm United Kingdom Limited. Дата публикации: 2021-02-04.

Semiconductor device and method of manufacturing the same and semiconductor manufacturing device

Номер патента: US8815652B2. Автор: Fumihiko Inoue,Kentaro Sera. Владелец: SPANSION LLC. Дата публикации: 2014-08-26.

System and method for operating an electric power converter

Номер патента: EP2590211A3. Автор: Robert Gregory Wagoner,Allen Michael Ritter,Paul Stephen Pate. Владелец: General Electric Co. Дата публикации: 2018-01-17.

Semiconductor device and manufacturing method of semiconductor device

Номер патента: US12101935B2. Автор: Seo Hyun Kim,In Ku Kang. Владелец: SK hynix Inc. Дата публикации: 2024-09-24.

Method for producing optoelectronic semiconductor devices and optoelectronic semiconductor device

Номер патента: US09780078B2. Автор: Lutz Hoeppel. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2017-10-03.

Conductive paste for front electrode of semiconductor device and method of manufacturing thereof

Номер патента: US9023253B2. Автор: Xiaoli Liu,Delin Li,Ran Guo. Владелец: Soltrium Technology Ltd. Дата публикации: 2015-05-05.

Manufacturing method of semiconductor device

Номер патента: US20110183470A1. Автор: Ryosuke Watanabe. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2011-07-28.

Conductive paste for front electrode of semiconductor device and method of manufacturing thereof

Номер патента: US20150162481A1. Автор: Xiaoli Liu,Delin Li. Владелец: Soltrium Tech Ltd Shenzhen. Дата публикации: 2015-06-11.

Conductive paste for front electrode of semiconductor device and method of manufacturing thereof

Номер патента: US20150159026A1. Автор: Xiaoli Liu,Delin Li. Владелец: Soltrium Tech Ltd Shenzhen. Дата публикации: 2015-06-11.

Method for fabrication of semiconductor device

Номер патента: US12062722B2. Автор: Shunpei Yamazaki,Masahiro Takahashi,Tatsuya Honda,Takehisa Hatano. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2024-08-13.

Semiconductor device with programmable structure and method for fabricating the same

Номер патента: US20230269935A1. Автор: Wei-Zhong Li,Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2023-08-24.

Iii-v semiconductor device

Номер патента: US20220406926A1. Автор: Benoit Bakeroot. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2022-12-22.

Manufacturing method of semiconductor device

Номер патента: WO2007043285A9. Автор: Ryosuke Watanabe. Владелец: Ryosuke Watanabe. Дата публикации: 2007-06-07.

Semiconductor device with programmable structure and method for fabricating the same

Номер патента: US20240334687A1. Автор: Wei-Zhong Li,Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-03.

Method for manufacturing a semiconductor device

Номер патента: US09806187B2. Автор: Martin Poelzl. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2017-10-31.

Optical semiconductor device

Номер патента: EP3754799A1. Автор: Yutaka Ohki,Ryuichiro Minato. Владелец: Furukawa Electric Co Ltd. Дата публикации: 2020-12-23.

Systems and methods for operating high voltage switches

Номер патента: US09575124B2. Автор: William Chau,Brian Cheung,Darmin Jin. Владелец: SanDisk Technologies LLC. Дата публикации: 2017-02-21.

Method for forming a semiconductor device contact structure comprising a contour

Номер патента: US6077740A. Автор: Nanseng Jeng,Paul J. Schuele,Steven T. Harshfield. Владелец: Micron Technology Inc. Дата публикации: 2000-06-20.

A method for controlling a line converter on board a track-bound vehicle

Номер патента: CA2993897C. Автор: Johann Galic. Владелец: BOMBARDIER TRANSPORTATION GMBH. Дата публикации: 2024-01-02.

System and method for protecting secret data items using multiple tiers of encryption and secure element

Номер патента: US11997191B2. Автор: Wei Li. Владелец: Blue Space Information Technology Co Ltd. Дата публикации: 2024-05-28.

Method for optimizing morphology and performance of active layer of organic solar cell

Номер патента: CN113097391B. Автор: 马伟,冯纪蕊,辛景明. Владелец: Xian Jiaotong University. Дата публикации: 2022-12-09.

METHODS FOR PRODUCING PIEZOELECTRIC BULK AND CRYSTALLINE SEED LAYERS OF DIFFERENT C-AXIS ORIENTATION DISTRIBUTIONS

Номер патента: US20170111023A1. Автор: Belsick John,McCarron Kevin. Владелец: . Дата публикации: 2017-04-20.

System and method for access control of a plurality of instruments embedded in a semiconductor device

Номер патента: US12111356B2. Автор: Erik Larsson. Владелец: Individual. Дата публикации: 2024-10-08.

Method for determining the temperature of an active layer of a heating resistor

Номер патента: US12071042B2. Автор: Maximilian Benkert,Egor SAWAZKI. Владелец: Vitesco Technologies GmbH. Дата публикации: 2024-08-27.

System and method for detecting an anomaly in a hidden layer of a multi-layer structure

Номер патента: EP2462433A1. Автор: James P. Dunne,Edward V. White. Владелец: Boeing Co. Дата публикации: 2012-06-13.

System and method for detecting an anomaly in a hidden layer of a multi-layer structure

Номер патента: WO2011016936A1. Автор: James P. Dunne,Edward V. White. Владелец: The Boeing Company. Дата публикации: 2011-02-10.

Method for determining a temperature of a depletion layer of a semiconductor switch, and device

Номер патента: US20240288318A1. Автор: Ingmar Kallfass,Kanuj Sharma. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2024-08-29.

System and method for updating an instruction cache following a branch instruction in a semiconductor device

Номер патента: US9575761B2. Автор: Isao Kotera. Владелец: Renesas Electronics Corp. Дата публикации: 2017-02-21.

System and method for updating an instruction cache following a branch instruction in a semiconductor device

Номер патента: US09575761B2. Автор: Isao Kotera. Владелец: Renesas Electronics Corp. Дата публикации: 2017-02-21.

Method of and device for forming an image of a layer of a three-dimensional object

Номер патента: US4461016A. Автор: Hermann Weiss,Erhard Klotz. Владелец: US Philips Corp. Дата публикации: 1984-07-17.

System and method for access control of a plurality of instruments embedded in a semiconductor device

Номер патента: US20240061041A1. Автор: Erik Larsson. Владелец: Individual. Дата публикации: 2024-02-22.

Method for Determining the Temperature of an Active Layer of a Heating Resistor

Номер патента: US20200276901A1. Автор: Maximilian Benkert,Egor SAWAZKI. Владелец: Vitesco Technologies GmbH. Дата публикации: 2020-09-03.

Apparatus and methods for providing a route using a map layer of one or more sound events

Номер патента: US11898870B2. Автор: Leon Stenneth,Jerome Beaurepaire,Jeremy Young. Владелец: Here Global BV. Дата публикации: 2024-02-13.

Method for continuously extruding and depositing an unbroken layer of mortar on a work surface or substrate

Номер патента: US20190024395A9. Автор: Douglas S. Campbell. Владелец: Individual. Дата публикации: 2019-01-24.

Semiconductor device and method of manufacturing the same and semiconductor manufacturing device

Номер патента: US20080316790A1. Автор: Fumihiko Inoue,Kentaro Sera. Владелец: SPANSION LLC. Дата публикации: 2008-12-25.

Arrangement and method for dynamic braking of a permanent magnet motor and an elevator utilizing thereof

Номер патента: US11855571B2. Автор: Mikko Paakkinen. Владелец: Kone Corp. Дата публикации: 2023-12-26.

Gate driving circuit and method for driving semiconductor device

Номер патента: US09543928B2. Автор: Keisuke Yamashiro,Hiromu Takubo. Владелец: Fuji Electric Co Ltd. Дата публикации: 2017-01-10.

Method for forming a semiconductor device with a single-sided buried strap

Номер патента: US20080268590A1. Автор: Neng-Tai Shih,Ming-Cheng Chang. Владелец: Nanya Technology Corp. Дата публикации: 2008-10-30.

Methods for forming three-dimensional memory devices, and related structures

Номер патента: US20120199987A1. Автор: Nishant Sinha,Krishna K. Parat. Владелец: Micron Technology Inc. Дата публикации: 2012-08-09.

Method for manufacturing a titanium alloy for biomedical devices

Номер патента: US09677162B2. Автор: Thierry Gloriant,Doina Gordin. Владелец: INSTITUT NATIONAL DES SCIENCES APPLIQUEES DE RENNES. Дата публикации: 2017-06-13.

Method for determining a cooling structure

Номер патента: WO2024069484A1. Автор: Remco Van Erp,Miguel Angel Salazar De Troya,Athanasios Boutsikakis. Владелец: Corintis Sa. Дата публикации: 2024-04-04.

Method for deterrmining a cooling structure

Номер патента: EP4345679A1. Автор: Remco Van Erp,Miguel Angel Salazar De Troya,Athanasios Boutsikakis. Владелец: Corintis Sa. Дата публикации: 2024-04-03.

Methods for driving electro-optic displays

Номер патента: US12085829B2. Автор: Bryan Hans Chan,Craig Lin. Владелец: E Ink Corp. Дата публикации: 2024-09-10.

Test structures and testing methods for semiconductor devices

Номер патента: US09891273B2. Автор: Wensen Hung,Yung-Hsin Kuo,Po-Shi Yao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-13.

Method and device for transferring layers of articles between adjacent modules

Номер патента: US09643801B2. Автор: Erhard Beer,Martin Osterhammer. Владелец: KRONES AG. Дата публикации: 2017-05-09.

Apparatuses and methods for providing internal clock signals of different clock frequencies in a memory device

Номер патента: US09818462B1. Автор: Jens Polney. Владелец: Micron Technology Inc. Дата публикации: 2017-11-14.

System and method for reducing dynamic range in images of patterned regions of semiconductor wafers

Номер патента: US09703207B1. Автор: Grace Chen,Daniel L. Cavan. Владелец: KLA Tencor Corp. Дата публикации: 2017-07-11.

Method for forming the reticle bit line bottom plug of semiconductor device

Номер патента: KR100431991B1. Автор: 김광철,김문회,최상태. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-05-22.

Method for forming photomask pattern to control critical Demension of semiconductor device

Номер патента: KR101096979B1. Автор: 한덕선,김미혜. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-12-20.

Devices, systems, and methods for suturing tissue

Номер патента: US7691112B2. Автор: David R. Widomski,Carol A. Devellian,Andrzej Chanduszko. Владелец: NMT Medical Inc. Дата публикации: 2010-04-06.

MACHINE AND METHOD FOR FORMING A SEALED JOINT BETWEEN LAYERS OF CARDBOARD COATED WITH THERMOPLASTIC MATERIAL

Номер патента: FR2352710A1. Автор: . Владелец: Ex-Cell-O Corp. Дата публикации: 1977-12-23.

System and method for generating an outer layer representation of an object

Номер патента: US20130176311A1. Автор: Wen-Jun Wu,Natalia Hortig,Kevin Mojek. Владелец: CHRYSLER GROUP LLC. Дата публикации: 2013-07-11.

Method for producing fine-grained solids and/or for depositing layers of poorly soluble compounds

Номер патента: AU6581001A. Автор: Gerald Ziegenbalg. Владелец: Individual. Дата публикации: 2001-12-11.

Method for unloading and blending layered stacks of bricks or similar articles

Номер патента: US3603466A. Автор: Hans M L Lingl Jr. Владелец: LINGL BELL CORP. Дата публикации: 1971-09-07.

Coated cutting tool and method for making coating layer

Номер патента: US20230286056A1. Автор: Dong Seob Kim,Geun Woo Park,Hye Min AHN. Владелец: YG-1 Co Ltd. Дата публикации: 2023-09-14.

Method for making an abrading tool with discontinuous diamond abrading surfaces

Номер патента: US4078906A. Автор: Thomas R. Green. Владелец: Elgin Diamond Products Co Inc. Дата публикации: 1978-03-14.

Layer system having at least one mixed crystal layer of a polyoxide

Номер патента: MY151941A. Автор: Christian Wohlrab,Jürgen Ramm,Beno Widrig,Michael Ante. Владелец: Oerlikon Trading Ag Trübbach. Дата публикации: 2014-07-31.

Gaming system and method for determining awards based on layering of symbols

Номер патента: US20180218563A1. Автор: David Twomey,Jennifer Bautista. Владелец: INTERNATIONAL GAME TECHNOLOGY. Дата публикации: 2018-08-02.

Apparatus and method for removal of a segment of a layer of a multi-layer laminate

Номер патента: US20160107433A1. Автор: Ekchian Jack A.. Владелец: . Дата публикации: 2016-04-21.

SYSTEM AND METHOD FOR UPDATING AN INSTRUCTION CACHE FOLLOWING A BRANCH INSTRUCTION IN A SEMICONDUCTOR DEVICE

Номер патента: US20170147498A1. Автор: Kotera Isao. Владелец: . Дата публикации: 2017-05-25.

METHOD FOR DETERMINING A CHARACTERISTIC OF A SURFACE LAYER OF A FUSER ELEMENT

Номер патента: US20150168891A1. Автор: NNAFIE Hassan,VAN HERPEN Wilhelmus M.. Владелец: OCE-TECHNOLOGIES B.V.. Дата публикации: 2015-06-18.

Method for Determining the Temperature of an Active Layer of a Heating Resistor

Номер патента: US20200276901A1. Автор: Sawazki Egor,Benkert Maximilian. Владелец: Vitesco Technologies GmbH. Дата публикации: 2020-09-03.

DEVICE AND METHOD FOR INSPECTING THE STATUS OF A SURFACE LAYER OF A WALL.

Номер патента: FR3035969B1. Автор: Jean-Luc Garciaz. Владелец: Laboratoire Detudes Et De Rech Sur Les Materiaux. Дата публикации: 2019-07-12.

Method for controlling the thickness of the insulation layer of thin film fluxgate

Номер патента: KR100668770B1. Автор: 장한성. Владелец: 주식회사 마이크로게이트. Дата публикации: 2007-01-12.

DEVICE AND METHOD FOR INSPECTING THE STATUS OF A SURFACE LAYER OF A WALL.

Номер патента: FR3035969A1. Автор: Jean-Luc Garciaz. Владелец: Laboratoire D'etudes Et De Rech Sur Les Materiaux. Дата публикации: 2016-11-11.

Method for determining an etch profile of a layer of a wafer for a simulation system

Номер патента: TW202010995A. Автор: 范期翔,幼平 張,陳峰,趙望石. Владелец: 荷蘭商Asml荷蘭公司. Дата публикации: 2020-03-16.

PROCEDURE FOR FORMING A BINDING LAYER BETWEEN LAYERS OF ROAD SURFACE MATERIAL

Номер патента: ES501427A0. Автор: . Владелец: Skanska Cementgjuteriet AB. Дата публикации: 1982-08-16.

Method for determining the level of a submerged layer of liquified material

Номер патента: CA2190020A1. Автор: Bernard E. Speranza. Владелец: Individual. Дата публикации: 1998-05-08.

METHOD FOR GROWING NON-POLAR M-PLANE EPITAXIAL LAYER OF WURTZITE SEMICONDUCTORS ON SINGLE CRYSTAL OXIDE SUBSTRATES

Номер патента: US20150004435A1. Автор: CHANG Li,HO YEN-TENG. Владелец: . Дата публикации: 2015-01-01.

METHOD FOR CONTINUOUSLY EXTRUDING AND DEPOSITING AN UNBROKEN LAYER OF MORTAR ON A WORK SURFACE OR SUBSTRATE

Номер патента: US20190024395A9. Автор: CAMPBELL Douglas S.. Владелец: . Дата публикации: 2019-01-24.

METHOD FOR CONTINUOUSLY EXTRUDING AND DEPOSITING AN UNBROKEN LAYER OF MORTAR ON A WORK SURFACE OR SUBSTRATE

Номер патента: US20170101792A1. Автор: CAMPBELL Douglas S.. Владелец: . Дата публикации: 2017-04-13.

Method for treating titanium objects with a surface layer of mixed tantalum and titanium oxides

Номер патента: WO2008006379A2. Автор: Bo Gillesberg,Søren ERIKSEN. Владелец: Danfoss A/S. Дата публикации: 2008-01-17.

METHOD FOR MANUFACTURING A WINDOWED PART WITH A LAYER OF EXPANDED MATERIAL AND PART OBTAINED

Номер патента: FR2462260A1. Автор: Harald Frick,Herwig Schwarz. Владелец: Henkel Teroson GmbH. Дата публикации: 1981-02-13.

Method for applying a cant to a metal layer of a cylinder head gasket and cylinder head gasket

Номер патента: DE19611092A1. Автор: Hans-Rainer Dr Zerfas. Владелец: ELRINGKLINGER AG. Дата публикации: 1997-09-25.

Method for embedding reinforced concrete structure on surface layer of anchored carbon fiber

Номер патента: CN109457991B. Автор: 孙伟,何涛,楼铁炯. Владелец: LANZHOU UNIVERSITY. Дата публикации: 2021-10-08.

Method for manufacturing polymer used as electron transfer layer of solar cell

Номер патента: CN102976986A. Автор: 张俊,梅欣. Владелец: LIYANG PRODUCTIVITY PROMOTION CENTER. Дата публикации: 2013-03-20.

Method for collecting carbon dioxide enhanced coal gas layer of deep low permeability coal bed

Номер патента: CN112761589A. Автор: 刘金锋,朱煜珣. Владелец: Sun Yat Sen University. Дата публикации: 2021-05-07.

A manufacturing method for high frequency weldable mat laminated with layers of different materials

Номер патента: KR20200091667A. Автор: 이태수. Владелец: (주)아이앤에스. Дата публикации: 2020-07-31.

METHOD FOR REINFORCING SHEATH SHEETS FLATTED WITH A LAYER OF STRIPS

Номер патента: FR2383011A1. Автор: . Владелец: Windmoeller and Hoelscher KG. Дата публикации: 1978-10-06.

Method for coating an elastomeric material with a layer of antitoxic material

Номер патента: CA2792984A1. Автор: Pierre J. Messier,David O'hayon. Владелец: TrioMed Innovations Corp. Дата публикации: 2010-10-28.

METHOD FOR LOCATING HYDRAULIC BARRIERS WITHIN A GEOLOGICAL LAYER OF GAS STORAGE

Номер патента: FR2969769B1. Автор: Patrick Rasolofosaon,Sallee Noalwenn Dubos. Владелец: IFP Energies Nouvelles IFPEN. Дата публикации: 2012-12-28.

Sealing method for horse riding area floor, involves depositing layer of sediment material onto floor

Номер патента: DE10218647A1. Автор: Peter Weiers,Wilfried Crins. Владелец: Wilfried Crins. Дата публикации: 2003-11-06.

Semiconductor device test system and method

Номер патента: US20080246505A1. Автор: Carsten Ohlhoff,Markus Kollwitz. Владелец: Qimonda AG. Дата публикации: 2008-10-09.

On-wafer burn-in of semiconductor devices using thermal rollover

Номер патента: US20040119486A1. Автор: Charlie WANG,Hong Hou,Wenlin Luo. Владелец: Individual. Дата публикации: 2004-06-24.

Standoffs for passive alignment of semiconductor chip and coupling bench

Номер патента: US20030138188A1. Автор: Yee Lam,Hwi Lim,Cher Randall Cha,Kian Teo. Владелец: DENSELIGHT SEMICONDUCTORS PTE LTD. Дата публикации: 2003-07-24.

Systems and methods for acoustic emission monitoring of semiconductor devices

Номер патента: EP3500850A2. Автор: Gal Shaul,Saar Yoskovitz,Ori NEGRI,Eduard Rudyk. Владелец: Augury Systems Ltd. Дата публикации: 2019-06-26.

Systems and methods for acoustic emission monitoring of semiconductor devices

Номер патента: US20230273159A1. Автор: Gal Shaul,Saar Yoskovitz,Ori NEGRI,Eduard Rudyk. Владелец: Augury Systems Ltd. Дата публикации: 2023-08-31.

Temporary mechanical stabilization of semiconductor cavities

Номер патента: US20180086632A1. Автор: Joachim Mahler,Alfred Sigl,Daniel Porwol,Dominic Maier. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-03-29.

Method for forming thin film

Номер патента: WO2003041142A1. Автор: Won-Yong Koh,Choon-Soo Lee. Владелец: Genitech Co., Ltd.. Дата публикации: 2003-05-15.

Method for forming thin film

Номер патента: EP1454347A1. Автор: Won-Yong 105-605 Hanul Apt. KOH,Choon-Soo 113-402 Hanmaeul Apt. 200-4 LEE. Владелец: Genitech Co Ltd. Дата публикации: 2004-09-08.

Temporary mechanical stabilization of semiconductor cavities

Номер патента: US09988262B2. Автор: Joachim Mahler,Alfred Sigl,Daniel Porwol,Dominic Maier. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-06-05.

Method for observing tungsten plug of semiconductor device microscopically

Номер патента: US5989930A. Автор: Shu-Ying Lu,Fei-Chun Tseng. Владелец: United Microelectronics Corp. Дата публикации: 1999-11-23.

Method for the surface treatment of a semiconductor substrate

Номер патента: US09981471B2. Автор: Fabrizio Porro. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2018-05-29.

Low α-dose tin or tin alloy, and method for producing same

Номер патента: US09394590B2. Автор: Gaku Kanou. Владелец: JX Nippon Mining and Metals Corp. Дата публикации: 2016-07-19.

Method for increasing the surface adhesion of a layer of epoxy resin on a metal object

Номер патента: CA1058983A. Автор: Heinz Haferkamp,Willi Domalski. Владелец: Salzgitter AG. Дата публикации: 1979-07-24.

FLEXIBLE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001173A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Method for Manufacturing the Same

Номер патента: US20120001180A1. Автор: Yoshizumi Kensuke,YOKOI Tomokazu. Владелец: . Дата публикации: 2012-01-05.

ISOLATION REGION, SEMICONDUCTOR DEVICE AND METHODS FOR FORMING THE SAME

Номер патента: US20120001198A1. Автор: Zhu Huilong,Yin Haizhou,Luo Zhijiong. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003811A1. Автор: . Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003823A1. Автор: Sasaki Makoto,NISHIGUCHI Taro,HARADA Shin,Okita Kyoko,Namikawa Yasuo. Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

Semiconductor Device Comprising Through Hole Vias Having a Stress Relaxation Mechanism

Номер патента: US20120001330A1. Автор: Huisinga Torsten,Grillberger Michael,Hahn Jens. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

METHOD FOR FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20120003820A1. Автор: FURUYA Akira,Kitamura Takamitsu,Nakata Ken,Makabe Isao,Yui Keiichi. Владелец: SUMITOMO ELECTRIC INDUSTRIES LTD.. Дата публикации: 2012-01-05.

Method for forming isolation of air buffer trench elements in semiconductor devices

Номер патента: KR19980085786A. Автор: 김성의. Владелец: 윤종용. Дата публикации: 1998-12-05.

Method for forming one layer or multiple layers of metal patterns on surface of ceramic products

Номер патента: CN101376602A. Автор: 林敏�. Владелец: Individual. Дата публикации: 2009-03-04.

Method for forming concave portion for phosphor layer of PDP for color display

Номер патента: JP2684716B2. Автор: 元雄 菅原. Владелец: Fujitsu General Ltd. Дата публикации: 1997-12-03.

Method for manufacturing reused fresh-keeping isolation layer in rubber tire preparation

Номер патента: CN103112110A. Автор: 卢建忠. Владелец: Individual. Дата публикации: 2013-05-22.

Method for balancing gain errors of active optical fiber loop of semiconductor optical amplifier

Номер патента: CN102394470A. Автор: 韩芳,杨义,葛华勇,肖中银,许文凯. Владелец: DONGHUA UNIVERSITY. Дата публикации: 2012-03-28.

Method for application of coating

Номер патента: RU2070943C1. Автор: Эрнст Михайлович Волин. Владелец: Эрнст Михайлович Волин. Дата публикации: 1996-12-27.

Method for Removing at least Sections of a Layer of a Layer Stack

Номер патента: US20120238049A1. Автор: Moldovan Vasile Raul,Neugebauer Christoph Tobias. Владелец: Manz Automation AG. Дата публикации: 2012-09-20.

Method for manufacturing silica-based film, silica-based film, insulating film, and semiconductor device

Номер патента: JP3941327B2. Автор: 淳 塩田,孝治 住谷. Владелец: JSR Corp. Дата публикации: 2007-07-04.

Optimization method for mixture ratio of components of working layer of metal plastic composite material

Номер патента: CN101567020B. Автор: 陈保磊,骆志高,庞朝利. Владелец: Jiangsu University. Дата публикации: 2011-06-01.

Method for increasing writing speed of floating body effect storage unit and semiconductor device

Номер патента: CN102437124B. Автор: 周军,俞柳江. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2014-01-08.

Measuring method for modulation period and uniformity of multiple layers of films

Номер патента: CN105259194A. Автор: 李慧,韩滨,付德君,王泽松. Владелец: YICHANG HOUHUANG VACUUM TECHNOLOGY Co Ltd. Дата публикации: 2016-01-20.

Method for combination of injected plastic with multi-layer of films

Номер патента: TW200720052A. Автор: mao-rong Chen. Владелец: Chen Han Prec Mould Co Ltd. Дата публикации: 2007-06-01.

Method for fabricating inner connecting lines in insulating layer of wafer, and structure

Номер патента: CN100392809C. Автор: 林国世,邱素萍. Владелец: United Microelectronics Corp. Дата публикации: 2008-06-04.

Method for fabricating inner connecting lines in insulating layer of wafer, and structure

Номер патента: CN1797708A. Автор: 林国世,邱素萍. Владелец: United Microelectronics Corp. Дата публикации: 2006-07-05.

Manufacture method for laser cladded composite pipe with three layers of metals

Номер патента: CN102465287A. Автор: 陈江,张静波,赵爽. Владелец: DALU LASER TECHNOLOGY Co Ltd SHENYANG. Дата публикации: 2012-05-23.

ISOLATION METHOD IN SEMICONDUCTOR DEVICE

Номер патента: US20120003809A1. Автор: KIM Young Deuk. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

Номер патента: US20120001346A1. Автор: SEO Dae-Young,KIM Doo-Kang. Владелец: . Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер патента: US20120003795A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120001226A1. Автор: . Владелец: Sanken Electric Co., Ltd.. Дата публикации: 2012-01-05.

Semiconductor Device and Method for Forming the Same

Номер патента: US20120001229A1. Автор: Zhu Huilong,Liang Qingqing. Владелец: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES. Дата публикации: 2012-01-05.

CONNECTING MATERIAL, METHOD FOR MANUFACTURING CONNECTING MATERIAL AND SEMICONDUCTOR DEVICE

Номер патента: US20120000965A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device Including Ultra Low-K (ULK) Metallization Stacks with Reduced Chip-Package Interaction

Номер патента: US20120001323A1. Автор: . Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

NITRIDE COMPOUND SEMICONDUCTOR ELEMENT AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120002693A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING OF SAME

Номер патента: US20120001321A1. Автор: IMAMURA Tomomi,Natsuda Tetsuo,Nishijo Yoshinosuke. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

METHOD FOR FORMING IMAGE SENSOR WITH SHIELD STRUCTURES

Номер патента: US20120003782A1. Автор: Byun Jeong Soo,Korobov Vladimir,Pohland Oliver. Владелец: . Дата публикации: 2012-01-05.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20120003829A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Semiconductor Light Emitting Device and Method for Manufacturing the Same

Номер патента: US20120001202A1. Автор: Horng Ray-Hua. Владелец: NATIONAL CHENG KUNG UNIVERSITY. Дата публикации: 2012-01-05.

METHOD FOR FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20120003821A1. Автор: . Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001177A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME

Номер патента: US20120001234A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ELECTRODE STRUCTURE, METHOD OF FABRICATING THE SAME, AND SEMICONDUCTOR DEVICE INCLUDING THE ELECTRODE STRUCTURE

Номер патента: US20120001267A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001168A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120001169A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001170A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

OPTICAL ELEMENT AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120002285A1. Автор: Matsuda Manabu. Владелец: FUJITSU LIMITED. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120003797A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

METHODS FOR SPUTTERING A RESISTIVE TRANSPARENT BUFFER THIN FILM FOR USE IN CADMIUM TELLURIDE BASED PHOTOVOLTAIC DEVICES

Номер патента: US20120000768A1. Автор: . Владелец: PRIMESTAR SOLAR, INC.. Дата публикации: 2012-01-05.

SPUTTERING TARGETS INCLUDING EXCESS CADMIUM FOR FORMING A CADMIUM STANNATE LAYER

Номер патента: US20120000776A1. Автор: Feldman-Peabody Scott Daniel. Владелец: PRIMESTAR SOLAR, INC.. Дата публикации: 2012-01-05.

Method and Apparatus For Inspecting Defect Of Pattern Formed On Semiconductor Device

Номер патента: US20120002861A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ETCHANTS AND METHODS OF FABRICATING SEMICONDUCTOR DEVICES USING THE SAME

Номер патента: US20120001264A1. Автор: YANG Jun-Kyu,Kim Hong-Suk,Hwang Ki-Hyun,Ahn Jae-Young,Lim Hun-Hyeong,KIM Jin-Gyun. Владелец: . Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE

Номер патента: US20120001300A1. Автор: Ito Takayuki,ISHIDA Tatsuya,Yoshino Kenichi,Naito Tatsuya. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003801A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

Methods of manufacturing semiconductor devices with Si and SiGe epitaxial layers

Номер патента: US20120003799A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD OF REDUCING EROSION OF A METAL CAP LAYER DURING VIA PATTERNING IN SEMICONDUCTOR DEVICES

Номер патента: US20120003832A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120001333A1. Автор: HWANG Chang Youn. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001275A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

RESIN COMPOSITION FOR ENCAPSULATING SEMICONDUCTOR AND SEMICONDUCTOR DEVICE

Номер патента: US20120001350A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

IMAGE SENSOR AND MANUFACTURING METHOD FOR SAME

Номер патента: US20120003776A1. Автор: Park Sang Hyuk. Владелец: Intellectual Ventures II LLC. Дата публикации: 2012-01-05.

FLATBAND VOLTAGE ADJUSTMENT IN A SEMICONDUCTOR DEVICE

Номер патента: US20120001253A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

RECEIVER, SEMICONDUCTOR DEVICE, AND SIGNAL TRANSMISSION METHOD

Номер патента: US20120002771A1. Автор: . Владелец: NEC Corporation. Дата публикации: 2012-01-05.

Semiconductor Device and Manufacturing Method Thereof

Номер патента: US20120001332A1. Автор: TANAKA Tetsuhiro. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Manufacturing Method Thereof

Номер патента: US20120001335A1. Автор: ENDO Yuta,TANAKA Tetsuhiro. Владелец: . Дата публикации: 2012-01-05.

MANUFACTURING METHOD FOR SOLID-STATE IMAGING DEVICE

Номер патента: US20120003778A1. Автор: OOTAKE Hajime. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD

Номер патента: US20120001304A1. Автор: . Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120003805A1. Автор: Lee Tae-Jung,PARK MYOUNG-KYU,Bang Kee-In. Владелец: . Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер патента: US20120000484A1. Автор: . Владелец: Denso Corporation. Дата публикации: 2012-01-05.

METHODS FOR ENHANCED PROCESSING CHAMBER CLEANING

Номер патента: US20120000490A1. Автор: . Владелец: Applied Materials, Inc.. Дата публикации: 2012-01-05.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE AND FABRICATION SYSTEM OF SEMICONDUCTOR DEVICE

Номер патента: US20120003761A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ENERGY STORAGE DEVICE AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003535A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120000517A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

TRANSPARENT ELECTRICALLY CONDUCTIVE LAYER AND METHOD FOR FORMING SAME

Номер патента: US20120000519A1. Автор: FREY Jonathan Mack. Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

METHOD AND SYSTEM FOR FORMING A PHOTOVOLTAIC CELL AND A PHOTOVOLTAIC CELL

Номер патента: US20120000529A1. Автор: . Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

METHOD FOR PRODUCING SOLID STATE IMAGING DEVICE AND SOLID-STATE IMAGING DEVICE

Номер патента: US20120001292A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR ATOMIC LAYER DEPOSITION

Номер патента: US20120003396A1. Автор: . Владелец: Nederlandse Organisatie voor toegepast-natuurweten schappelijk onderzoek TNO. Дата публикации: 2012-01-05.

BELTS FOR ELECTROSTATOGRAPHIC APPARATUS AND METHODS FOR MAKING THE SAME

Номер патента: US20120003415A1. Автор: FROMM Paul M.. Владелец: XEROX CORPORATION. Дата публикации: 2012-01-05.

Method For Producing A Porcelain Enamel Logo On A Porcelain Enamel Background On A Grill Component With Preselected Colors

Номер патента: US20120003445A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

OPTICAL MODULATOR AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120003767A1. Автор: . Владелец: NEC Corporation. Дата публикации: 2012-01-05.

SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003781A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SERIAL VALVES AND HUBS FOR TUBULAR DEVICES AND METHODS FOR MAKING AND USING THEM

Номер патента: US20120004622A1. Автор: . Владелец: AUST DEVELOPMENT, LLC. Дата публикации: 2012-01-05.

System and Method for Joint Resurface Repair

Номер патента: US20120004663A1. Автор: . Владелец: ARTHROSURFACE INC.. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR ISOLATING A VIEWPORT

Номер патента: US20120000301A1. Автор: LITTLE Edwin Jackson,PAVOL Mark J.. Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

Method for Corrosion Protection Treatment

Номер патента: US20120000591A1. Автор: . Владелец: TESA SE. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME

Номер патента: US20120001255A1. Автор: PARK JIN WON. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

Adjusting Method For Recording Condition And Optical Disc Device

Номер патента: US20120002527A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

DECORATION DEVICE AND METHOD FOR FABRICATING DECORATION DEVICE

Номер патента: US20120003426A1. Автор: . Владелец: SIPIX CHEMICAL INC.. Дата публикации: 2012-01-05.

Method for Anti-Corrosion Treatment

Номер патента: US20120003598A1. Автор: . Владелец: TESA SE. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001331A1. Автор: . Владелец: KABUSHI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

EMBOSSED TEXTURED WEBS AND METHOD FOR MAKING

Номер патента: US20120003423A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001194A1. Автор: Kitamura Takamitsu,Nakata Ken,Makabe Isao,Yui Keiichi. Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE, METHOD OF FABRICATING THE SAME, AND SEMICONDUCTOR MODULE AND ELECTRONIC SYSTEM INCLUDING THE SEMICONDUCTOR DEVICE

Номер патента: US20120001272A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Large Area Nitride Crystal and Method for Making It

Номер патента: US20120000415A1. Автор: Speck James S.,"DEvelyn Mark P.". Владелец: Soraa, Inc.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120001324A1. Автор: Watabe Hiroshi,AOKI Hideo,MUKAIDA Hideko,Fukuda Masatoshi,Koshio Yasuhiro. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001342A1. Автор: . Владелец: RENESAS ELECTRONICS CORPORATION. Дата публикации: 2012-01-05.

METHOD OF PRODUCING SEMICONDUCTOR DEVICE

Номер патента: US20120003841A1. Автор: . Владелец: ULVAC, INC.. Дата публикации: 2012-01-05.

PROVIDING A BUFFERLESS TRANSPORT METHOD FOR MULTI-DIMENSIONAL MESH TOPOLOGY

Номер патента: US20120002675A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ELECTRODE STRUCTURE, CAPACITOR, BATTERY, AND METHOD FOR MANUFACTURING ELECTRODE STRUCTURE

Номер патента: US20120003544A1. Автор: . Владелец: . Дата публикации: 2012-01-05.