• Главная
  • Quaternary Alkylammonium Hypochlorite Solution, Method for Manufacturing Same, and Method for Cleaning Semiconductor Wafer

Quaternary Alkylammonium Hypochlorite Solution, Method for Manufacturing Same, and Method for Cleaning Semiconductor Wafer

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Process and system for cleaning surfaces of semiconductor wafers

Номер патента: WO2005019490A2. Автор: Walter H. Whitlock. Владелец: The Boc Group Inc.. Дата публикации: 2005-03-03.

Process and system for cleaning surfaces of semiconductor wafers

Номер патента: EP1656220A2. Автор: Walter H. Whitlock. Владелец: Boc Group Inc. Дата публикации: 2006-05-17.

Methods for stripping and cleaning semiconductor structures

Номер патента: US11798802B2. Автор: Qingmin Liu,Haihe Liang,Junting Yang. Владелец: GlobalWafers Co Ltd. Дата публикации: 2023-10-24.

Methods for stripping and cleaning semiconductor structures

Номер патента: WO2023154644A1. Автор: Qingmin Liu,Haihe Liang,Junting Yang. Владелец: GlobalWafers Co., Ltd.. Дата публикации: 2023-08-17.

Process and system for cleaning surfaces of semiconductor wafers

Номер патента: TW200520027A. Автор: Walter H Whitlock. Владелец: Boc Group Inc. Дата публикации: 2005-06-16.

Method for controlling donor concentration in Ga2O3-based and method for forming ohmic contact

Номер патента: US9611567B2. Автор: Kohei Sasaki,Masataka Higashiwaki. Владелец: Tamura Corp. Дата публикации: 2017-04-04.

Polishing apparatus and method for planarizing layer on a semiconductor wafer

Номер патента: GB9611090D0. Автор: . Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1996-07-31.

Polishing apparatus and method for planarizing layer on a semiconductor wafer

Номер патента: GB9611104D0. Автор: . Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1996-07-31.

Polishing apparatus and method for planarizing layer on a semiconductor wafer

Номер патента: GB9611070D0. Автор: . Владелец: Toshiba Corp. Дата публикации: 1996-07-31.

APPARATUS AND METHOD FOR NEUTRON TRANSMUTATION DOPING OF SEMICONDUCTOR WAFERS

Номер патента: US20200005957A1. Автор: Schulze Hans-Joachim,Schustereder Werner,Bina Markus. Владелец: . Дата публикации: 2020-01-02.

Apparatus and method for collecting impurities on a semiconductor wafer

Номер патента: US6939410B2. Автор: Jong-Cheol Jeong,Yong-Kyun Ko,Byung-Woo Son. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2005-09-06.

Apparatus and method for grinding, lapping and polishing semiconductor wafers

Номер патента: WO2000047366A2. Автор: KOBAYASHI Masayuki. Владелец: Shin-Etsu Handotai Europe Limited. Дата публикации: 2000-08-17.

Apparatus and method for grinding, lapping and polishing semiconductor wafers

Номер патента: WO2000047366A3. Автор: KOBAYASHI Masayuki. Владелец: Shin Etsu Handotai Europ Ltd. Дата публикации: 2000-11-16.

Junction structure, method for manufacturing same, electric motor, and method for manufacturing same

Номер патента: EP3616827A1. Автор: Koji Yamazaki,Tomoaki Kato. Владелец: Mitsubishi Electric Corp. Дата публикации: 2020-03-04.

Junction structure, method for manufacturing same, electric motor, and method for manufacturing same

Номер патента: EP3616827A4. Автор: Koji Yamazaki,Tomoaki Kato. Владелец: Mitsubishi Electric Corp. Дата публикации: 2020-09-02.

Quantum dot solar cells and methods for manufacturing such solar cells

Номер патента: GB2482597B. Автор: Anna Liu,Marilyn Wang,Linan Zhao,Zhi Zheng. Владелец: Honeywell International Inc. Дата публикации: 2014-01-29.

Method and apparatus for the treatment of a semiconductor wafer

Номер патента: SG137777A1. Автор: Brian Murphy,Reinhold Wahlich,Diego Feijoo. Владелец: SILTRONIC AG. Дата публикации: 2007-12-28.

Junction structure, method for manufacturing same, electric motor, and method for manufacturing same

Номер патента: EP3616827B1. Автор: Koji Yamazaki,Tomoaki Kato. Владелец: Mitsubishi Electric Corp. Дата публикации: 2024-03-20.

Epitaxially coated semiconductor wafer and device and method for producing an epitaxially coated semiconductor wafer

Номер патента: CN101225544A. Автор: R·绍尔,N·维尔纳. Владелец: SILTRONIC AG. Дата публикации: 2008-07-23.

Epitaxially coated semiconductor wafer and device and method for producing an epitaxially coated semiconductor wafer

Номер патента: CN103173854A. Автор: R·绍尔,N·维尔纳. Владелец: SILTRONIC AG. Дата публикации: 2013-06-26.

Apparatus for manufacturing particles using corona discharge and method thereof

Номер патента: EP1567258A1. Автор: Jeong-Ho Ahn,Kang Ho Ahn,Sang-Hyun Ahn. Владелец: Individual. Дата публикации: 2005-08-31.

Apparatus for manufacturing particles using corona discharge and method thereof

Номер патента: WO2004069403A1. Автор: Jeong-Ho Ahn,Kang-Ho Ahn,Sang-Hyun Ahn. Владелец: Sang-Hyun Ahn. Дата публикации: 2004-08-19.

Apparatus for manufacturing display device, mask assembly, and method of manufacturing display device

Номер патента: US20230374645A1. Автор: Seil Kim,Minju Choi. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-11-23.

Apparatus for manufacturing display device, mask assembly, and method of manufacturing display device

Номер патента: EP4279632A1. Автор: Seil Kim,Minju Choi. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-11-22.

Method for recovering cation component of ionic liquid and method for regenerating ionic liquid

Номер патента: EP4397662A1. Автор: Gen Masuda. Владелец: Nisshinbo Holdings Inc. Дата публикации: 2024-07-10.

Method for Preparing Key Intermediate of ABT-737 and Method for Preparing ABT-737

Номер патента: US20240140923A1. Автор: Yao He,Yanfei Gu. Владелец: Xeon Biopharmaceutical Ltd. Дата публикации: 2024-05-02.

Cathode active material and method for manufacturing the same

Номер патента: US20240067533A1. Автор: Hae In Kim,Jong Tae SON. Владелец: Industry Academic Cooperation Foundation of KNUT. Дата публикации: 2024-02-29.

Method for fabricating a throughput-scalable analytical system for molecule detection and sensing

Номер патента: US20210296380A1. Автор: MEI Yan. Владелец: Genesense Technology Inc. Дата публикации: 2021-09-23.

Composite target and method for manufacturing the same

Номер патента: SG193125A1. Автор: Ching-Ho Yang,Chih-Wen Wu,Chi-Hsiang Weng,Hsuan-Cheng Sun,Meng-Peng Su. Владелец: Sumika Technology Co Ltd. Дата публикации: 2013-09-30.

Ba isotope containing complexes, methods for manufacturing of the same and their use as tracers

Номер патента: WO2012168232A1. Автор: Tor Bjørnstad,Liv STAVSETRA. Владелец: INSTITUTT FOR ENERGITEKNIKK. Дата публикации: 2012-12-13.

Methods for identification of exchangeable mhc binding peptides and methods of use thereof

Номер патента: WO2022125874A3. Автор: Peter J. Beemiller. Владелец: Berkeley Lights, Inc.. Дата публикации: 2022-07-28.

Methods for Identification of Exchangeable MHC Binding Peptides and Methods of Use Thereof

Номер патента: US20240272171A1. Автор: Peter J. Beemiller. Владелец: Phenomex Inc. Дата публикации: 2024-08-15.

Method for wafer bonding and compound semiconductor wafer

Номер патента: US12068296B2. Автор: Stefan Hampl,Kerstin Kaemmer,Marco Haubold,Norbert Thyssen. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2024-08-20.

Apparatus and method for cleaning surfaces of semiconductor wafers using ozone

Номер патента: WO2004040370A3. Автор: Kim Jungyup,Kwon Jeong In,Bae Kim Yong. Владелец: Novo Res Inc. Дата публикации: 2004-12-29.

Methods for forming shallow junctions in semiconductor wafers

Номер патента: EP1019952A1. Автор: Daniel F. Downey. Владелец: Varian Semiconductor Equipment Associates Inc. Дата публикации: 2000-07-19.

Double-sided marking of semiconductor wafers and method of using a double-sided marked semiconductor wafer

Номер патента: GB201014264D0. Автор: . Владелец: DOUBLECHECK SEMICONDUCTORS Pte Ltd. Дата публикации: 2010-10-13.

High alkaline cleaners, cleaning systems and methods of use for cleaning zero trans fat soils

Номер патента: US11697787B2. Автор: Robert J. Ryther,Walter D. Cummings. Владелец: ECOLAB USA INC. Дата публикации: 2023-07-11.

Method for removing contaminants from a semiconductor wafer

Номер патента: US20010009814A1. Автор: J. Rolfson. Владелец: Individual. Дата публикации: 2001-07-26.

Method for forming scribe line on semiconductor wafer, and scribe line forming device

Номер патента: US20040214408A1. Автор: Haruo Wakayama. Владелец: Mitsuboshi Diamond Industrial Co Ltd. Дата публикации: 2004-10-28.

Gettering regions and methods of forming gettering regions within a semiconductor wafer

Номер патента: US20020022346A1. Автор: Fernando Gonzalez,Jeffrey Honeycutt. Владелец: Individual. Дата публикации: 2002-02-21.

Methods for coating the backside of semiconductor wafers

Номер патента: EP2304781A1. Автор: Hoseung Yoo. Владелец: Henkel Corp. Дата публикации: 2011-04-06.

Apparatus and methods for rerecirculating etching solution during semiconductor wafer processing

Номер патента: US6001216A. Автор: Seung-Kun Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-12-14.

Method for cutting protective tape of semiconductor wafer and apparatus for cutting the protective tape

Номер патента: US20080184855A1. Автор: Masayuki Yamamoto,Yasuji Kaneshima. Владелец: Individual. Дата публикации: 2008-08-07.

Method for preventing electroplating of copper on an exposed surface at the edge exclusion of a semiconductor wafer

Номер патента: US5933758A. Автор: Ajay Jain. Владелец: Motorola Inc. Дата публикации: 1999-08-03.

Silicon wafer for manufacturing soi wafer, soi wafer, and method for manufacturing soi wafer

Номер патента: US20080213989A1. Автор: Seiichi Nakamura,Tetsuya Nakai,Eiji Kamiyama. Владелец: Individual. Дата публикации: 2008-09-04.

Transistor and method for manufacturing same, semiconductor device and method for manufacturing same

Номер патента: US20240179922A1. Автор: Wenyu HUA,Xilong Wang. Владелец: ICLeague Technology Co Ltd. Дата публикации: 2024-05-30.

Systems and methods for determining measurement location in semiconductor wafer metrology

Номер патента: WO2022071981A1. Автор: Asaf Granot. Владелец: KLA Corporation. Дата публикации: 2022-04-07.

Systems and methods for determining measurement location in semiconductor wafer metrology

Номер патента: EP4200899A1. Автор: Asaf Granot. Владелец: KLA Corp. Дата публикации: 2023-06-28.

Apparatus and method for depositing charge on a semiconductor wafer

Номер патента: US5594247A. Автор: Thomas G. Miller,Roger L. Verkuil,Gregory S. Horner. Владелец: Keithley Instruments LLC. Дата публикации: 1997-01-14.

Electronic device and method for fabricating the same, spiral inductor device and method for fabricating the same

Номер патента: EP2416358A3. Автор: Ja-Hao Chen. Владелец: Richwave Technology Corp. Дата публикации: 2013-09-25.

Method for testing lifetime characteristics of display panel, and method for manufacturing display panel

Номер патента: US20170005268A1. Автор: Hidekazu Ishii. Владелец: Joled Inc. Дата публикации: 2017-01-05.

Method for forming impurity layer, exposure mask therefore and method for producing solid-state imaging device

Номер патента: US20120077304A1. Автор: Yoshihiro Obara,Ken Tomita. Владелец: Toshiba Corp. Дата публикации: 2012-03-29.

Method for manufacturing fuel cell stack body and method for manufacturing fuel cell stack

Номер патента: US11749823B2. Автор: Shingo Nagamine. Владелец: Honda Motor Co Ltd. Дата публикации: 2023-09-05.

Method for manufacturing fuel cell stack body and method for manufacturing fuel cell stack

Номер патента: US20220085400A1. Автор: Shingo Nagamine. Владелец: Honda Motor Co Ltd. Дата публикации: 2022-03-17.

Cable seal, method for manufacturing and handling same and apparatus therefor

Номер патента: CA1149562A. Автор: Myles N. Murray. Владелец: Industrial Electronic Rubber Co. Дата публикации: 1983-07-12.

Method for manufacturing electrode for secondary battery and method for manufacturing secondary battery

Номер патента: US20190165368A1. Автор: Noboru Yoshida,Makihiro Otohata. Владелец: NEC Corp. Дата публикации: 2019-05-30.

Manufacturing mold for manufacturing a cable harness, system, and method

Номер патента: US20230390968A1. Автор: Aldo Navarro Málaga. Владелец: YAZAKI SYSTEMS TECHNOLOGIES GMBH. Дата публикации: 2023-12-07.

Disassembly method for membrane electrode assembly for fuel cell and disassembly method for fuel cell

Номер патента: US8187417B2. Автор: Michiaki Kato. Владелец: Toyota Motor Corp. Дата публикации: 2012-05-29.

Method and apparatus for removing particles from the surface of a semiconductor wafer

Номер патента: WO2023101693A1. Автор: Kurt A. Schroder,David Alex ROSE. Владелец: NCC NANO, LLC. Дата публикации: 2023-06-08.

Semiconductor device and method for manufacturing semiconductor device

Номер патента: US20240234330A9. Автор: Hong-Ki Kim,Sangwoo PAK. Владелец: Power Master Semiconductor Co Ltd. Дата публикации: 2024-07-11.

Method and apparatus for removing particles from the surface of a semiconductor wafer

Номер патента: US20230178362A1. Автор: Kurt A. Schroder,David Alex ROSE. Владелец: NCC Nano LLC. Дата публикации: 2023-06-08.

Method and apparatus for removing particles from the surface of a semiconductor wafer

Номер патента: US11769660B2. Автор: Kurt A. Schroder,David Alex ROSE. Владелец: Pulseforge Inc. Дата публикации: 2023-09-26.

Method and apparatus for removing particles from the surface of a semiconductor wafer

Номер патента: WO2023101692A1. Автор: Kurt A. Schroder,David Alex ROSE. Владелец: NCC NANO, LLC. Дата публикации: 2023-06-08.

Semiconductor Wafer and Method for Forming Semiconductor

Номер патента: US20190229069A1. Автор: Yuki Tanaka,Hiroshi Shikauchi,Shinji Kudoh,Tomonori Hotate. Владелец: Sanken Electric Co Ltd. Дата публикации: 2019-07-25.

PROCESS FOR CLEANING A COMPOUND SEMICONDUCTOR WAFER

Номер патента: US20130276824A1. Автор: Ren Diansheng,Liu Qinghui. Владелец: BEIJING TONGMEI XTAL TECHNOLOGY CO., LTD.. Дата публикации: 2013-10-24.

Apparatus and method for grinding, lapping and polishing semiconductor wafers

Номер патента: AU2311000A. Автор: KOBAYASHI Masayuki. Владелец: Shin Etsu Handotai Europe Ltd. Дата публикации: 2000-08-29.

METHOD FOR PRODUCING LOW-k FILM, SEMICONDUCTOR DEVICE, AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20100289143A1. Автор: Takamaro Kikkawa,Yoshinori Cho. Владелец: Hiroshima University NUC. Дата публикации: 2010-11-18.

Method for manufacturing buried gate and method for manufacturing semiconductor device

Номер патента: US11862697B2. Автор: Er-Xuan Ping,Jie Bai,Mengmeng Yang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-01-02.

METHOD FOR GALLIUM NITRIDE ON DIAMOND SEMICONDUCTOR WAFER PRODUCTION

Номер патента: US20190043709A1. Автор: Chu Kanin,Creamer Carlton T.,Chao Pane Chane. Владелец: . Дата публикации: 2019-02-07.

Treating composition and method for wastewater or sewage and cleaning composition and method for air

Номер патента: WO2005014487A1. Автор: Myoung-Jea Lee. Владелец: Park, Tae-Hyok. Дата публикации: 2005-02-17.

Method for manufacturing magnetic-disk glass substrate and method for manufacturing magnetic disk

Номер патента: MY183852A. Автор: Hideo Sakai. Владелец: Hoya Corp. Дата публикации: 2021-03-17.

Method for predicting physical properties of polyethylene resin and method for manufacturing polyethylene resin

Номер патента: EP3789436A1. Автор: Eun Jung Joo,Seung Won Choi. Владелец: LG Chem Ltd. Дата публикации: 2021-03-10.

Method for cesium removal from radioactive waste liquids and method for producing hexacyanoferrates

Номер патента: AU3346097A. Автор: Jukka Lehto,Risto Harjula. Владелец: Ivo Power Engineering Oy. Дата публикации: 1998-01-14.

Method for analyzing structural polymorphism, primer pair set, and method for designing primer pair set

Номер патента: EP4414457A1. Автор: Kazuaki Yokoyama. Владелец: University of Tokyo NUC. Дата публикации: 2024-08-14.

Method for producing porous glass fine particle body and method for producing optical fiber preform

Номер патента: US20210163337A1. Автор: Nobutoshi Sato. Владелец: Fujikura Ltd. Дата публикации: 2021-06-03.

Method for producing porous glass fine particle body and method for producing optical fiber preform

Номер патента: US11780761B2. Автор: Nobutoshi Sato. Владелец: Fujikura Ltd. Дата публикации: 2023-10-10.

Method for preparing dispersion of metal-containing particles and method for producing hydrogenated conjugated diene polymer

Номер патента: US20190076824A1. Автор: Akito NAKAI. Владелец: Zeon Corp. Дата публикации: 2019-03-14.

Method for producing transfer sheet, aqueous adhesive liquid, and method for producing transferred product

Номер патента: US20240034025A1. Автор: Yoshifumi Watanabe. Владелец: Riso Kagaku Corp. Дата публикации: 2024-02-01.

Method for producing fermented beer-like sparkling beverage and method for reducing off-flavor

Номер патента: AU2019292604B2. Автор: Taku IRIE. Владелец: Asahi Group Holdings Ltd. Дата публикации: 2024-05-09.

Improved method for manufacturing allulose

Номер патента: AU2020375503B2. Автор: Jae-Kyung Yang,Ji Won Park,Jung Sook Han,Go-Eun KIM,Choong Woo Nam,Chong Jin Park. Владелец: Samyang Corp. Дата публикации: 2024-05-02.

Method for forming wick part for vapor chamber, and method for manufacturing vapor chamber

Номер патента: EP4353396A1. Автор: Jong Min Lee,Jae Ho JANG,Kang Hyun Lee,Hang Hyeon CHO. Владелец: KMW Inc. Дата публикации: 2024-04-17.

Method for forming wick part for vapor-chamber and method for manufacturig vapor chamber

Номер патента: US20240181570A1. Автор: Jong Min Lee,Jae Ho JANG,Kang Hyun Lee,Hang Hyeon CHO. Владелец: KMW Inc. Дата публикации: 2024-06-06.

Base station side device and method for wireless communication, and user side device and method for wireless communication

Номер патента: US11997524B2. Автор: Jinhui Chen. Владелец: Sony Group Corp. Дата публикации: 2024-05-28.

Method for fabricating multilayer circuit board, circuit plate, and method for fabricating the circuit plate

Номер патента: US20090126975A1. Автор: Masayoshi Kondo. Владелец: Sumitomo Bakelite Co Ltd. Дата публикации: 2009-05-21.

Method for hiding message data into media data and a method for extracting that hidden data

Номер патента: MY123085A. Автор: Norishige Morimoto,Shu Tezuka,Syoiti Ninomiya. Владелец: Ibm. Дата публикации: 2006-05-31.

Method for generation of cyclic shift amount set and method for generation of random access preamble

Номер патента: US20110007825A1. Автор: Peng Hao,Chunli Liang,Bo Dai,Bin Yu,Shuqiang Xia. Владелец: ZTE Corp. Дата публикации: 2011-01-13.

Method for generating a transaction of a blockchain and method for validating a block of a blockchain

Номер патента: WO2018234482A1. Автор: Denis Bederov. Владелец: PLC Group AG. Дата публикации: 2018-12-27.

Apparatus and method for cleaning semiconductor wafers

Номер патента: EP1079942A1. Автор: Masato Toshima. Владелец: Gamma Precision Technology Inc. Дата публикации: 2001-03-07.

Agent, liquid, and method for cleaning reverse osmosis membrane

Номер патента: SG10201906785PA. Автор: Takahiro Kawakatsu,Kazuki Ishii. Владелец: Kurita Water Ind Ltd. Дата публикации: 2019-08-27.

Method for determining the location of a droplet on a component

Номер патента: US20030153106A1. Автор: Zane Drussel,Gayle Buhrer. Владелец: Individual. Дата публикации: 2003-08-14.

Process for the automatic adjustment of semiconductor wafers

Номер патента: US4090068A. Автор: Dietrich Widmann,Johann Binder. Владелец: SIEMENS AG. Дата публикации: 1978-05-16.

Container flush and gas charge system and method

Номер патента: US20030221744A1. Автор: Wei Lee,Tain-Chen Hu,Ming More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2003-12-04.

Method for electrochemical local oxidation of silicon

Номер патента: US5877069A. Автор: Karl M. Robinson. Владелец: Micron Technology Inc. Дата публикации: 1999-03-02.

Method for removing useless articles of semiconductor wafer and device for the same

Номер патента: TW503477B. Автор: Masayuki Yamamoto,Minoru Ametani. Владелец: Nitto Denko Corp. Дата публикации: 2002-09-21.

Apparatuses and methods for polishing and cleaning semiconductor wafers

Номер патента: US20110104997A1. Автор: In-kwon Jeong. Владелец: JEONG In-kwon. Дата публикации: 2011-05-05.

Photo-lithographic method for semiconductors

Номер патента: WO2001071427A1. Автор: John George Maltabes,Alain Bernard Charles. Владелец: Motorola Inc.. Дата публикации: 2001-09-27.

Devices and methods for optical endpoint detection during semiconductor wafer polishing

Номер патента: US7235154B2. Автор: Alice Madrone Dalrymple,Robert J. Horrell. Владелец: Strasbaugh Inc. Дата публикации: 2007-06-26.

Semiconductor device and method of manufacture thereof

Номер патента: US4063272A. Автор: John K. Boah,Richard W. Kennedy. Владелец: General Electric Co. Дата публикации: 1977-12-13.

Semiconductor Wafer and Method of Manufacturing Semiconductor Devices in a Semiconductor Wafer

Номер патента: US20160329398A1. Автор: Schulze Hans-Joachim,Weber Hans,Jantscher Wolfgang. Владелец: . Дата публикации: 2016-11-10.

Apparatus and method of applying a film to a semiconductor wafer and method of processing a semiconductor wafer

Номер патента: TW201126585A. Автор: Florian Bieck. Владелец: Empire Technology Dev Llc. Дата публикации: 2011-08-01.

Method for operating a susceptor for semiconductor wafers

Номер патента: DE60037188T2. Автор: Olaf Storbeck. Владелец: Qimonda Dresden GmbH and Co oHG. Дата публикации: 2008-10-02.

Apparatus and method for polishing objects using object cleaners

Номер патента: US7674154B2. Автор: In Kwon Jeong. Владелец: Komico Technology Inc. Дата публикации: 2010-03-09.

SYSTEMS AND METHODS FOR DETERMINING MEASUREMENT LOCATION IN SEMICONDUCTOR WAFER METROLOGY

Номер патента: US20220102174A1. Автор: Granot Asaf. Владелец: . Дата публикации: 2022-03-31.

DEVICE AND METHOD FOR ATTACHING PROTECTIVE TAPE ON SEMICONDUCTOR WAFER

Номер патента: US20210249285A1. Автор: Priewasser Karl Heinz,ISHIMATSU Yosuke,KAKINUMA Yoshinori,IKEHATA Ken. Владелец: . Дата публикации: 2021-08-12.

DEVICE AND METHOD FOR ATTACHING PROTECTIVE TAPE TO SEMICONDUCTOR WAFER

Номер патента: US20210249286A1. Автор: Priewasser Karl Heinz,ISHIMATSU Yosuke,KAKINUMA Yoshinori,IKEHATA Ken. Владелец: . Дата публикации: 2021-08-12.

APPARATUS AND METHOD FOR NEUTRON TRANSMUTATION DOPING OF SEMICONDUCTOR WAFERS

Номер патента: US20180308698A1. Автор: Schulze Hans-Joachim,Schustereder Werner,Bina Markus. Владелец: . Дата публикации: 2018-10-25.

Method and apparatus for endpoint detection in a semiconductor wafer etching system

Номер патента: US5151584A. Автор: Manoocher Birang,Peter Ebbing. Владелец: Applied Materials Inc. Дата публикации: 1992-09-29.

Method for manufacturing light emitting device

Номер патента: US20230246121A1. Автор: Kai Cheng,Tsau-Hua Hsieh,Wan-Ling Huang,Jian-Jung SHIH,Fang-Ying Lin,Hui-Chieh Wang. Владелец: Innolux Corp. Дата публикации: 2023-08-03.

Optical inspection equipment for semiconductor wafers with precleaning

Номер патента: WO2000019518A1. Автор: Allan Rosencwaig,Lanhua Wei. Владелец: Therma-Wave, Inc.. Дата публикации: 2000-04-06.

Semiconductor structure and method for manufacturing same, memory and operation method thereof

Номер патента: US20230422492A1. Автор: Yanzhe TANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-12-28.

Method for determining suitability of a resist in semiconductor wafer fabrication

Номер патента: US20090011524A1. Автор: Thomas Wallow,Bruno M. LaFontaine. Владелец: Advanced Micro Devices Inc. Дата публикации: 2009-01-08.

Systems and methods for determining measurement location in semiconductor wafer metrology

Номер патента: EP4200899A4. Автор: Asaf Granot. Владелец: KLA Corp. Дата публикации: 2024-07-31.

Method for detecting EPI induced buried layer shifts in semiconductor devices

Номер патента: US20060038553A1. Автор: Xiaoju Wu,Qingfeng Wang,Xinfen Chen,John Arch. Владелец: Texas Instruments Inc. Дата публикации: 2006-02-23.

Method for designing integrated circuit package and method for manufacturing same

Номер патента: US20060161873A1. Автор: Shinji Hara. Владелец: Individual. Дата публикации: 2006-07-20.

Back Plate and Method for Manufacturing the Same, Display Substrate and Method for Manufacturing the Same, and Display Device

Номер патента: US20200075694A1. Автор: DAI QING. Владелец: . Дата публикации: 2020-03-05.

OXIDE THIN FILM TRANSISTOR AND METHOD FOR MANUFACTURING THE SAME, ARRAY SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME, AND DISPLAY DEVICE

Номер патента: US20160380105A1. Автор: Wang Ke. Владелец: . Дата публикации: 2016-12-29.

Back Plate and Method for Manufacturing the Same, Display Substrate and Method for Manufacturing the Same, and Display Device

Номер патента: US20220352272A1. Автор: DAI QING. Владелец: . Дата публикации: 2022-11-03.

Method for analyzing polishing behavior in semiconductor wafer cmp process and device for same

Номер патента: WO2018207977A1. Автор: 한봉석. Владелец: 한봉석. Дата публикации: 2018-11-15.

Method for manufacturing cathode of solar unit

Номер патента: US10608127B2. Автор: Jiuxia YANG,Jiantao Liu,Xuebo ZHANG. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2020-03-31.

Cathode of solar unit and method for manufacturing thereof, and solar cell

Номер патента: US20180083148A1. Автор: Jiuxia YANG,Jiantao Liu,Xuebo ZHANG. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2018-03-22.

Interconnections for a semiconductor device and method for forming same

Номер патента: US20020041034A1. Автор: Walter Moden,Warren Farnworth,Larry Kinsman. Владелец: Individual. Дата публикации: 2002-04-11.

PROTECTION METHOD FOR THROUGH-HOLES OF A SEMICONDUCTOR WAFER

Номер патента: US20210066532A1. Автор: HAGEDORN Benjamin,FREY Alexander. Владелец: AZUR SPACE SOLAR POWER GMBH. Дата публикации: 2021-03-04.

Method for Manufacturing PCB, Display Module and Method for Fabricating Display Module

Номер патента: US20120252301A1. Автор: Chien-Hung Chen,Wen-Hsin Lin,Ching-Kun Lai. Владелец: AU OPTRONICS CORP. Дата публикации: 2012-10-04.

Analysis of semiconductor surfaces by secondary ion mass spectrometry and methods

Номер патента: US20030136906A1. Автор: Eugene Marsh. Владелец: Individual. Дата публикации: 2003-07-24.

Micro-filter and injection molding machine using the same and injection molding method for the same

Номер патента: WO2006009387A1. Автор: Myongdeok Kim. Владелец: LG ELECTRONICS INC.. Дата публикации: 2006-01-26.

Equipment for manufacturing separator for fuel cell and method of manufacturing same

Номер патента: EP3954478A4. Автор: Byung-Soo Jung. Владелец: Elf System Co Ltd. Дата публикации: 2022-06-22.

Equipment for manufacturing separator for fuel cell and method of manufacturing same

Номер патента: EP3954478B1. Автор: Byung-Soo Jung. Владелец: Elf System Co Ltd. Дата публикации: 2024-02-28.

Method for manufacturing secondary battery

Номер патента: US20230282951A1. Автор: Hideki Sano,Shigeki Matsuta,Daisuke Nishide. Владелец: Prime Planet Energy and Solutions Inc. Дата публикации: 2023-09-07.

Polyester film and method for manufacturing the same

Номер патента: US20210047493A1. Автор: Yu-Chi Hsieh,Te-Chao Liao,Wen-Cheng Yang,Chia-Yen HSIAO,Ching-Yao Yuan. Владелец: Nan Ya Plastics Corp. Дата публикации: 2021-02-18.

Method for Manufacturing Porous Silica Crystal

Номер патента: US20070248524A1. Автор: Masahiro Tajima,Takeshi Kubota,Shuji Noda,Yasuaki Okamoto,Naoto Imawaka,Takanobu Shiomura. Владелец: Shimane University. Дата публикации: 2007-10-25.

Pigment dispersoid and method for manufacturing the same

Номер патента: US20230279188A1. Автор: Chun-Che Tsao,Te-Chao Liao,Chen-Ho LAI. Владелец: Nan Ya Plastics Corp. Дата публикации: 2023-09-07.

Method for manufacturing disk-shaped glass blank and method for manufacturing glass substrate for magnetic disk

Номер патента: US12077465B2. Автор: Shuhei Azuma. Владелец: Hoya Corp. Дата публикации: 2024-09-03.

Method for predicting physical properties of polyethylene resin and method for manufacturing polyethylene resin

Номер патента: EP3789436A4. Автор: Eun Jung Joo,Seung Won Choi. Владелец: LG Chem Ltd. Дата публикации: 2021-09-08.

Systems and methods for cleaning and sterilizing fluids and articles using electromagnetic waves

Номер патента: WO2024044738A2. Автор: Rasmus Par Tomas NORLING. Владелец: NORLING RASMUS PAR TOMAS. Дата публикации: 2024-02-29.

Systems and methods for cleaning and sterilizing fluids and articles using electromagnetic waves

Номер патента: WO2024044738A3. Автор: Rasmus Par Tomas NORLING. Владелец: NORLING RASMUS PAR TOMAS. Дата публикации: 2024-04-18.

Manufacturing method for cellulose ether having high degree of substitution

Номер патента: US4582899A. Автор: Hiroyuki Nakamura. Владелец: Daicel Chemical Industries Ltd. Дата публикации: 1986-04-15.

Device for manufacturing optical fiber preform and method for manufacturing optical fiber preform

Номер патента: EP4282839A1. Автор: Tadashi Takahashi. Владелец: Furukawa Electric Co Ltd. Дата публикации: 2023-11-29.

Equipment for manufacturing carbon block filter and method for manufacturing carbon block filter

Номер патента: US11717999B2. Автор: Sung Taek Lim,Hyun Ki Hong. Владелец: Picogram Co Ltd. Дата публикации: 2023-08-08.

Equipment for manufacturing carbon block filter and method for manufacturing carbon block filter

Номер патента: US20230339156A1. Автор: Sung Taek Lim,Hyun Ki Hong. Владелец: Picogram Co Ltd. Дата публикации: 2023-10-26.

Method for measuring angular displacement using optical fiber and method for manufacturing the same

Номер патента: US20100096538A1. Автор: Jin-Sang Hwang,Jeong-whan Lee. Владелец: Individual. Дата публикации: 2010-04-22.

Method for manufacturing mold for retroreflective element and method for manufacturing retroreflective element

Номер патента: US20230158717A1. Автор: Sho Arai,Yukinobu NISHIO. Владелец: Nalux Co Ltd. Дата публикации: 2023-05-25.

Removable cleaning devices and methods of use for cleaning vertical stack plumbing systems

Номер патента: US20200206791A1. Автор: Terry Lee Roberts. Владелец: Total Pipeline Cleaning Service Inc. Дата публикации: 2020-07-02.

Metal wire cleaning pads and method and device for cleaning metal wire

Номер патента: WO2013053750A1. Автор: Peter VAN MERKSTEIJN. Владелец: Van Merksteijn Steel Bv. Дата публикации: 2013-04-18.

Removable cleaning devices and methods of use for cleaning vertical stack plumbing systems

Номер патента: US20180290186A1. Автор: Terry Lee Roberts. Владелец: Total Pipeline Cleaning Service Inc. Дата публикации: 2018-10-11.

Removable cleaning devices and methods of use for cleaning vertical stack plumbing systems

Номер патента: US20190224727A1. Автор: Terry Lee Roberts. Владелец: Total Pipeline Cleaning Service Inc. Дата публикации: 2019-07-25.

Method for manufacturing magnetic-disk glass substrate and method for manufacturing magnetic disk, and treatment liquid

Номер патента: MY176437A. Автор: Tawara Yoshihiro. Владелец: Hoya Corp. Дата публикации: 2020-08-10.

Pixel unit and method for manufacturing the same, display panel and method for driving the same

Номер патента: US20190317343A1. Автор: Wenqing ZHAO,Zhongxiao Li. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2019-10-17.

Method for steering a vessel, related navigation system and method for seismic data acquisition

Номер патента: US20240159932A1. Автор: Vetle Vinje. Владелец: Sercel SAS. Дата публикации: 2024-05-16.

Method for steering a vessel, related navigation system and method for seismic data acquisition

Номер патента: EP4285164A1. Автор: Vetle Vinje. Владелец: Sercel SAS. Дата публикации: 2023-12-06.

Method for steering a vessel, related navigation system and method for seismic data acquisition

Номер патента: AU2022212419A1. Автор: Vetle Vinje. Владелец: Sercel SAS. Дата публикации: 2023-07-20.

Method for steering a vessel, related navigation system and method for seismic data acquisition

Номер патента: AU2022212419B2. Автор: Vetle Vinje. Владелец: Sercel SAS. Дата публикации: 2024-08-29.

Method for process design for a casting device and method for controlling a casting device

Номер патента: US20240269738A1. Автор: Boris Schulte. Владелец: Martinrea Honsel Germany GmbH. Дата публикации: 2024-08-15.

Device and method for assessing the electrical potential of cells and method for manufacture of same

Номер патента: US8594764B2. Автор: Jon Rice,Barry H. Hirschowitz. Владелец: Individual. Дата публикации: 2013-11-26.

Method for training a radar-based object detection and method for radar-based surroundings detection

Номер патента: US20230194664A1. Автор: Tobias Strauss,Ali Alawieh. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2023-06-22.

Method for providing customized cleaning information, vacuum cleaner, and method of controlling vacuum cleaner

Номер патента: AU2020402278A1. Автор: Hyohoun NO. Владелец: LG ELECTRONICS INC. Дата публикации: 2022-08-04.

Method for Correcting Determination Threshold of Floor Medium and Method of Detecting Thereof

Номер патента: AU2019462239A1. Автор: Liying Huang,Qinwei LAI. Владелец: Zhuhai Amicro Semiconductor Co Ltd. Дата публикации: 2022-03-03.

Method for Correcting Determination Threshold of Floor Medium and Method of Detecting Thereof

Номер патента: AU2019462239B2. Автор: Liying Huang,Qinwei LAI. Владелец: Zhuhai Amicro Semiconductor Co Ltd. Дата публикации: 2023-10-12.

Calibration method for slice level of zero cross signal and method of producing track-crossing signal

Номер патента: US20020181374A1. Автор: Ronnie Lai. Владелец: Via Technologies Inc. Дата публикации: 2002-12-05.

Rock drilling rig, method for transfer drive of the same, and speed controller

Номер патента: EP2576280A2. Автор: Jukka Osara,Jarno Kuittinen. Владелец: SANDVIK MINING AND CONSTRUCTION OY. Дата публикации: 2013-04-10.

Method for screening factors on a paralysis model and method for obtaining this model

Номер патента: US5724995A. Автор: Sophie Feldblum. Владелец: Individual. Дата публикации: 1998-03-10.

Method for conveying container, device for conveying container, and method for conveying ladle

Номер патента: US20200009648A1. Автор: Takuya Harada. Владелец: Hitachi Metals Ltd. Дата публикации: 2020-01-09.

Method for evaluating friction performance of rubber composition and manufacturing method for tire

Номер патента: EP4099000A1. Автор: Shoichi MUKAI. Владелец: Sumitomo Rubber Industries Ltd. Дата публикации: 2022-12-07.

System and method for correcting curvature information using surrounding vehicle and method thereof

Номер патента: US20210163001A1. Автор: Kwang Il Choi. Владелец: Hyundai Mobis Co Ltd. Дата публикации: 2021-06-03.

Method for operating a process system, process system and method for converting a process system

Номер патента: US20230375987A1. Автор: Stefan Lenz,Gerhard Zapp,Nicolas Blum. Владелец: Linde GmbH. Дата публикации: 2023-11-23.

Wing arrangement, a projectile, a method for deploying a wing blade, a use and a method for assembly

Номер патента: US11781841B2. Автор: David Brocker,Joakim Berggren. Владелец: SAAB AB. Дата публикации: 2023-10-10.

Methods for screening for, or diagnosis of, endometriosis and methods of preparing cells therefor

Номер патента: CA3237070A1. Автор: Dorothee Goldman. Владелец: Diagnostrix As. Дата публикации: 2023-05-19.

Method for sensing methyl salicylate, methyl salicylate sensor, and method for detecting pathogen infection of plants

Номер патента: US20240183779A1. Автор: Katsumi Maeda. Владелец: NEC Corp. Дата публикации: 2024-06-06.

Method for manufacturing multilayer thin-film fpcb and heater

Номер патента: EP4425250A1. Автор: Hyun Seok Kim,Seung Hwan KO,Joon Hwa CHOI,Kwon Kyu KIM. Владелец: SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION. Дата публикации: 2024-09-04.

Self Locking Fasteners And Methods Relating To Same

Номер патента: US20110296668A1. Автор: Scott J. Emmerich. Владелец: Individual. Дата публикации: 2011-12-08.

Artificial feed for mass culture of long-horned beetles, method for manufacturing same, and breeding method using same

Номер патента: CA2874428C. Автор: Dae Am Yi. Владелец: Individual. Дата публикации: 2018-02-13.

Keyboard and method for manufacturing the same

Номер патента: US7034219B2. Автор: Jung-O Koo. Владелец: Individual. Дата публикации: 2006-04-25.

Apparatus and method for physical foaming injection

Номер патента: EP4403329A1. Автор: Byoungmun LIM,Daehwi Lim,Min Ji Kwon. Владелец: Comtech Chemical Co Ltd. Дата публикации: 2024-07-24.

Herb-based hair dye and method for manufacturing the same

Номер патента: US11045415B2. Автор: Sanjeev BHATT. Владелец: Individual. Дата публикации: 2021-06-29.

Herb-based hair dye and method for manufacturing the same

Номер патента: US20200197291A1. Автор: Sanjeev BHATT. Владелец: Individual. Дата публикации: 2020-06-25.

Systems and methods for handrail cleaning

Номер патента: US10618779B2. Автор: Christian E. Thomsen. Владелец: Thomsen's Manufacturing LLC. Дата публикации: 2020-04-14.

Method for cleaning a textile floor covering

Номер патента: US5147467A. Автор: Cheryl Virtue. Владелец: Individual. Дата публикации: 1992-09-15.

Inorganic fiber laminate, vacuum insulation material using same, and manufacturing method for same

Номер патента: MY202040A. Автор: Frederic Bordeaux,Julien Thiery. Владелец: Saint Gobain Isover. Дата публикации: 2024-03-29.

Vessel closures and methods for using and manufacturing same

Номер патента: EP2723647A1. Автор: Michael A. Zumbrum. Владелец: Allpure Technologies LLC. Дата публикации: 2014-04-30.

Method for manufacturing display body, display body, and method for verifying authenticity of display body

Номер патента: EP4071556A4. Автор: Akihito Kagotani,Soko KODA. Владелец: Toppan Inc. Дата публикации: 2023-12-06.

Method for manufacturing display body, display body, and method for verifying authenticity of display body

Номер патента: US20220292326A1. Автор: Akihito Kagotani,Soko KODA. Владелец: Toppan Inc. Дата публикации: 2022-09-15.

Method for manufacturing optical fiber coupling connector and method for measruring roughness of blind hole

Номер патента: TW201139981A. Автор: Chia-Ling Hsu. Владелец: Hon Hai Prec Ind Co Ltd. Дата публикации: 2011-11-16.

Method for reconditioning dryer screens

Номер патента: CA1096649A. Автор: Joseph P.E. Blais,Herman R. Levesque. Владелец: International Paper Canada Inc. Дата публикации: 1981-03-03.

Method for making decorative panels

Номер патента: RU2754886C1. Автор: Паскаль МЕУС. Владелец: Агфа Нв. Дата публикации: 2021-09-08.

Electrophoretic display apparatus and method for manufacturing the same and method for driving the same

Номер патента: US8629859B2. Автор: Kai-Cheng Chuang,Tzu-Ming WANG. Владелец: E Ink Holdings Inc. Дата публикации: 2014-01-14.

Printhead flush and cleaning system and method

Номер патента: CA2292403A1. Автор: John C. Loyd,Robert J. Simon,Dan C. Lyman,John N. Blum,Richard T. Enz. Владелец: Richard T. Enz. Дата публикации: 2000-06-14.

Mower Deck Cleaning System and Method

Номер патента: US20180368311A1. Автор: David J. Durchman. Владелец: Individual. Дата публикации: 2018-12-27.

Systems and methods for drying and/or cleaning devices

Номер патента: WO2023219891A1. Автор: Scott Miller,Frank Carter,Carl GAUGER. Владелец: GI Scientific, LLC. Дата публикации: 2023-11-16.

Floor Cleaning Device and Method

Номер патента: US20210161353A1. Автор: Frankie Deniece Tidwell. Владелец: Individual. Дата публикации: 2021-06-03.

Method for manufacturing a multicolor antique wood flooring

Номер патента: US20080090009A1. Автор: Jianguo Xu. Владелец: Individual. Дата публикации: 2008-04-17.

Vessel closures and methods for using and manufacturing same

Номер патента: US20180297753A1. Автор: Michael A. Zumbrum. Владелец: Sartorius Stedim North America Inc. Дата публикации: 2018-10-18.

Abrasive article and method for manufacturing same (ABRASIVE ARTICLES AND METHOD OF MAKING ABRASIVE ARTICLES)

Номер патента: KR970701614A. Автор: 호 국룬. Владелец: 워렌 리차드 보비. Дата публикации: 1997-04-12.

A mold appartus for manufacturing a spectacle lens and relevant methods

Номер патента: WO2024103259A1. Автор: Ouyang Liu,Jingye LIANG. Владелец: Carl Zeiss Vision Technical Services (Guangzhou) Ltd.. Дата публикации: 2024-05-23.

Method For The Treatment Of A Semiconductor Wafer

Номер патента: US20130068262A1. Автор: Schwab Guenter,Feijoo Diego,Buschhardt Thomas,Luthe Hans-Joachim,Sollinger Franz. Владелец: SILTRONIC AG. Дата публикации: 2013-03-21.

APPARATUS AND METHOD FOR TERMINATING PROBE APPARATUS OF SEMICONDUCTOR WAFER

Номер патента: US20150084662A1. Автор: Root Bryan J.,Funk William A.. Владелец: . Дата публикации: 2015-03-26.

Apparatus and method for terminating probe apparatus of semiconductor wafer

Номер патента: US20160187379A1. Автор: William A. Funk,Bryan J. Root. Владелец: Celadon Systems Inc. Дата публикации: 2016-06-30.

Methods for Controlling Microloading Variation in Semiconductor Wafer Layout and Fabrication

Номер патента: US20150363542A1. Автор: Becker Scott T.,Smayling Michael C.,Reed Brian. Владелец: . Дата публикации: 2015-12-17.

Novel sucrose ligands, methods for their preparation, platinum complexes derived therefrom, and methods for their usage

Номер патента: WO1994000465A9. Автор: . Владелец: . Дата публикации: 1994-03-31.

Fluid medium separator and method for separation of fluid media mixture

Номер патента: RU2266162C2. Автор: Кевин Е. КОЛЛЬЕР. Владелец: Эконова Инк.. Дата публикации: 2005-12-20.

THIN FILM TRANSISTOR, METHOD FOR MANUFACTURING SAME, DISPLAY DEVICE, AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120132909A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-05-31.

Non-woven fabric for cleaning, method for producing the same, and non-woven fabric laminate for cleaning

Номер патента: JP4693341B2. Автор: 弘子 牧原. Владелец: DaiwaboPolytecCo.,Ltd.. Дата публикации: 2011-06-01.

Automatic dry cleaning machine and method of operation

Номер патента: CA1063374A. Автор: John D. Hughes,Al W. Klingensmith. Владелец: White Consolidated Industries Inc. Дата публикации: 1979-10-02.

Drag conveyor idler and method for replacing and manufacturing same

Номер патента: AU2022902925A0. Автор: . Владелец: A1 Engineering & Handling Pty Ltd. Дата публикации: 2022-10-20.

Drag conveyor idler and method for replacing and manufacturing same

Номер патента: AU2020904802A0. Автор: . Владелец: O'neill Jonathon. Дата публикации: 2021-01-21.

Drag conveyor idler and method for replacing and manufacturing same

Номер патента: AU2021903199A0. Автор: . Владелец: A1 Engineering & Handling Pty Ltd. Дата публикации: 2021-10-21.

ELECTROLESS PLATING SOLUTION, METHOD FOR ELECTROLESS PLATING USING THE SAME AND METHOD FOR MANUFACTURING CIRCUIT BOARD

Номер патента: US20120058254A1. Автор: . Владелец: C. UYEMURA & CO., LTD.. Дата публикации: 2012-03-08.

APPARATUS AND METHOD FOR TERMINATING PROBE APPARATUS OF SEMICONDUCTOR WAFER

Номер патента: US20120161804A1. Автор: Root Bryan J.,Funk William A.. Владелец: CELADON SYSTEMS, INC.. Дата публикации: 2012-06-28.

SYSTEM AND METHOD FOR TEMPERATURE CONTROL OF A SEMICONDUCTOR WAFER

Номер патента: US20140027437A1. Автор: Belenky Yuri,Shavit Lavy,Kraus Rafi,Yair Itzak,Nackash Samuel. Владелец: . Дата публикации: 2014-01-30.