Laser apparatus and method of operation therefor

Реферат: Laser apparatus (10) including laser devices (LD1, LD2,,...) for emitting laser pulses, a motorized laser pulse reflection arrangement continuously rotated at a uniform angular velocity for reflecting laser pulses along a single optical path (11) toward a target (12) and a controller (14) synchronized with the motorized laser pulse reflection arrangement for individually firing the laser devices for emitting a train of laser pulses reaching the target without obstruction by the motorized laser pulse reflection arrangement.

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Laser apparatus and method of operation therefor

Номер патента: CA3112983A1. Автор: Arkady Khachaturov. Владелец: LUMENIS LTD. Дата публикации: 2020-04-23.

Laser apparatus and method of operation therefor

Номер патента: AU2019360042A1. Автор: Arkady Khachaturov. Владелец: LUMENIS LTD. Дата публикации: 2021-04-15.

Laser apparatus and method of operation therefor

Номер патента: US20210234340A1. Автор: Arkady Khachaturov. Владелец: LUMENIS LTD. Дата публикации: 2021-07-29.

Narrow band laser apparatus and method for positioning line narrow module

Номер патента: US20170205631A1. Автор: Miwa Igarashi,Yoshinobu Watabe,Koji ASHIKAWA. Владелец: GIGAPHOTON INC. Дата публикации: 2017-07-20.

Improved frequency multiplier and method of producing the same

Номер патента: WO1994028605A1. Автор: Moshe Oron,David Eger,Mordechai Katz,Avigdor Zussman,Adolf Shahna. Владелец: Isorad U.S.A., Inc.. Дата публикации: 1994-12-08.

Laser apparatus, pulse width stretching apparatus, and electronic device manufacturing method

Номер патента: US20230022170A1. Автор: Hirotaka Miyamoto. Владелец: GIGAPHOTON INC. Дата публикации: 2023-01-26.

Substrate inspecting apparatus and operating method thereof

Номер патента: US20240192144A1. Автор: Yong Jun Lee. Владелец: Semes Co Ltd. Дата публикации: 2024-06-13.

Shape memory effect actuator and methods of assembling and operating therefor

Номер патента: CA1226890A. Автор: John R. Yaeger,Robert K. Morgan. Владелец: Raychem Corp. Дата публикации: 1987-09-15.

Shape memory effect actuator and methods of assembling and operating therefor

Номер патента: US4551974A. Автор: John R. Yaeger,Robert K. Morgan. Владелец: Raychem Corp. Дата публикации: 1985-11-12.

Q-switch structure and method of producing q-switch structure

Номер патента: US20240250494A1. Автор: Toshiaki Watanabe,Mitsuteru Inoue,Taichi Goto. Владелец: Toyohashi University of Technology NUC. Дата публикации: 2024-07-25.

Narrowed-line gas laser apparatus and method for manufacturing electronic devices

Номер патента: US12068572B2. Автор: Yosuke Fujimaki. Владелец: GIGAPHOTON INC. Дата публикации: 2024-08-20.

Laser apparatus and electronic device manufacturing method

Номер патента: US20240235149A1. Автор: Toru Suzuki,Osamu Wakabayashi,Hironori IGARASHI,Yousuke FUJIMAKI. Владелец: GIGAPHOTON INC. Дата публикации: 2024-07-11.

Laser-diode-pumped solid-state laser apparatus and status diagnostic method of the same

Номер патента: EP1239557A2. Автор: Masaki Tsunekane,Katsuji Mukaihara. Владелец: Laserfront Technologies Inc. Дата публикации: 2002-09-11.

Laser-diode-pumped solid-state laser apparatus and status diagnostic method of the same

Номер патента: US6822985B2. Автор: Masaki Tsunekane,Katsuji Mukaihara. Владелец: Laserfront Technologies Inc. Дата публикации: 2004-11-23.

Thermal processing apparatus using microwaves and method of operating same

Номер патента: US20240206027A1. Автор: Yoon Seok Choi,Han Lim KANG,Sung Suk WI. Владелец: Semes Co Ltd. Дата публикации: 2024-06-20.

LED Chip Assembly, LED Display Apparatus and Processing Method of LED Chip Assembly

Номер патента: US20230216009A1. Автор: Changjun Lu,Li Ma. Владелец: Leyard Optoelectronic Co Ltd. Дата публикации: 2023-07-06.

Led chip assembly, led display apparatus and processing method of led chip assembly

Номер патента: EP4207279A1. Автор: Changjun Lu,Li Ma. Владелец: Leyard Optoelectronic Co Ltd. Дата публикации: 2023-07-05.

Exposure apparatus and the manufacturing method of semiconductors using said exposure apparatus

Номер патента: TW379363B. Автор: Osamu Tanitsu,Kayo Sugiyama. Владелец: Nikon Corp. Дата публикации: 2000-01-11.

Semiconductor storage device, printing apparatus, and write control method of semiconductor storage device

Номер патента: US20230298994A1. Автор: Yoji Shimoyama. Владелец: Canon Inc. Дата публикации: 2023-09-21.

An apparatus and its driving method of compensating fault of panel side in field emission display

Номер патента: KR100260266B1. Автор: 김진,나성준,최창운. Владелец: 오리온전기주식회사. Дата публикации: 2000-07-01.

Laser apparatus and method for controlling the linewidth of the emitted laser beam

Номер патента: EP4425726A1. Автор: Geert Morthier. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-09-04.

NONVOLATILE MEMORY APPARATUS AND AN OPERATING METHOD OF A NONVOLATILE MEMORY APPARATUS

Номер патента: US20190325975A1. Автор: KIM Kwi Dong,KO Keun Sik. Владелец: SK HYNIX INC.. Дата публикации: 2019-10-24.

Organic light emitting display apparatus and the test method of contact pad thereof

Номер патента: KR102423194B1. Автор: 이광수. Владелец: 삼성디스플레이 주식회사. Дата публикации: 2022-07-21.

A roll stamp for imprint apparatus and a manufacturing method of the same

Номер патента: KR102117840B1. Автор: 이주열,이주영,이상열,김만. Владелец: 한국기계연구원. Дата публикации: 2020-06-03.

Organic light emitting display apparatus and the test method of contact pad thereof

Номер патента: KR20160090446A. Автор: 이광수. Владелец: 삼성디스플레이 주식회사. Дата публикации: 2016-08-01.

Heating apparatus and a test method of the heating apparatus

Номер патента: KR100334301B1. Автор: 가와노겐지,하야사끼게이,이또신이찌. Владелец: 니시무로 타이죠. Дата публикации: 2002-05-03.

Semiconductor laser apparatus and optical pickup apparatus using same

Номер патента: US20030174748A1. Автор: Kazunori Matsubara,Ayumi Yagi. Владелец: Sharp Corp. Дата публикации: 2003-09-18.

Laser apparatus and extreme ultraviolet light generation system

Номер патента: WO2013144690A1. Автор: Osamu Wakabayashi,Masato Moriya,Takashi Suganuma,Hidenobu Kameda. Владелец: Gigaphoton Inc.. Дата публикации: 2013-10-03.

Laser apparatus and reservoir computing system

Номер патента: US20200052463A1. Автор: Seiji Takeda,Toshiyuki Yamane,Daiju Nakano. Владелец: International Business Machines Corp. Дата публикации: 2020-02-13.

Laser apparatus and laser apparatus manufacturing method

Номер патента: US20160365694A1. Автор: Hideo Hoshino,Yasufumi Kawasuji. Владелец: GIGAPHOTON INC. Дата публикации: 2016-12-15.

Laser apparatus and laser apparatus manufacturing method

Номер патента: WO2013144693A2. Автор: Hideo Hoshino,Yasufumi Kawasuji. Владелец: Gigaphoton Inc.. Дата публикации: 2013-10-03.

Image processing apparatus, authentication apparatus, and user authentication method of image processing apparatus

Номер патента: US11372966B2. Автор: Myung Hwa SON. Владелец: Hanwha Techwin Co Ltd. Дата публикации: 2022-06-28.

Projection apparatus and direct setting method of projection image

Номер патента: US20220038665A1. Автор: Yuan-Mao TSUI,Yu-Cheng Lee. Владелец: Optoma Corp. Дата публикации: 2022-02-03.

Metal back plate, display apparatus, and pre-coating method of metal back plate

Номер патента: US20220121252A1. Автор: Bing Li,Liqiang Liu,Zuo Chai. Владелец: Shenzhen TCL Digital Technology Co Ltd. Дата публикации: 2022-04-21.

Image forming apparatus and data communication method of image forming apparatus

Номер патента: US20200252511A1. Автор: Takaaki Suzuki. Владелец: Toshiba TEC Corp. Дата публикации: 2020-08-06.

Display apparatus and assembly method thereof

Номер патента: US10520662B1. Автор: Wei Lin,XING Chen,Yaowu Hong,Shanxiu Wang. Владелец: Xiamen Tianma Microelectronics Co Ltd. Дата публикации: 2019-12-31.

SCANNING OBSERVATION APPARATUS AND IMAGE DISPLAY METHOD OF SCANNING OBSERVATION APPARATUS

Номер патента: US20180007335A1. Автор: Nishimura Junichi,SHIMAMOTO Atsuyoshi. Владелец: OLYMPUS CORPORATION. Дата публикации: 2018-01-04.

Image generation apparatus and image generation method of generating a wide viewing angle image

Номер патента: US9939890B2. Автор: Tomohiro Oto. Владелец: Sony Interactive Entertainment Inc. Дата публикации: 2018-04-10.

Scanning observation apparatus and image display method of the scanning observation apparatus

Номер патента: DE112015006182T5. Автор: Junichi Nishimura,Atsuyoshi Shimamoto. Владелец: Olympus Corp. Дата публикации: 2017-11-02.

Fluorescence image analyzing apparatus and image processing method of fluorescence image

Номер патента: EP3388819B1. Автор: Shohei Matsumoto,Shruti Sharma. Владелец: Sysmex Corp. Дата публикации: 2023-08-30.

Fiber laser apparatus, image display apparatus and method of exciting up-conversion fiber laser apparatus

Номер патента: US20040156402A1. Автор: Kiyoyuki Kawai,Masaki Tsuchida. Владелец: Toshiba Corp. Дата публикации: 2004-08-12.

Laser apparatus and method of controlling the laser apparatus

Номер патента: US20130070802A1. Автор: Shigeru Ichihara. Владелец: Canon Inc. Дата публикации: 2013-03-21.

P-element doped laser medium apparatus and method

Номер патента: US20110206078A1. Автор: Robert R. Alfano,Mikhail Sharonov,Alexei Bykov. Владелец: Individual. Дата публикации: 2011-08-25.

Laser apparatus and photoacoustic apparatus

Номер патента: US20140031667A1. Автор: Shuichi Kobayashi,Shigeru Ichihara. Владелец: Canon Inc. Дата публикации: 2014-01-30.

Laser apparatus and a method of fabricating thereof

Номер патента: WO2003003530A9. Автор: Alexander A Betin,Hans W Bruesselbach,David Sumida. Владелец: David Sumida. Дата публикации: 2004-07-08.

Circulation type gas purification apparatus and method of operating the same

Номер патента: US5277040A. Автор: Jin Mitsui. Владелец: Sumitomo Heavy Industries Ltd. Дата публикации: 1994-01-11.

Grating coupling free electron laser apparatus and method

Номер патента: AU5104698A. Автор: John E Walsh. Владелец: Dartmouth College. Дата публикации: 1998-06-03.

A configurable antenna and method of operating such a configurable antenna

Номер патента: WO2016207610A1. Автор: Martin Lysejko,Stuart Parrott. Владелец: AIRSPAN NETWORKS INC.. Дата публикации: 2016-12-29.

A configurable antenna and method of operating such a configurable antenna

Номер патента: EP3314779A1. Автор: Martin Lysejko,Stuart Parrott. Владелец: Airspan Networks Inc. Дата публикации: 2018-05-02.

Excimer laser apparatus and excimer laser system

Номер патента: US10177520B2. Автор: Osamu Wakabayashi,Kouji Kakizaki,Takeshi Asayama. Владелец: GIGAPHOTON INC. Дата публикации: 2019-01-08.

Excimer laser apparatus and excimer laser system

Номер патента: US20160254634A1. Автор: Osamu Wakabayashi,Kouji Kakizaki,Takeshi Asayama. Владелец: GIGAPHOTON INC. Дата публикации: 2016-09-01.

Excimer laser apparatus and excimer laser system

Номер патента: US20180048109A1. Автор: Osamu Wakabayashi,Kouji Kakizaki,Takeshi Asayama. Владелец: GIGAPHOTON INC. Дата публикации: 2018-02-15.

ATM communication apparatus and bandwidth control method of the same

Номер патента: US20010028631A1. Автор: Hiroshi Hashimoto,Atsushi Iwamura,Yoshihiro Ashi,Noriko Saitoh. Владелец: HITACHI LTD. Дата публикации: 2001-10-11.

ATM communication apparatus and bandwidth control method of the same

Номер патента: US20060153234A1. Автор: Hiroshi Hashimoto,Atsushi Iwamura,Yoshihiro Ashi,Noriko Saitoh. Владелец: Individual. Дата публикации: 2006-07-13.

Apparatus and wireless communication method of positioning measurement

Номер патента: WO2024169754A1. Автор: Li Guo. Владелец: GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD.. Дата публикации: 2024-08-22.

Rudp apparatus and dynamic adjustment method of sliding window parameter

Номер патента: US20190075057A1. Автор: Yi-Sheng Wu,Yuan-Hsiu Lee. Владелец: Acer Inc. Дата публикации: 2019-03-07.

RUDP apparatus and dynamic adjustment method of sliding window parameter

Номер патента: US10530701B2. Автор: Yi-Sheng Wu,Yuan-Hsiu Lee. Владелец: Acer Inc. Дата публикации: 2020-01-07.

Apparatuses and wireless communication methods of resource allocation

Номер патента: WO2024067846A1. Автор: Wenfeng Zhang. Владелец: GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD.. Дата публикации: 2024-04-04.

Display device manufacturing apparatus and method

Номер патента: US20190341277A1. Автор: Juhee Lee,Myungsoo Huh,TaeJong Kim,Haeyoung YOO,Jaekyu Park,Hyunwoo Joo. Владелец: Samsung Display Co Ltd. Дата публикации: 2019-11-07.

Battery cell, battery, electric apparatus, and manufacturing method of battery

Номер патента: US12068446B2. Автор: Kun FANG. Владелец: Jiangsu Contemporary Amperex Technology Ltd. Дата публикации: 2024-08-20.

Vacuum prcessing apparatus and vacuum processing method of sample

Номер патента: US20090000741A1. Автор: Tsunehiko Tsubone,Shigeru Shirayone,Tadamitsu Kanekiyo,Hideki Kihara,Tooru Aramaki. Владелец: Individual. Дата публикации: 2009-01-01.

Solid-state imaging apparatus and manufacturing method thereof

Номер патента: US8440493B2. Автор: Takehiro Toyoda. Владелец: Canon Inc. Дата публикации: 2013-05-14.

Heat exchange plate, battery, electric apparatus, and fabrication method for battery

Номер патента: EP4386935A1. Автор: Haihua Huang,Liangyi WANG. Владелец: Contemporary Amperex Technology Co Ltd. Дата публикации: 2024-06-19.

Epitaxy susceptor, epitaxy growth apparatus and manufacturing method of semiconductor device

Номер патента: US20240191393A1. Автор: Gongbai Cao,Shuai Pan. Владелец: Zing Semiconductor Corp. Дата публикации: 2024-06-13.

Sensing apparatus and sensing method thereof

Номер патента: US20220201230A1. Автор: Wan-Jung Lo. Владелец: Egis Technology Inc. Дата публикации: 2022-06-23.

Battery, electric device, and method for manufacturing battery

Номер патента: EP4270597A1. Автор: ZHI Wang,Feng Qin. Владелец: Contemporary Amperex Technology Co Ltd. Дата публикации: 2023-11-01.

Transportation apparatus and tension adjustment method of belt in the same

Номер патента: TW200902261A. Автор: Yasushi Taniyama,Sachio Tachibana. Владелец: Shinko Electric Co Ltd. Дата публикации: 2009-01-16.

Multi charged particle beam apparatus, and shape adjustment method of multi charged particle beam image

Номер патента: US9966228B2. Автор: Osamu Iizuka. Владелец: Nuflare Technology Inc. Дата публикации: 2018-05-08.

Battery cell, battery, electric apparatus, and manufacturing method of battery

Номер патента: US20220149421A1. Автор: Kun FANG. Владелец: Jiangsu Contemporary Amperex Technology Ltd. Дата публикации: 2022-05-12.

Electroplating apparatus and electroplating method

Номер патента: US20210301416A1. Автор: HUI Wang,Jian Wang,Zhaowei Jia,Hongchao YANG. Владелец: ACM Research Shanghai Inc. Дата публикации: 2021-09-30.

Conveying Apparatus and a Conveying Method of Separator of Electric Device

Номер патента: US20170047568A1. Автор: Yasuhiro Sawada. Владелец: Nissan Motor Co Ltd. Дата публикации: 2017-02-16.

X-ray source apparatus and control method thereof

Номер патента: US20190306963A1. Автор: Sang Heon Lee,Cheol Jin Lee,Jun Soo Han,Han Bin Go. Владелец: KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION. Дата публикации: 2019-10-03.

Programmable switch circuit and method, method of manufacture, and devices and systems including the same

Номер патента: TW201006131A. Автор: Madhukar B Vora. Владелец: DSM Solutions Inc. Дата публикации: 2010-02-01.

Display apparatus and manufacturing method thereof

Номер патента: US20200358019A1. Автор: Yi-Hwa Song,Hsi-An Chen,Hsien-Hung Chen,Shang-Ta TSAI. Владелец: AU OPTRONICS CORP. Дата публикации: 2020-11-12.

Conveying Apparatus and a Conveying Method of Separator of Electric Device

Номер патента: US20170047568A1. Автор: Sawada Yasuhiro. Владелец: . Дата публикации: 2017-02-16.

CONVEYING APPARATUS AND A CONVEYING METHOD OF SEPARATOR OF ELECTRIC DEVICE

Номер патента: US20150349311A1. Автор: Sawada Yasuhiro. Владелец: . Дата публикации: 2015-12-03.

Operation apparatus and position controlling method of xyz axis of wirebonder

Номер патента: KR100321781B1. Автор: 이태희,이동헌. Владелец: 박종섭. Дата публикации: 2002-03-08.

A kind of two bring out the battery pack topology apparatus and its control method of high-voltage line

Номер патента: CN108682906A. Автор: 谷峰,璋峰嘲. Владелец: Aiways Automobile Co Ltd. Дата публикации: 2018-10-19.

MULTI CHARGED PARTICLE BEAM APPARATUS, AND SHAPE ADJUSTMENT METHOD OF MULTI CHARGED PARTICLE BEAM IMAGE

Номер патента: US20170169993A1. Автор: IIZUKA Osamu. Владелец: NuFlare Technology, Inc.. Дата публикации: 2017-06-15.

CHARGED PARTICLE BEAM APPARATUS AND ALIGNMENT ADJUSTMENT METHOD OF SAMPLE STAGE

Номер патента: US20180240641A1. Автор: Chiba Hiroyuki,Mikami Keisuke,NESAKI Toshiaki. Владелец: . Дата публикации: 2018-08-23.

Substrate processing apparatus and vacuum forming method of substrate processing apparatus

Номер патента: KR102348968B1. Автор: 김종식,황철주,신현욱. Владелец: 주성엔지니어링(주). Дата публикации: 2022-01-11.

Reflow apparatus and heat treatment method of semiconductor wafer using the apparatus

Номер патента: KR19990079432A. Автор: 이두환,고현국. Владелец: 윤종용. Дата публикации: 1999-11-05.

Bonding jig, bonding apparatus and its bonding method of micro- light-emitting device

Номер патента: CN108258088A. Автор: 徐宸科. Владелец: Xiamen Sanan Optoelectronics Technology Co Ltd. Дата публикации: 2018-07-06.

The Plasma Generation Apparatus And The Operational Method Of The Same

Номер патента: KR102257146B1. Автор: 손영훈,이윤성,박세홍,엄세훈. Владелец: 인투코어테크놀로지 주식회사. Дата публикации: 2021-05-27.

The Plasma Generation Apparatus And The Operational Method Of The Same

Номер патента: KR102377603B1. Автор: 손영훈,이윤성,박세홍,엄세훈. Владелец: 인투코어테크놀로지 주식회사. Дата публикации: 2022-03-23.

Substrate processing apparatus and substrate processing method of using the same

Номер патента: KR20230067945A. Автор: 고석남. Владелец: 주식회사 원익아이피에스. Дата публикации: 2023-05-17.

Semiconductor apparatus and the fabricating method of the same

Номер патента: TW447090B. Автор: Shigeo Kimura,Haruo Hyodo. Владелец: Sanyo Electric Co. Дата публикации: 2001-07-21.

Semiconductor laser apparatus and method of observing semiconductor laser apparatus

Номер патента: US20010046770A1. Автор: Shigemitsu Shiba. Владелец: Individual. Дата публикации: 2001-11-29.

Semiconductor laser apparatus and method of producing the same

Номер патента: US20030063640A1. Автор: Ikuo Kohashi. Владелец: Sharp Corp. Дата публикации: 2003-04-03.

Laser apparatus and production method of laser apparatus

Номер патента: US20090052486A1. Автор: Kenji Tamamori,Shinan Wang. Владелец: Canon Inc. Дата публикации: 2009-02-26.

Laser apparatus and production method of laser apparatus

Номер патента: US7477668B2. Автор: Kenji Tamamori,Shinan Wang. Владелец: Canon Inc. Дата публикации: 2009-01-13.

Surface emitting laser apparatus and method for manufacturing the same

Номер патента: US20240235159A9. Автор: Li-Hung Lai,Li-Wen Lai. Владелец: HLJ TECHNOLOGY Co Ltd. Дата публикации: 2024-07-11.

Surface emitting laser apparatus and method for manufacturing the same

Номер патента: US20240235158A9. Автор: Li-Hung Lai,Li-Wen Lai. Владелец: HLJ TECHNOLOGY Co Ltd. Дата публикации: 2024-07-11.

Laser apparatus, euv light generation system, and method of controlling laser apparatus

Номер патента: US20170070024A1. Автор: Yasufumi Kawasuji,Toshio Yokozuka,Naoya TAKAOKA. Владелец: GIGAPHOTON INC. Дата публикации: 2017-03-09.

Laser apparatus and power adjustment method for optical network terminal

Номер патента: EP4277050A1. Автор: Tianhai Chang,Guangcan Chen,Yuanbing Cheng. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-11-15.

Wavelength-tunable laser apparatus and wavelength changing method thereof

Номер патента: US20110002349A1. Автор: Kenji Sato,Koji Kudo,Kenji Mizutani. Владелец: NEC Corp. Дата публикации: 2011-01-06.

Ultrasound diagnostic apparatus and operation method of ultrasound diagnostic apparatus

Номер патента: US11737731B2. Автор: Katsuya Yamamoto,Satoru Okada,Yasuhiko Morimoto. Владелец: Fujifilm Corp. Дата публикации: 2023-08-29.

Information processing apparatus and information processing method

Номер патента: US12017768B2. Автор: Hiroaki Nishimura,Michiro Hirai. Владелец: Sony Group Corp. Дата публикации: 2024-06-25.

Display apparatus and displaying method of the same

Номер патента: US9032333B2. Автор: Chang-Soo Lee,Sang-Hee Lee,Yeo-Ri Yoon,Dong-Heon Lee,Joon-Ho Phang,Hyeon-Ji Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-05-12.

Display apparatus and displaying method of the same

Номер патента: EP2405372A3. Автор: Chang-Soo Lee,Sang-Hee Lee,Yeo-Ri Yoon,Dong-Heon Lee,Joon-Ho Phang,Hyeon-Ji Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2012-03-28.

Image forming apparatus and control method of image forming apparatus

Номер патента: US20200267276A1. Автор: Nobuyuki Kato. Владелец: Toshiba TEC Corp. Дата публикации: 2020-08-20.

Transfer apparatus, and jitter control method of transmission signal

Номер патента: US20110110474A1. Автор: Tomoko Sato,Sunao Itou. Владелец: Fujitsu Ltd. Дата публикации: 2011-05-12.

Display apparatus and control method therefor

Номер патента: EP1770526A3. Автор: Tae-Deok Kweon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-07-29.

Imaging apparatus and a control method of the imaging apparatus

Номер патента: EP2074817A1. Автор: Atsushi Matsutani. Владелец: Ricoh Co Ltd. Дата публикации: 2009-07-01.

Imaging apparatus and a control method of the imaging apparatus

Номер патента: WO2009017137A1. Автор: Atsushi Matsutani. Владелец: RICOH COMPANY, LTD.. Дата публикации: 2009-02-05.

Recording and reproducing apparatus and time calibration method of recording and reproducing apparatus

Номер патента: US20020026290A1. Автор: Kazuyoshi Ozaki. Владелец: Individual. Дата публикации: 2002-02-28.

The processing method and relevant apparatus and server of a kind of operation flow

Номер патента: CN107656821A. Автор: 方敏. Владелец: Wuhan Bill Trading Center Co Ltd. Дата публикации: 2018-02-02.

Blockchain-Based Systems and Methods for Disclosure of Operating Systems

Номер патента: KR20230073274A. Автор: 알레씨오 파가니. Владелец: 엔체인 라이센싱 아게. Дата публикации: 2023-05-25.

Apparatus and a method for detecting motion within an image sequence

Номер патента: US6081606A. Автор: Michael Wade Hansen,Peter Jeffrey Burt. Владелец: Sarnoff Corp. Дата публикации: 2000-06-27.

Ultrasound diagnostic apparatus and operation method of ultrasound diagnostic apparatus

Номер патента: US20230346349A1. Автор: Katsuya Yamamoto,Satoru Okada,Yasuhiko Morimoto. Владелец: Fujifilm Corp. Дата публикации: 2023-11-02.

Image display apparatus and image adjustment method of image display apparatus

Номер патента: US20160156890A1. Автор: Makoto Kobayashi,Jun Yoshimura. Владелец: Seiko Epson Corp. Дата публикации: 2016-06-02.

Image display apparatus and image adjustment method of image display apparatus

Номер патента: US9280809B2. Автор: Makoto Kobayashi,Jun Yoshimura. Владелец: Seiko Epson Corp. Дата публикации: 2016-03-08.

Failure diagnosis apparatus and failure diagnosis method of vehicular electronic control system

Номер патента: MY122839A. Автор: YASUDA Akira,Abe Toshiyuki,HARA Yusuke. Владелец: Mitsubishi Motors Corp. Дата публикации: 2006-05-31.

Image processing apparatus and controlling method of the same

Номер патента: US20110061020A1. Автор: Eun-Seok Choi,Sang-on Choi,Byung-seok Soh,Ho-June Yoo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2011-03-10.

Information processing apparatus and start-up method of the same

Номер патента: US11914714B2. Автор: Yoshihisa Nomura. Владелец: Canon Inc. Дата публикации: 2024-02-27.

Image forming apparatus and control method of image forming apparatus

Номер патента: US20190356802A1. Автор: Nobuyuki Kato. Владелец: Toshiba TEC Corp. Дата публикации: 2019-11-21.

Electronic apparatus and controlling method thereof

Номер патента: US20200333949A1. Автор: Hyunsig LIM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-10-22.

Electronic apparatus and controlling method thereof

Номер патента: EP3726357A1. Автор: Hyunsig LIM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-10-21.

PROJECTION APPARATUS AND DIRECT SETTING METHOD OF PROJECTION IMAGE

Номер патента: US20220038665A1. Автор: Lee Yu-Cheng,Tsui Yuan-Mao. Владелец: Optoma Corporation. Дата публикации: 2022-02-03.

INFORMATION DISPLAY APPARATUS AND INFORMATION DISPLAYING METHOD OF INFORMATION DISPLAY APPARATUS

Номер патента: US20170034383A1. Автор: SUZUKI Minoru. Владелец: . Дата публикации: 2017-02-02.

IMAGE MEASUREMENT APPARATUS AND GUIDANCE DISPLAY METHOD OF IMAGE MEASUREMENT APPARATUS

Номер патента: US20160093068A1. Автор: TAKADA Akira,HANAMURA Takashi,SUGAI Yu. Владелец: MITUTOYO CORPORATION. Дата публикации: 2016-03-31.

ELECTRIC POWER MOTION APPARATUS AND LEASE MANAGEMENT METHOD OF ELECTRIC POWER MOTION APPARATUS

Номер патента: US20200097000A1. Автор: TIAN YU. Владелец: . Дата публикации: 2020-03-26.

IMAGING APPARATUS AND IMAGE PROCESSING METHOD OF THEREOF

Номер патента: US20170163951A1. Автор: Ovsiannikov Ilia,GETMAN Alexander. Владелец: . Дата публикации: 2017-06-08.

Information processing apparatus and start-up method of the same

Номер патента: US20210232690A1. Автор: Yoshihisa Nomura. Владелец: Canon Inc. Дата публикации: 2021-07-29.

IMAGE FORMING APPARATUS AND DATA COMMUNICATION METHOD OF IMAGE FORMING APPARATUS

Номер патента: US20200252511A1. Автор: SUZUKI Takaaki. Владелец: . Дата публикации: 2020-08-06.

Signal receiving apparatus and signal receiving method of the same

Номер патента: US20140361925A1. Автор: Young-Jin Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2014-12-11.

Electronic apparatus and information display method of the electronic apparatus

Номер патента: US20160334956A1. Автор: Takenori Tomino. Владелец: Kyocera Corp. Дата публикации: 2016-11-17.

The image processing apparatus and image processing method of time needed for estimation print processing

Номер патента: CN107020845A. Автор: 中根弘之. Владелец: Canon Inc. Дата публикации: 2017-08-08.

Flexible display apparatus and image displaying method of the same

Номер патента: KR102256677B1. Автор: 정찬성. Владелец: 삼성디스플레이 주식회사. Дата публикации: 2021-05-28.

Multi view image display apparatus and disparity estimation method of thereof

Номер патента: KR101882931B1. Автор: 백아론. Владелец: 삼성전자주식회사. Дата публикации: 2018-07-30.

Image surveillance apparatus and image transmit method of the apparatus

Номер патента: KR20080100858A. Автор: 정팽찬. Владелец: 국제미디어주식회사. Дата публикации: 2008-11-21.

Electronic apparatus and power controllign method of thereof

Номер патента: KR102495235B1. Автор: 윤승일,박종진,조경익,김민섭,윤현규,서제환. Владелец: 삼성전자주식회사. Дата публикации: 2023-02-02.

A portable apparatus and an input method of a portable apparatus

Номер патента: CN102968206A. Автор: 全轸泳,金贤璟,金泰延,朴贤美,高祥赫,朴暳斌,吴穗璂. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2013-03-13.

Image forming apparatus and function adding method of image forming apparatus

Номер патента: JP4039439B2. Автор: 博一 山田. Владелец: KONICA MINOLTA BUSINESS TECHNOLOGIES INC. Дата публикации: 2008-01-30.

Portable electronic apparatus and operation detecting method of portable electronic apparatus

Номер патента: US8854310B2. Автор: Saya Shigeta. Владелец: Kyocera Corp. Дата публикации: 2014-10-07.

For providing the user terminal apparatus and its control method of translation service

Номер патента: CN107851096A. Автор: 尹允镇. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-03-27.

Electronic apparatus and list display method of electronic apparatus

Номер патента: US20100088645A1. Автор: Yue Zhang,Takashi Ichinose,Akemi Toyokura. Владелец: Toshiba Corp. Дата публикации: 2010-04-08.

Flexible display apparatus and image display method of the same

Номер патента: CN104680958A. Автор: 郑灿成. Владелец: Samsung Display Co Ltd. Дата публикации: 2015-06-03.

Display apparatus and light control method of the same

Номер патента: US8152311B2. Автор: Bong-Hwan Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2012-04-10.

Ultrasonic diagnostic apparatus and data processing method of ultrasonic diagnostic apparatus

Номер патента: JPWO2010029838A1. Автор: 信彦 藤井,藤井 信彦. Владелец: Hitachi Medical Corp. Дата публикации: 2012-02-02.

IMAGE FORMING APPARATUS AND SETTING DISPLAY METHOD OF IMAGE FORMING APPARATUS

Номер патента: JP7289226B2. Автор: 和久 久保田. Владелец: Sharp Corp. Дата публикации: 2023-06-09.

Signal processing apparatus and signal processing method of the same

Номер патента: KR101108017B1. Автор: 이용호,김진목,권혁찬,김기웅. Владелец: 한국표준과학연구원. Дата публикации: 2012-01-25.

Operation management apparatus and operation management method of autonomous travel vehicle

Номер патента: US11914396B2. Автор: KEIICHI Uno,Kenji Okazaki,Hiroshi Higashide. Владелец: Toyota Motor Corp. Дата публикации: 2024-02-27.

Operation management apparatus and operation management method of autonomous travel vehicle

Номер патента: US20210312813A1. Автор: KEIICHI Uno,Kenji Okazaki,Hiroshi Higashide. Владелец: Toyota Motor Corp. Дата публикации: 2021-10-07.

Operation management apparatus and operation management method of autonomous travel vehicle

Номер патента: US12039870B2. Автор: KEIICHI Uno,Kenji Okazaki,Hiroshi Higashide. Владелец: Toyota Motor Corp. Дата публикации: 2024-07-16.

System and method for prediction of operational safety of metallurgical vessels

Номер патента: EP4242768A1. Автор: Yakup Bayram. Владелец: PANERATECH Inc. Дата публикации: 2023-09-13.

Image-forming apparatus and phase match method of photosensitive parts

Номер патента: EP4383009A1. Автор: Lei Yin,Haifei GONG,Zihao Yan. Владелец: Zhuhai Pantum Electronics Co Ltd. Дата публикации: 2024-06-12.

Electronic apparatus, and image processing method of electronic apparatus

Номер патента: EP4297397A1. Автор: Shaojie Chen,Weicheng Zhang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-12-27.

Operation management apparatus and operation management method of autonomous travel vehicle

Номер патента: US20210311495A1. Автор: KEIICHI Uno,Kenji Okazaki,Hiroshi Higashide. Владелец: Toyota Motor Corp. Дата публикации: 2021-10-07.

Information processing apparatus, a sender apparatus and a control method of the information processing apparatus

Номер патента: US20130246851A1. Автор: Yoshitsugu Goto. Владелец: Fujitsu Ltd. Дата публикации: 2013-09-19.

System and method for prediction of operational safety of metallurgical vessels

Номер патента: US20230289625A1. Автор: Yakup Bayram. Владелец: PANERATECH Inc. Дата публикации: 2023-09-14.

Image alignment apparatus and image alignment method of using the same

Номер патента: US20150055873A1. Автор: Joon Sung Lee,Jae Yoon Oh,Gon Soo KIM. Владелец: Samsung Techwin Co Ltd. Дата публикации: 2015-02-26.

Magneto-optical disk apparatus and position adjustment method of head mechanism

Номер патента: US20020064101A1. Автор: Hitoshi Watanabe,Masashi Suenaga,Norio Ohta. Владелец: Hitachi Maxell Ltd. Дата публикации: 2002-05-30.

Image-forming apparatus and phase match method of photosensitive parts

Номер патента: US20240184238A1. Автор: Lei Yin,Haifei GONG,Zihao Yan. Владелец: Zhuhai Pantum Electronics Co Ltd. Дата публикации: 2024-06-06.

Semiconductor apparatus and status control method of semiconductor apparatus

Номер патента: US20170068629A1. Автор: Hideaki Tomonaga. Владелец: Renesas Electronics Corp. Дата публикации: 2017-03-09.

Electric power motion apparatus and lease management method of electric power motion apparatus

Номер патента: EP3628528A1. Автор: Yu Tian. Владелец: Yujet International Corp Ltd. Дата публикации: 2020-04-01.

Semiconductor memory apparatus and refresh control method of the same

Номер патента: US8169847B2. Автор: Young Hoon Oh. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-05-01.

Electronic apparatus and image processing method of electronic apparatus

Номер патента: US20230214955A1. Автор: Fei Huang,Cui Hu,Weicheng Zhang,Jieyu Chu,Qiushi Qin. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-07-06.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20230172583A1. Автор: Tetsurou Ebata. Владелец: Fujifilm Corp. Дата публикации: 2023-06-08.

Zoom lens, an optical apparatus, and a manufacturing method of the zoom lens

Номер патента: US20180031812A1. Автор: Atsushi Suzuki. Владелец: Nikon Corp. Дата публикации: 2018-02-01.

Zoom lens, optical apparatus, and a manufacturing method of the zoom lens

Номер патента: US20240061222A1. Автор: Keigo Koida. Владелец: Nikon Corp. Дата публикации: 2024-02-22.

Zoom lens, optical apparatus, and a manufacturing method of the zoom lens

Номер патента: US11822063B2. Автор: Keigo Koida. Владелец: Nikon Corp. Дата публикации: 2023-11-21.

ZOOM LENS, AN OPTICAL APPARATUS, AND A MANUFACTURING METHOD OF THE ZOOM LENS

Номер патента: US20180031812A1. Автор: Suzuki Atsushi. Владелец: . Дата публикации: 2018-02-01.

ZOOM LENS, OPTICAL APPARATUS, AND A MANUFACTURING METHOD OF THE ZOOM LENS

Номер патента: US20200064605A1. Автор: KOIDA Keigo. Владелец: . Дата публикации: 2020-02-27.

Zoom lens, optical apparatus, and a manufacturing method of the zoom lens

Номер патента: US20160341939A1. Автор: Keigo Koida. Владелец: Nikon Corp. Дата публикации: 2016-11-24.

ZOOM LENS, AN OPTICAL APPARATUS, AND A MANUFACTURING METHOD OF THE ZOOM LENS

Номер патента: US20160349491A1. Автор: Suzuki Atsushi. Владелец: . Дата публикации: 2016-12-01.

Method of wafer dicing and manufacturing method of semiconductor devices using the same

Номер патента: US20240290658A1. Автор: Jimin Kim,Youngchul KWON. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-29.

Ion beam processing apparatus and method of operating ion source therefor

Номер патента: US20030030009A1. Автор: Shigeru Tanaka,Isao Hashimoto. Владелец: HITACHI LTD. Дата публикации: 2003-02-13.

Apparatus and method for grinding, lapping and polishing semiconductor wafers

Номер патента: WO2000047366A2. Автор: KOBAYASHI Masayuki. Владелец: Shin-Etsu Handotai Europe Limited. Дата публикации: 2000-08-17.

Apparatus and method for grinding, lapping and polishing semiconductor wafers

Номер патента: WO2000047366A3. Автор: KOBAYASHI Masayuki. Владелец: Shin Etsu Handotai Europ Ltd. Дата публикации: 2000-11-16.

Wavelength conversion assembly, projection apparatus and manufacturing method of wavelength conversion assembly

Номер патента: US20220091492A1. Автор: Wei-Hua Kao. Владелец: Coretronic Corp. Дата публикации: 2022-03-24.

The filtering apparatus and its manufacture method of living environment atmosphere are moulded for lighting device

Номер патента: CN107023805A. Автор: 张汉新. Владелец: Individual. Дата публикации: 2017-08-08.

Apparatus and method for cryptography secure against side-channel attacks

Номер патента: EP4407589A1. Автор: Hyosun Hwang,KyoungMoon AHN,Gisoo Seo,Hyojoon Jin. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-31.

Apparatus and method for cryptography secure against side-channel attacks

Номер патента: US20240250801A1. Автор: Hyosun Hwang,KyoungMoon AHN,Gisoo Seo,Hyojoon Jin. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-25.

A power supply and method of operation therefor

Номер патента: WO2007092684A3. Автор: Christopher E Brice,Wisam A Hanna. Владелец: Wisam A Hanna. Дата публикации: 2008-05-15.

A power supply and method of operation therefor

Номер патента: WO2007092684A2. Автор: Wisam A. Hanna,Christopher E. Brice. Владелец: MOTOROLA, INC.. Дата публикации: 2007-08-16.

Apparatus and method for delivering power to a contactless portable data source

Номер патента: WO1999031684A1. Автор: Thomas Glenn Hall,Stephen Leigh Kuffner,Scott Nelson Carney. Владелец: Motorola Inc.. Дата публикации: 1999-06-24.

Image processing apparatus and method of operating the same

Номер патента: EP4379651A1. Автор: Sol NAMKUNG,Seungwon Choi,Jongseong Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-05.

Projection display apparatus and method of controlling the same

Номер патента: US9386259B2. Автор: Shinji Kubota. Владелец: Seiko Epson Corp. Дата публикации: 2016-07-05.

Projection display apparatus and method of controlling the same

Номер патента: WO2012035744A2. Автор: Shinji Kubota. Владелец: SEIKO EPSON CORPORATION. Дата публикации: 2012-03-22.

Projection display apparatus and method of controlling the same

Номер патента: US20160373707A1. Автор: Shinji Kubota. Владелец: Seiko Epson Corp. Дата публикации: 2016-12-22.

Projection display apparatus and method of controlling the same

Номер патента: EP2617200A2. Автор: Shinji Kubota. Владелец: Seiko Epson Corp. Дата публикации: 2013-07-24.

Display panel, display apparatus and manufacturing method of display panel

Номер патента: US20180081238A1. Автор: Hyunsic Choi,Yunyun TIAN. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2018-03-22.

LIQUID CRYSTAL DEVICE, WAVELENGTH SELECTION OPTICAL SWITCH APPARATUS, AND PIXEL INSPECTION METHOD OF LIQUID CRYSTAL DEVICE

Номер патента: US20210072608A1. Автор: IWASA Takayuki. Владелец: . Дата публикации: 2021-03-11.

Driving apparatus and its driving method of liquid crystal panel

Номер патента: KR100841616B1. Автор: 홍형기. Владелец: 엘지디스플레이 주식회사. Дата публикации: 2008-06-27.

Liquid crystal display apparatus and a manufacturing method of the same

Номер патента: KR100923677B1. Автор: 최우혁. Владелец: 엘지디스플레이 주식회사. Дата публикации: 2009-11-02.

Liquid process apparatus and priming process method of the nozzle

Номер патента: KR101723243B1. Автор: 게이 다시로. Владелец: 도쿄엘렉트론가부시키가이샤. Дата публикации: 2017-04-04.

Laundry treating apparatus and a control method of the same

Номер патента: WO2019203566A1. Автор: Seonil Heo,Shinwon KIM,Ingeun Ahn. Владелец: LG ELECTRONICS INC.. Дата публикации: 2019-10-24.

Image reading apparatus and method of controlling the apparatus, and storage medium

Номер патента: US20100321728A1. Автор: Koki Shibao. Владелец: Canon Inc. Дата публикации: 2010-12-23.

Base station apparatus and method

Номер патента: US20110124374A1. Автор: Hitoshi Yoshino,Yuta Sagae. Владелец: NTT DOCOMO INC. Дата публикации: 2011-05-26.

System and method for storage of operational parameters on components

Номер патента: US20040078454A1. Автор: Michel Nguyen,Seth Abrahams,Brian Osterhout. Владелец: Sun Microsystems Inc. Дата публикации: 2004-04-22.

Mobile terminal test apparatus and position correction method of mobile terminal

Номер патента: US20230308922A1. Автор: Ryota Chinbe,Dan Osone,Ryuso Kasai. Владелец: Anritsu Corp. Дата публикации: 2023-09-28.

Printing apparatus and colorimetry control method of printing apparatus

Номер патента: US11134177B2. Автор: Shinichi Arazaki. Владелец: Seiko Epson Corp. Дата публикации: 2021-09-28.

Image pickup apparatus and image pickup method of image pickup apparatus

Номер патента: US20180084137A1. Автор: Satoshi Miyazaki,Keiji Kunishige. Владелец: Olympus Corp. Дата публикации: 2018-03-22.

Adsorption nozzle, component transfer apparatus, and attitude control method of adsorption nozzle

Номер патента: US20230398698A1. Автор: Tomohiro Hirano. Владелец: Yamaha Motor Co Ltd. Дата публикации: 2023-12-14.

Imaging apparatus and control method of imaging apparatus

Номер патента: US20240276085A1. Автор: Tetsuya Fujikawa,Tomoyuki Kawai,Tomoharu Shimada,Shinichi Shimotsu. Владелец: Fujifilm Corp. Дата публикации: 2024-08-15.

Power conversion apparatus and charging method thereof

Номер патента: US20210376713A1. Автор: Po-Jen Ke. Владелец: Power Forest Technology Corp. Дата публикации: 2021-12-02.

Apparatus and communication method of same

Номер патента: WO2022063132A1. Автор: Xin Xu,Yongsheng Shi,Jaehyeuk YANG. Владелец: GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD.. Дата публикации: 2022-03-31.

Multi-protocol network and method of switching protocols

Номер патента: WO2004062161A2. Автор: Vernon A. Allen,Ralph L. D'Souza,Wayne W. Chiou,Minh T. Pham,Oleg Andric. Владелец: Motorola, Inc. Дата публикации: 2004-07-22.

Lighting apparatus and illumination management method of the same

Номер патента: US20150359071A1. Автор: Kazuma Sekiya. Владелец: Disco Corp. Дата публикации: 2015-12-10.

Broadcast receiving apparatus and recording/reproducing method of program

Номер патента: US20090060474A1. Автор: Hiroyuki Nakano. Владелец: Toshiba Corp. Дата публикации: 2009-03-05.

Mobile terminal apparatus and channel compensation method of the same

Номер патента: EP1804448B1. Автор: Keiji c/oFujitsu Limited Nibe. Владелец: Fujitsu Ltd. Дата публикации: 2009-01-14.

Imaging apparatus and exposure control method of imaging apparatus

Номер патента: TW200814755A. Автор: Masaaki Sato,Shinichiro Saito,Hirotake Cho. Владелец: Sony Corp. Дата публикации: 2008-03-16.

Device and method for manufacturing speaker diaphragm, the diaphragm, and speaker

Номер патента: US20040079505A1. Автор: Shinya Mizone,Nobuo Nakamura,Kazuto Nakamura,Yukinori Morohoshi. Владелец: Individual. Дата публикации: 2004-04-29.

Focus detection apparatus and method, method of controlling focus detection apparatus, and image capturing apparatus

Номер патента: US20140362279A1. Автор: Kengo Takeuchi. Владелец: Canon Inc. Дата публикации: 2014-12-11.

Improvements in connection with electrical heating apparatus and in the method of constructing the same

Номер патента: GB386742A. Автор: . Владелец: Crittall R & Co Ltd. Дата публикации: 1933-01-26.

Electronic apparatus and recording control method of electronic apparatus

Номер патента: US20170126964A1. Автор: Keisuke Nagata,Yujiro Fukui. Владелец: Kyocera Corp. Дата публикации: 2017-05-04.

Video processing apparatus and processing method of video stream

Номер патента: US20220038747A1. Автор: Hsiao-Wen Tin,Yuan-Bin Lee. Владелец: Wistron Corp. Дата публикации: 2022-02-03.

Electronic apparatus and recording control method of electronic apparatus

Номер патента: US9894268B2. Автор: Keisuke Nagata,Yujiro Fukui. Владелец: Kyocera Corp. Дата публикации: 2018-02-13.

Resonant power conversion apparatus and controlling method thereof

Номер патента: US20150109830A1. Автор: Ming Xu,Fei-Yue Duan. Владелец: FSP Powerland Technology Inc. Дата публикации: 2015-04-23.

Printing apparatus and colorimetry control method of printing apparatus

Номер патента: US20200298586A1. Автор: Shinichi Arazaki. Владелец: Seiko Epson Corp. Дата публикации: 2020-09-24.

Image pickup apparatus and image pickup method of image pickup apparatus

Номер патента: US10397436B2. Автор: Satoshi Miyazaki,Keiji Kunishige. Владелец: Olympus Corp. Дата публикации: 2019-08-27.

A radio communication system, a repeater apparatus and a control method of the repeater

Номер патента: EP2152034A3. Автор: Kenzaburo Fujishima,Koki Uwano. Владелец: HITACHI LTD. Дата публикации: 2011-12-07.

Communication system, mobile communication apparatus and switching method of subscriber identification information

Номер патента: US9198028B2. Автор: Kuo-Yi CHEN. Владелец: Wistron Corp. Дата публикации: 2015-11-24.

Facsimile device, image forming apparatus, and display control method of facsimile device

Номер патента: US20190246002A1. Автор: Hiroaki Nakamura,Makoto Nakabayashi. Владелец: Sharp Corp. Дата публикации: 2019-08-08.

Facsimile device, image forming apparatus, and display control method of facsimile device

Номер патента: US10841454B2. Автор: Hiroaki Nakamura,Makoto Nakabayashi. Владелец: Sharp Corp. Дата публикации: 2020-11-17.

Image forming apparatus and inspection method of image reading section

Номер патента: US20210360120A1. Автор: Yasunori Inaba. Владелец: KONICA MINOLTA INC. Дата публикации: 2021-11-18.

Input Switching Apparatus and Input Switching Method of Audio/Video Signal, and Audio/Video System

Номер патента: US20130086622A1. Автор: Masaki Narushima. Владелец: Yamaha Corp. Дата публикации: 2013-04-04.

Image scanning apparatus and paper transfer method of the image scanning apparatus

Номер патента: US8842347B2. Автор: Ho-bin Hwang,Ji-Young Byun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2014-09-23.

Image scanning apparatus and paper transfer method of the image scanning apparatus

Номер патента: US20130070318A1. Автор: Ho-bin Hwang,Ji-Young Byun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2013-03-21.

Display apparatus and display method thereof

Номер патента: US20150015462A1. Автор: Kun-Woo Lee,Woo-Jong Cho,Jae-Kyu Shim,Bon-min Koo,Cheol-eun Jang,Hee-seung Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-01-15.

Information processing apparatus and battery charging method of information processing apparatus

Номер патента: US20140253049A1. Автор: Jun Iwamoto. Владелец: Toshiba TEC Corp. Дата публикации: 2014-09-11.

Lighting apparatus and illumination management method of the same

Номер патента: US9420668B2. Автор: Kazuma Sekiya. Владелец: Disco Corp. Дата публикации: 2016-08-16.

Input Switching Apparatus and Input Switching Method of Audio/Video Signal, and Audio/Video System

Номер патента: US20130086622A1. Автор: Masaki Narushima. Владелец: Yamaha Corp. Дата публикации: 2013-04-04.

CONTROL CIRCUIT OF BUCK-BOOST CONVERTING APPARATUS AND MODE SWITCHING METHOD OF THE SAME

Номер патента: US20200266710A1. Автор: Chen Hsin-Hao,Lin Heng-Li,TSENG JUNG-HUNG. Владелец: . Дата публикации: 2020-08-20.

Intelligent button feature in digital image acquisition apparatus and mode switching method of the same

Номер патента: US7283170B2. Автор: Li-Min Tseng,Chou Yi Ting. Владелец: BenQ Corp. Дата публикации: 2007-10-16.

Apparatus and account management method of communication device

Номер патента: US20130210381A1. Автор: zhong-lin Xu. Владелец: Futaihua Industry Shenzhen Co Ltd. Дата публикации: 2013-08-15.

POWER CONVERSION APPARATUS AND INITIAL CHARGING METHOD OF THE SAME

Номер патента: US20180034357A1. Автор: Kinoshita Shingo. Владелец: TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION. Дата публикации: 2018-02-01.

RUDP APPARATUS AND DYNAMIC ADJUSTMENT METHOD OF SLIDING WINDOW PARAMETER

Номер патента: US20190075057A1. Автор: Wu Yi-Sheng,Lee Yuan-Hsiu. Владелец: ACER INCORPORATED. Дата публикации: 2019-03-07.

IMAGE PICKUP APPARATUS AND IMAGE PICKUP METHOD OF IMAGE PICKUP APPARATUS

Номер патента: US20180084137A1. Автор: MIYAZAKI Satoshi,Kunishige Keiji. Владелец: . Дата публикации: 2018-03-22.

ELECTRONIC APPARATUS AND RECORDING CONTROL METHOD OF ELECTRONIC APPARATUS

Номер патента: US20170126964A1. Автор: NAGATA Keisuke,FUKUI Yujiro. Владелец: . Дата публикации: 2017-05-04.

IMAGE DISPLAY APPARATUS AND IMAGE ADJUSTMENT METHOD OF IMAGE DISPLAY APPARATUS

Номер патента: US20160156890A1. Автор: YOSHIMURA Jun,KOBAYASHI Makoto. Владелец: SEIKO EPSON CORPORATION. Дата публикации: 2016-06-02.

INFORMATION PROCESSING APPARATUS AND BATTERY CHARGING METHOD OF INFORMATION PROCESSING APPARATUS

Номер патента: US20140253049A1. Автор: Iwamoto Jun. Владелец: TOSHIBA TEC KABUSHIKI KAISHA. Дата публикации: 2014-09-11.

IMAGE READING SYSTEM, IMAGE READING APPARATUS, AND IMAGE READING METHOD OF IMAGE READING SYSTEM

Номер патента: US20180183949A1. Автор: Shinohara Taku. Владелец: . Дата публикации: 2018-06-28.

Facsimile device, image forming apparatus, and display control method of facsimile device

Номер патента: US20190246002A1. Автор: Hiroaki Nakamura,Makoto Nakabayashi. Владелец: Sharp Corp. Дата публикации: 2019-08-08.

LIGHTING APPARATUS AND ILLUMINATION MANAGEMENT METHOD OF THE SAME

Номер патента: US20150359071A1. Автор: SEKIYA Kazuma. Владелец: . Дата публикации: 2015-12-10.

A control clock generating apparatus and pdp driving method of pdp-tv

Номер патента: KR100217282B1. Автор: 김세용. Владелец: 대우전자주식회사. Дата публикации: 1999-09-01.

Scanner, image forming apparatus, and image compensating method of scanner

Номер патента: KR20110038223A. Автор: 노상훈. Владелец: 삼성전자주식회사. Дата публикации: 2011-04-14.

Image forming apparatus and a control method of an image forming apparatus

Номер патента: US6538683B2. Автор: Yuichi Ikeda. Владелец: Canon Inc. Дата публикации: 2003-03-25.

Image processing apparatus and the control method of image processing apparatus

Номер патента: CN106303150A. Автор: 江口公盛. Владелец: Canon Inc. Дата публикации: 2017-01-04.

Power conversion apparatus and initial charging method of the same

Номер патента: US10122253B2. Автор: Shingo Kinoshita. Владелец: Toshiba Mitsubishi Electric Industrial Systems Corp. Дата публикации: 2018-11-06.

Display apparatus and streaming tranforting method of the same

Номер патента: KR20110080083A. Автор: 김효대. Владелец: 삼성전자주식회사. Дата публикации: 2011-07-12.

Communication apparatus and communication control method of the apparatus

Номер патента: US20070188797A1. Автор: Yoshihide Terao. Владелец: Canon Inc. Дата публикации: 2007-08-16.

Electronic apparatus and charging control method of electronic apparatus

Номер патента: US20210152012A1. Автор: Youngtae Kim,Sanghoon Kim,Buyoung Yang,Minhun Ha,Hyeongseog KIM. Владелец: LG ELECTRONICS INC. Дата публикации: 2021-05-20.

Endoscope apparatus and illumination control method of endoscope apparatus

Номер патента: EP2301412A1. Автор: Satoshi Ozawa,Takayuki Iida. Владелец: Fujifilm Corp. Дата публикации: 2011-03-30.

The image processing apparatus and its filtering method of filtering are executed for the image to recovery

Номер патента: CN110278442A. Автор: 边柱元. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-09-24.

Image pickup apparatus and image combining method of image pickup apparatus

Номер патента: US8405713B2. Автор: Yoji Watanabe,Tetsuya Kokufu. Владелец: Olympus Imaging Corp. Дата публикации: 2013-03-26.

Image processing apparatus and image processing method of generating monochrome image data from two color components

Номер патента: US8139268B2. Автор: Masanori Matsuzaki. Владелец: Canon Inc. Дата публикации: 2012-03-20.

Image forming apparatus and image display method of image forming apparatus

Номер патента: JP2004264540A. Автор: 哲也 佐土原,Tetsuya Sadohara. Владелец: Toshiba TEC Corp. Дата публикации: 2004-09-24.

Imaging apparatus and start-up method of imaging apparatus

Номер патента: US8370616B2. Автор: Manabu NIIZATO. Владелец: Sony Corp. Дата публикации: 2013-02-05.

Display apparatus and color adjustment method of display apparatus

Номер патента: KR101589749B1. Автор: 박동훈. Владелец: 엘지전자 주식회사. Дата публикации: 2016-01-28.

Information display apparatus and its control method of a camera

Номер патента: KR100236638B1. Автор: 이진기,김상도. Владелец: 유무성. Дата публикации: 2000-01-15.

Mobile terminal apparatus and channel compensation method of the same

Номер патента: US20070149149A1. Автор: Keiji Nibe. Владелец: Fujitsu Ltd. Дата публикации: 2007-06-28.

Mobile terminal apparatus and channel compensation method of the same

Номер патента: EP1804411B1. Автор: Keiji c/o Fujitsu Limited Nibe. Владелец: Fujitsu Ltd. Дата публикации: 2008-07-16.

Intellectual button feature in a digital image acquisition apparatus and mode switching method of the same

Номер патента: TW200423716A. Автор: Yi-Ting Chou,Li-Min Tseng. Владелец: BenQ Corp. Дата публикации: 2004-11-01.

Image scanning apparatus and paper transfer method of the image scanning apparatus

Номер патента: EP2571238B1. Автор: Ho-bin Hwang,Ji-Young Byun. Владелец: S Printing Solution Co Ltd. Дата публикации: 2017-09-27.

Portable telephone apparatus and stablly supplying method of reference frequency

Номер патента: AU1634401A. Автор: Akihiro Nakano. Владелец: Lenovo Innovations Ltd Hong Kong. Дата публикации: 2001-07-26.

Data backup apparatus and data backup method of portable phone

Номер патента: TW524002B. Автор: Aoyama Shinji. Владелец: Aoyama Shinji. Дата публикации: 2003-03-11.

Handheld, low-level laser apparatuses and methods for low-level laser beam production

Номер патента: EP3230783A1. Автор: Yonaton GERLITZ. Владелец: Medical Coherence LLC. Дата публикации: 2017-10-18.

Laser apparatus

Номер патента: US12042879B2. Автор: Seong Ho Bae. Владелец: NPS Co Ltd. Дата публикации: 2024-07-23.

Content addressable memory apparatus and method of operating the same

Номер патента: US20020097595A1. Автор: Pien Chien. Владелец: Individual. Дата публикации: 2002-07-25.

Apparatus for performing device communication and method for operating the same

Номер патента: US7376759B1. Автор: Ross Stenfort. Владелец: Adaptec Inc. Дата публикации: 2008-05-20.

Fusers, printing apparatuses and methods, and methods of fusing toner on media

Номер патента: US20100119267A1. Автор: David P. Van Bortel,Brendan H. Williamson,Brian J. McNamee. Владелец: Xerox Corp. Дата публикации: 2010-05-13.

Ultrasonic diagnostic apparatus and image filtering method of the same

Номер патента: US20080175454A1. Автор: Takeshi Sato,Ryota Osumi,Fumiyasu Sakaguchi. Владелец: Individual. Дата публикации: 2008-07-24.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20230270408A1. Автор: Kenichi Shidara. Владелец: Fujifilm Corp. Дата публикации: 2023-08-31.

Image forming apparatus and control method of image forming apparatus

Номер патента: US20200117408A1. Автор: Nobuaki Takahashi,Yoji Sato. Владелец: Toshiba TEC Corp. Дата публикации: 2020-04-16.

Magnetic disc apparatus and manufacturing method of the same

Номер патента: US20050190490A1. Автор: Shigeki Yanagihara,Hitoshi Naruse. Владелец: Toshiba Corp. Дата публикации: 2005-09-01.

Display panel, electronic apparatus, and imaging method of display panel

Номер патента: US20210042492A1. Автор: Lei Wang,LIN Zhang,Haisheng Wang,Changfeng LI. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2021-02-11.

Electronic apparatus, control method of the same, and recording media

Номер патента: US20180210702A1. Автор: Il-joo Kim,Woo-Chul Shim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-07-26.

Electronic apparatus, control method of the same, and recording media

Номер патента: EP3555884A1. Автор: Il-joo Kim,Woo-Chul Shim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-10-23.

Light-emitting diode apparatus and control method thereof

Номер патента: US11145252B2. Автор: Sen-Chuan Hung,Shih-Hao Kuo. Владелец: AU OPTRONICS CORP. Дата публикации: 2021-10-12.

Medical imaging apparatus and control method of the same

Номер патента: US20240281963A1. Автор: Mitsuyo FUJITA. Владелец: Fujifilm Healthcare Corp. Дата публикации: 2024-08-22.

Carrier apparatus and carrier method of thin disc tray

Номер патента: US20070209045A1. Автор: Yutaka Watanabe. Владелец: Hitachi Maxell Ltd. Дата публикации: 2007-09-06.

Pcct apparatus and control method of the same

Номер патента: US20240130701A1. Автор: Ryo Tanaka,Masafumi Onouchi. Владелец: Fujifilm Healthcare Corp. Дата публикации: 2024-04-25.

Pcct apparatus and control method of the same

Номер патента: US20240225574A9. Автор: Ryo Tanaka,Masafumi Onouchi. Владелец: Fujifilm Healthcare Corp. Дата публикации: 2024-07-11.

Medical image capturing apparatus and control method of the same

Номер патента: US20240281917A1. Автор: Kazuma TAKEMOTO. Владелец: Fujifilm Healthcare Corp. Дата публикации: 2024-08-22.

Display apparatus and controlling method thereof

Номер патента: EP3146422A1. Автор: Dai-Boong Lee,Jeong-wook BANG,So-yeon HWANG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-03-29.

Image forming apparatus and velocity control method of rotating body thereof

Номер патента: US20100104321A1. Автор: Hyun-Ki Cho,Jong-Tae Kim,Sung-Dae Kim,Dong-Hoon Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2010-04-29.

Drawing control apparatus and drawing control method of electronic paper

Номер патента: US20100097314A1. Автор: Yuji Ueno,Yoshinori Ito. Владелец: Fujitsu Frontech Ltd. Дата публикации: 2010-04-22.

Image forming apparatus and control method thereof

Номер патента: US8270859B2. Автор: Han-sang Oh. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2012-09-18.

Processing apparatus and processing method of sound signal

Номер патента: US20240096342A1. Автор: Chang-Hsin Lai,Han-Yi Liu. Владелец: Wistron Corp. Дата публикации: 2024-03-21.

Image forming apparatus and velocity control method of rotating body thereof

Номер патента: US8229327B2. Автор: Hyun-Ki Cho,Jong-Tae Kim,Sung-Dae Kim,Dong-Hoon Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2012-07-24.

Image forming apparatus and control method thereof

Номер патента: US20100092194A1. Автор: Han-sang Oh. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2010-04-15.

Optical reticle substrate inspection apparatus and beam scanning method of the same

Номер патента: US20020093719A1. Автор: Motonari Tateno. Владелец: NEC Corp. Дата публикации: 2002-07-18.

Optical reticle substrate inspection apparatus and beam scanning method of the same

Номер патента: US20030117683A1. Автор: Motonari Tateno. Владелец: NEC Corp. Дата публикации: 2003-06-26.

Driving apparatus and operating method thereof

Номер патента: US20240210943A1. Автор: Ho Young Lee,Seung Chan Lee,Wang Hyeon SON,Woo Sang KWON. Владелец: Semes Co Ltd. Дата публикации: 2024-06-27.

Systems and methods of access control with hand sanitation

Номер патента: US20220309854A1. Автор: Alexis B. EHRLICH. Владелец: Johnson Controls US Holdings LLC. Дата публикации: 2022-09-29.

Systems and methods for driver state conditioned visual signals

Номер патента: US20230356736A1. Автор: Simon A.I. Stent. Владелец: Toyota Research Institute Inc. Дата публикации: 2023-11-09.

Operation management apparatus and operation management method of autonomous travel vehicle

Номер патента: US20210312812A1. Автор: KEIICHI Uno,Kenji Okazaki,Hiroshi Higashide. Владелец: Toyota Motor Corp. Дата публикации: 2021-10-07.

Semiconductor apparatus and data processing method of the same

Номер патента: US20140218382A1. Автор: Atsushi Nakamura,Akihiro Yamamoto,Hideaki Kido,Manabu Koike. Владелец: Renesas Mobile Corp. Дата публикации: 2014-08-07.

Positioning apparatus and exposure apparatus, method of operating a positioning apparatus and device manufacturing method

Номер патента: EP1947512A3. Автор: Keiji Emoto. Владелец: Canon Inc. Дата публикации: 2011-08-31.

Sound producing apparatus and to a method of controlling the sound volume of such apparatus

Номер патента: ZA717046B. Автор: A Michel. Владелец: A Michel. Дата публикации: 1973-06-27.

Apparatus for detecting temperature variations over selected regions of living tissue, and method thereof

Номер патента: CA1088348A. Автор: Phillip H. Meyers,Franklin R. Greene. Владелец: EZ Em Inc. Дата публикации: 1980-10-28.

Positioning apparatus and exposure apparatus, method of operating a positioning apparatus and device manufacturing method

Номер патента: EP1286221B1. Автор: Keiji Emoto. Владелец: Canon Inc. Дата публикации: 2012-06-27.

Positioning apparatus and exposure apparatus, method of operating a positioning apparatus and device manufacturing method

Номер патента: EP1947512B1. Автор: Keiji Emoto. Владелец: Canon Inc. Дата публикации: 2013-08-07.

Configuration information management apparatus and dictionary generation method of configuration information management apparatus

Номер патента: GB201103289D0. Автор: . Владелец: Fujitsu Ltd. Дата публикации: 2011-04-13.

Visual examination apparatus and visual examination method of semiconductor device

Номер патента: SG70640A1. Автор: Eiji Isomura,Hiroshi Toomiya. Владелец: Sony Corp. Дата публикации: 2000-02-22.

Electronic apparatus, and image processing method of electronic apparatus

Номер патента: EP4297397A4. Автор: Shaojie Chen,Weicheng Zhang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-04-03.

Visual examination apparatus and visual examination method of semiconductor device

Номер патента: TW412630B. Автор: Eiji Isomura,Hiroshi Tomiya. Владелец: Sony Corp. Дата публикации: 2000-11-21.

CENTRALIZED CONTROL APPARATUS AND DISPLAY CONTROL METHOD OF OPERATION PANEL

Номер патента: US20210035684A1. Автор: NEMOTO Iori,SEKIGUCHI Kiyoshi. Владелец: OLYMPUS CORPORATION. Дата публикации: 2021-02-04.

Color-image representative color decision apparatus and control its method of operating

Номер патента: CN101867829A. Автор: 远藤恒史. Владелец: Fujifilm Corp. Дата публикации: 2010-10-20.

SYSTEM AND METHOD FOR MANAGEMENT OF OPERATIONAL INCIDENTS BY A FACILITY SUPPORT SERVICE

Номер патента: US20180204167A1. Автор: RAYNER Steve,KENNEDY Stephen,ANTELL Mark. Владелец: . Дата публикации: 2018-07-19.

System and method for prediction of operational safety of metallurgical vessels

Номер патента: WO2023173049A1. Автор: Yakup Bayram. Владелец: PANERATECH, INC.. Дата публикации: 2023-09-14.

Image forming apparatus and a controlling method of an image forming apparatus

Номер патента: US6115561A. Автор: Satoru Fukushima. Владелец: Canon Inc. Дата публикации: 2000-09-05.

Inspection Apparatus and Methods, Methods of Manufacturing Devices

Номер патента: US20160011523A1. Автор: WARNAAR Patrick,Pellemans Henricus Petrus Maria,SINGH Amandev. Владелец: ASML Netherlands B.V.. Дата публикации: 2016-01-14.

Display apparatus and driving method of display panel thereof

Номер патента: US20190206351A1. Автор: Peng-Bo Xi,Sung-Yu Su,Feng-Ming Hsu,Hsin-Chang Chen. Владелец: AU OPTRONICS CORP. Дата публикации: 2019-07-04.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: EP4295780A1. Автор: Takuya Tsutaoka,Riko Koshino. Владелец: Fujifilm Corp. Дата публикации: 2023-12-27.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20230404538A1. Автор: Riko Koshino. Владелец: Fujifilm Corp. Дата публикации: 2023-12-21.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: EP4292539A1. Автор: Riko Koshino. Владелец: Fujifilm Corp. Дата публикации: 2023-12-20.

Touch display apparatus and operation method of touch device thereof

Номер патента: US20160117030A1. Автор: Jin-Ting Kuo. Владелец: Acer Inc. Дата публикации: 2016-04-28.

Image forming apparatus and paper ejection method of image forming apparatus

Номер патента: US20090317116A1. Автор: Kenichiro Shishikura. Владелец: Toshiba TEC Corp. Дата публикации: 2009-12-24.

Image forming apparatus and paper ejection method of image forming apparatus

Номер патента: US20110123242A1. Автор: Kenichiro Shishikura. Владелец: Toshiba TEC Corp. Дата публикации: 2011-05-26.

Image forming apparatus and paper ejection method of image forming apparatus

Номер патента: US20080310872A1. Автор: Kenichiro Shishikura. Владелец: YOSHIBA TEC KK. Дата публикации: 2008-12-18.

Image forming apparatus and paper ejection method of image forming apparatus

Номер патента: US20060013628A1. Автор: Kenichiro Shishikura. Владелец: Toshiba TEC Corp. Дата публикации: 2006-01-19.

Image forming apparatus and paper ejection method of image forming apparatus

Номер патента: US7426366B2. Автор: Kenichiro Shishikura. Владелец: Toshiba TEC Corp. Дата публикации: 2008-09-16.

Plasma display apparatus and driving method thereof

Номер патента: US7652642B2. Автор: Seong Hak Moon. Владелец: LG ELECTRONICS INC. Дата публикации: 2010-01-26.

Image forming apparatus and developer replacement method of image forming apparatus

Номер патента: US20180120732A1. Автор: Koji Imamiya,Kei Onishi. Владелец: Toshiba TEC Corp. Дата публикации: 2018-05-03.

Optical disc apparatus and access control method of the same

Номер патента: US20070104052A1. Автор: Nobuhiro Takeda,Tsuyoshi Toda,Mitsuru Harai. Владелец: Hitachi LG Data Storage Inc. Дата публикации: 2007-05-10.

Plasma display apparatus and driving method thereof

Номер патента: US20060125728A1. Автор: Seong Moon. Владелец: LG ELECTRONICS INC. Дата публикации: 2006-06-15.

Display apparatus and driving method of display panel thereof

Номер патента: US20170352320A1. Автор: Yu-Yen Lin,Hou-Hong Li. Владелец: Giantplus Technology Co Ltd. Дата публикации: 2017-12-07.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20230414204A1. Автор: Takuya Tsutaoka,Riko Koshino. Владелец: Fujifilm Corp. Дата публикации: 2023-12-28.

Lens shape measurement device and method, method of producing eyeglass lens, and method of producing eyeglasses

Номер патента: WO2008016066A1. Автор: Masaaki Inoguchi. Владелец: HOYA CORPORATION. Дата публикации: 2008-02-07.

Electronic apparatus and booting method of the same

Номер патента: EP2256625A1. Автор: Nam-jae Jeon,Prabhu Kaliamoorthi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2010-12-01.

Cryptographic apparatus and self-test method of cryptographic apparatus

Номер патента: US20210279371A1. Автор: Yuusaku KIYOTA,Hideaki Monji. Владелец: HITACHI LTD. Дата публикации: 2021-09-09.

Photoacoustic image generation apparatus and operation method of photoacoustic image generation apparatus

Номер патента: US11517201B2. Автор: Rika TASHIRO. Владелец: Fujifilm Corp. Дата публикации: 2022-12-06.

Cryptographic apparatus and self-test method of cryptographic apparatus

Номер патента: US11263350B2. Автор: Yuusaku KIYOTA,Hideaki Monji. Владелец: HITACHI LTD. Дата публикации: 2022-03-01.

Image forming apparatus and developer replacement method of image forming apparatus

Номер патента: US10503095B2. Автор: Koji Imamiya,Kei Onishi. Владелец: Toshiba TEC Corp. Дата публикации: 2019-12-10.

Electronic apparatus, control method of the same, and recording media

Номер патента: WO2018135800A1. Автор: Il-joo Kim,Woo-Chul Shim. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2018-07-26.

Light-emitting diode apparatus and control method thereof

Номер патента: US20190378460A1. Автор: Sen-Chuan Hung,Shih-Hao Kuo. Владелец: AU OPTRONICS CORP. Дата публикации: 2019-12-12.

Image Forming Apparatus and Exposure Control Method of Controlling Exposure Therein

Номер патента: US20110026955A1. Автор: Masamitsu Takahashi,Hiroyuki Naganawa. Владелец: Brother Industries Ltd. Дата публикации: 2011-02-03.

Sensing and secretion bypass apparatuses and associated methods

Номер патента: US20240151567A1. Автор: Ian Bentley,Rahul AVASTHI. Владелец: Honeywell International Inc. Дата публикации: 2024-05-09.

Image forming apparatus and registration correcting method of the image forming apparatus

Номер патента: US20020008859A1. Автор: Tatsuhito Kataoka,Hirokazu Kodama. Владелец: Individual. Дата публикации: 2002-01-24.

Electronic apparatus, control method of the same, and recording media

Номер патента: US11093212B2. Автор: Il-joo Kim,Woo-Chul Shim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-08-17.

Tdm fmcw radar apparatus and signal processing method of apparatus

Номер патента: EP4191279A1. Автор: Yong Jae Kim,Jeong Min Choi. Владелец: Smart Radar System Inc. Дата публикации: 2023-06-07.

Photoacoustic image generation apparatus and operation method of photoacoustic image generation apparatus

Номер патента: US20200205671A1. Автор: Rika TASHIRO. Владелец: Fujifilm Corp. Дата публикации: 2020-07-02.

Image forming apparatus and developer replacement method of image forming apparatus

Номер патента: US20180321613A1. Автор: Koji Imamiya,Kei Onishi. Владелец: Toshiba TEC Corp. Дата публикации: 2018-11-08.

Plasma display apparatus and driving method of the same

Номер патента: US20070126658A1. Автор: Jung Han,Seongho Kang. Владелец: LG ELECTRONICS INC. Дата публикации: 2007-06-07.

Sensing and secretion bypass apparatuses and associated methods

Номер патента: CA3217903A1. Автор: Ian Bentley,Rahul AVASTHI. Владелец: Honeywell International Inc. Дата публикации: 2024-05-04.

Sensing and secretion bypass apparatuses and associated methods

Номер патента: EP4364771A1. Автор: Ian Bentley,Rahul AVASTHI. Владелец: Honeywell International Inc. Дата публикации: 2024-05-08.

X-ray CT apparatus and data transmission method of X-ray CT apparatus

Номер патента: US9149240B2. Автор: Hiroaki Nakai,Tooru Kato,Kanta KOBUCHI. Владелец: Toshiba Medical Systems Corp. Дата публикации: 2015-10-06.

Cartridge, image forming apparatus and quality determining method of cartridge

Номер патента: US20170108810A1. Автор: Takao Ishida,Norifumi Yagi,Kanya Hiroi. Владелец: Toshiba TEC Corp. Дата публикации: 2017-04-20.

Display apparatus and controlling method thereof

Номер патента: US20190180638A1. Автор: Dai-Boong Lee,Jeong-wook BANG,So-yeon HWANG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-06-13.

Cartridge, image forming apparatus and quality determining method of cartridge

Номер патента: US20150063838A1. Автор: Takao Ishida,Norifumi Yagi,Kanya Hiroi. Владелец: Toshiba TEC Corp. Дата публикации: 2015-03-05.

Information processing apparatus and remote operation method of the information processing apparatus

Номер патента: US20040093447A1. Автор: Fujihito Numano. Владелец: Toshiba Corp. Дата публикации: 2004-05-13.

Electronic apparatus and image processing method of electronic apparatus

Номер патента: US20240054751A1. Автор: Shaojie Chen,Weicheng Zhang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-02-15.

GAS MEASUREMENT APPARATUS AND THE SETTING METHOD OF WIDTH OF WAVELENGTH MODULATION IN GAS MEASUREMENT APPARATUS

Номер патента: US20130163000A1. Автор: Mori Tetsuya,IDO TAKUYA. Владелец: HORIBA, LTD.. Дата публикации: 2013-06-27.

POSITIONING METHOD, APPARATUS AND SYSTEM, LAYOUT METHOD OF POSITIONING SYSTEM, AND STORAGE MEDIUM

Номер патента: US20200124695A1. Автор: Huang Rui,Guo Dandan,TANG Xiong,CHENG Wenyuan. Владелец: . Дата публикации: 2020-04-23.

The apparatus and the control method of urgent departure for a car of automatic transmission

Номер патента: KR100352072B1. Автор: 이승현. Владелец: 이승현. Дата публикации: 2002-09-12.

Preventing apparatus and the same method of rear-end collision of a car

Номер патента: KR100820310B1. Автор: 송치영. Владелец: 영화테크(주). Дата публикации: 2008-04-08.

Touch screen having minmal touch sensor control signal and display apparatus and touch scan method of touch screen

Номер патента: KR102171623B1. Автор: 김형걸. Владелец: 주식회사 지2터치. Дата публикации: 2020-10-29.

The radio direction finding apparatus and its control method of a kind of view-based access control model

Номер патента: CN107167764A. Автор: 蓝本. Владелец: Dongguan Dongna Communication Co Ltd. Дата публикации: 2017-09-15.

Light Detection and Ranging Apparatus and Distance Measuring Method of the Same

Номер патента: KR102507274B1. Автор: 김인혁. Владелец: 현대모비스 주식회사. Дата публикации: 2023-03-07.

Positioning method, apparatus and system, layout method of positioning system, and storage medium

Номер патента: US11372075B2. Автор: Rui Huang,Xiong Tang,Dandan Guo,Wenyuan CHENG. Владелец: ZTE Corp. Дата публикации: 2022-06-28.

Image forming apparatus, unit of image forming apparatus and information control method of image forming apparatus

Номер патента: US7136598B2. Автор: Tomohisa Suzuki. Владелец: Fuji Xerox Co Ltd. Дата публикации: 2006-11-14.

Magnetic resonance diagnosis apparatus and data acquisition method of magnetic resonance spectroscopy

Номер патента: US20120133360A1. Автор: Wakai Satoshi,Kensuke Shinoda. Владелец: Individual. Дата публикации: 2012-05-31.

Storage apparatus and data processing method of the same

Номер патента: US20120221809A1. Автор: Takao Yoshikawa,Susumu Tsuruta,Tetsuhiro Okabe,Nobuharu Shibuya. Владелец: HITACHI LTD. Дата публикации: 2012-08-30.

IMAGE FORMING APPARATUS AND COLOR REGISTRATION METHOD OF THE SAME

Номер патента: US20130149014A1. Автор: TOMISHIMA Yuichiro. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2013-06-13.

X-RAY CT APPARATUS AND DATA TRANSMISSION METHOD OF X-RAY CT APPARATUS

Номер патента: US20130216018A1. Автор: Nakai Hiroaki,KATO Tooru,KOBUCHI Kanta. Владелец: . Дата публикации: 2013-08-22.

INPUT APPARATUS AND A CONTROL METHOD OF AN INPUT APPARATUS

Номер патента: US20130241837A1. Автор: OGA Toshiyuki. Владелец: NEC Corporation. Дата публикации: 2013-09-19.

INFORMATION PROCESSING APPARATUS, A SENDER APPARATUS AND A CONTROL METHOD OF THE INFORMATION PROCESSING APPARATUS

Номер патента: US20130246851A1. Автор: Goto Yoshitsugu. Владелец: FUJITSU LIMITED. Дата публикации: 2013-09-19.

SENSOR DEVICE, RECORDING APPARATUS, AND POSITION ADJUSTMENT METHOD OF OPTICAL AXIS

Номер патента: US20130258338A1. Автор: Yanagishita Kenji,FUJIMORI Naoyuki. Владелец: SEIKO EPSON CORPORATION. Дата публикации: 2013-10-03.

IMAGE DISPLAY APPARATUS AND IMAGE ADJUSTMENT METHOD OF IMAGE DISPLAY APPARATUS

Номер патента: US20140092138A1. Автор: YOSHIMURA Jun,KOBAYASHI Makoto. Владелец: SEIKO EPSON CORPORATION. Дата публикации: 2014-04-03.

DISTANCE MEASUREMENT APPARATUS AND ANGLE ADJUSTMENT METHOD OF THE SAME

Номер патента: US20190011560A1. Автор: MATSUURA Naoya,Kamisada Toshimasa,Izumi Katsuhiko. Владелец: . Дата публикации: 2019-01-10.

ULTRASOUND APPARATUS AND INFORMATION PROVIDING METHOD OF THE ULTRASOUND APPARATUS

Номер патента: US20150051491A1. Автор: CHOI Jin-Young,KIM Hyoung-Jin,YANG Eun-ho. Владелец: . Дата публикации: 2015-02-19.

Electronic Apparatus and Password Input Method of Electronic Apparatus

Номер патента: US20150052602A1. Автор: TSUJI Tadashi. Владелец: . Дата публикации: 2015-02-19.

Image alignment apparatus and image alignment method of using the same

Номер патента: US20150055873A1. Автор: Joon Sung Lee,Jae Yoon Oh,Gon Soo KIM. Владелец: Samsung Techwin Co Ltd. Дата публикации: 2015-02-26.

CARTRIDGE, IMAGE FORMING APPARATUS AND QUALITY DETERMINING METHOD OF CARTRIDGE

Номер патента: US20150063838A1. Автор: YAGI Norifumi,ISHIDA Takao,HIROI Kanya. Владелец: . Дата публикации: 2015-03-05.

SEMICONDUCTOR APPARATUS AND STATUS CONTROL METHOD OF SEMICONDUCTOR APPARATUS

Номер патента: US20170068629A1. Автор: TOMONAGA Hideaki. Владелец: . Дата публикации: 2017-03-09.

LIQUID CRYSTAL DEVICE, WAVELENGTH SELECTION OPTICAL SWITCH APPARATUS, AND PIXEL INSPECTION METHOD OF LIQUID CRYSTAL DEVICE

Номер патента: US20210074235A1. Автор: IWASA Takayuki. Владелец: . Дата публикации: 2021-03-11.

DISPLAY APPARATUS AND BACKLIGHT DRIVING METHOD OF THE SAME

Номер патента: US20160078801A1. Автор: HSIEH MING-FENG,Chuang Tzu-Chien,Huang Tsung-Fu. Владелец: . Дата публикации: 2016-03-17.

INFORMATION PROCESSING APPARATUS AND FAILURE DETECTION METHOD OF INFORMATION PROCESSING APPARATUS

Номер патента: US20150106658A1. Автор: SATO Mitsuru,Ono Takatsugu,Saga Susumu. Владелец: FUJITSU LIMITED. Дата публикации: 2015-04-16.

Radar apparatus and signal processing method of radar apparatus

Номер патента: US20170102459A1. Автор: Koichiro Suzuki,Mai Sakamoto. Владелец: Denso Corp. Дата публикации: 2017-04-13.

TOUCH SENSOR IC, TOUCH SENSING APPARATUS, AND COORDINATE CORRECTING METHOD OF THE TOUCH SENSING APPARATUS

Номер патента: US20140184561A1. Автор: KIM Seob. Владелец: MELFAS INC.. Дата публикации: 2014-07-03.

CARTRIDGE, IMAGE FORMING APPARATUS AND QUALITY DETERMINING METHOD OF CARTRIDGE

Номер патента: US20170108810A1. Автор: YAGI Norifumi,ISHIDA Takao,HIROI Kanya. Владелец: . Дата публикации: 2017-04-20.

IMAGE FORMING APPARATUS AND DEVELOPER REPLACEMENT METHOD OF IMAGE FORMING APPARATUS

Номер патента: US20180120732A1. Автор: Imamiya Koji,ONISHI Kei. Владелец: . Дата публикации: 2018-05-03.

SEMICONDUCTOR APPARATUS AND DATA PROCESSING METHOD OF THE SAME

Номер патента: US20140218382A1. Автор: YAMAMOTO Akihiro,NAKAMURA Atsushi,KOIKE Manabu,Kido Hideaki. Владелец: RENESAS MOBILE CORPORATION. Дата публикации: 2014-08-07.

IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD OF IDENTIFYING OBJECT IN IMAGE

Номер патента: US20150146991A1. Автор: Suzuki Takashi,Nakano Shunsuke,Sato Hiroshi,Kaneda Yuji,Nomoto Atsuo. Владелец: . Дата публикации: 2015-05-28.

FLEXIBLE DISPLAY APPARATUS AND IMAGE DISPLAY METHOD OF THE SAME

Номер патента: US20150153778A1. Автор: JUNG Chansung. Владелец: Samsung Display Co., Ltd.. Дата публикации: 2015-06-04.

IMAGE FORMING APPARATUS AND FIRMWARE UPDATING METHOD OF THE SAME

Номер патента: US20180210680A1. Автор: RJ Daniel Lee. Владелец: KYOCERA Document Solutions Inc.. Дата публикации: 2018-07-26.

COMPUTING APPARATUS AND INFORMATION INPUT METHOD OF THE COMPUTING APPARATUS

Номер патента: US20200202068A1. Автор: HAN Jun Kyu. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2020-06-25.

INTERACTIVE PROJECTION APPARATUS AND THE POSITIONING METHOD OF THE INTERACTIVE PEN

Номер патента: US20140333587A1. Автор: Cao Yang. Владелец: SONY CORPORATION. Дата публикации: 2014-11-13.

Cryptographic apparatus and self-test method of cryptographic apparatus

Номер патента: US20210279371A1. Автор: Yuusaku KIYOTA,Hideaki Monji. Владелец: HITACHI LTD. Дата публикации: 2021-09-09.

Image processing apparatus and image processing method of performing image segmentation

Номер патента: US20160267356A1. Автор: Noriyasu Hashiguchi. Владелец: Canon Inc. Дата публикации: 2016-09-15.

IMAGE FORMING APPARATUS AND DEVELOPER REPLACEMENT METHOD OF IMAGE FORMING APPARATUS

Номер патента: US20180321613A1. Автор: Imamiya Koji,ONISHI Kei. Владелец: . Дата публикации: 2018-11-08.

MAGNETIC RESONANCE IMAGING APPARATUS AND IMAGE DISPLAY METHOD OF MAGNETIC RESONANCE IMAGING APPARATUS

Номер патента: US20150355300A1. Автор: Wakai Satoshi,OOSHIMA Kiyomi,NAGASAKA Sachiko. Владелец: . Дата публикации: 2015-12-10.

Braking apparatus and braking control method of vehicle

Номер патента: US20180370515A1. Автор: Jong Sung Kim. Владелец: Hyundai Mobis Co Ltd. Дата публикации: 2018-12-27.

biomagnetic resonance apparatus and the measuring method of the same

Номер патента: KR101310750B1. Автор: 이용호,김기웅,유권규,이성주. Владелец: 한국표준과학연구원. Дата публикации: 2013-09-24.

Food spice automatic supply apparatus and application executing method of device thereof

Номер патента: KR101462045B1. Автор: 홍순성. Владелец: (주)에프에스시스템. Дата публикации: 2014-12-15.

Facilities control apparatus and facilities control method of the facilities control apparatus

Номер патента: KR101659038B1. Автор: 전덕구,윤상원,한종현. Владелец: 엘지전자 주식회사. Дата публикации: 2016-09-23.

Image forming apparatus and charging control method of charging roller

Номер патента: CN100476615C. Автор: 萩原拓郎,北野贺久,半田修. Владелец: Fuji Xerox Co Ltd. Дата публикации: 2009-04-08.

Touch screen apparatus and Imige displaying method of touch screen

Номер патента: KR101269375B1. Автор: 신상현,김유미,유경희,김지애,박호주,채지석,함영호. Владелец: 엘지전자 주식회사. Дата публикации: 2013-05-29.

The Optical Fingerprint Image Apparatus and Quality Test Method of the Same

Номер патента: KR100584107B1. Автор: 이병진,김지훈,유재복. Владелец: 시큐젠 코포레이션. Дата публикации: 2006-06-07.

Image processing apparatus and image processing method of a three-dimensional medical image

Номер патента: US10049445B2. Автор: Yoshio Iizuka,Yuta Nakano,Kiyohide Satoh,Gakuto Aoyama. Владелец: Canon Inc. Дата публикации: 2018-08-14.

Flying slider head for high-density data storage apparatus and the manufacturing method of it

Номер патента: KR100371176B1. Автор: 이영주. Владелец: 엘지전자 주식회사. Дата публикации: 2003-02-06.

Image forming apparatus and opening/closing method of re-conveyance mechanism

Номер патента: US8123422B2. Автор: Masataka Muratani,Toshihiro Matsushima. Владелец: Toshiba TEC Corp. Дата публикации: 2012-02-28.

temperature measurement apparatus and temperature measuring method of the same

Номер патента: KR20220008677A. Автор: 김영호,김명진,이주영,김희운. Владелец: 한국광기술원. Дата публикации: 2022-01-21.

Radar apparatus and signal processing method of radar apparatus

Номер патента: US10353063B2. Автор: Koichiro Suzuki,Mai Sakamoto. Владелец: Denso Corp. Дата публикации: 2019-07-16.

Semiconductor memory apparatus and data masking method of the same

Номер патента: US7590009B2. Автор: Sang Sic Yoon. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-09-15.

Disk array apparatus and data relay method of the disk array apparatus

Номер патента: US7284073B2. Автор: Hiroshi Suzuki,Hiromi Matsushige,Masato Ogawa. Владелец: HITACHI LTD. Дата публикации: 2007-10-16.

Use the traffic lane display apparatus and track display methods of external mirror

Номер патента: CN107914639A. Автор: 刘炅虎,崔晋赫. Владелец: Hyundai Motor Co. Дата публикации: 2018-04-17.

Semiconductor memory apparatus and refresh control method of the same

Номер патента: US20100260003A1. Автор: Young Hoon Oh. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-10-14.

Ultrasound apparatus and information providing method of the ultrasound apparatus

Номер патента: EP2946731A1. Автор: Jin-Young Choi,Hyoung-Jin Kim,Eun-ho Yang. Владелец: Samsung Medison Co Ltd. Дата публикации: 2015-11-25.

Fermentation apparatus and beverage making method of the same

Номер патента: KR102191514B1. Автор: 박선영,정창욱,김희연. Владелец: 엘지전자 주식회사. Дата публикации: 2020-12-15.

X-ray CT apparatus and data detecting method of X-ray CT apparatus

Номер патента: US20060210014A1. Автор: Yasuo Saito,Miwa Okumura,Satoru Nakanishi,Masaharu Tsuyuki. Владелец: Toshiba Medical Systems Corp. Дата публикации: 2006-09-21.

Manufacturing apparatus and life prediction method of rotating machine

Номер патента: JP3692106B2. Автор: 幸広 牛久,明人 山本,秀一 佐俣,隆 中尾,武夫 古畑. Владелец: Toshiba Corp. Дата публикации: 2005-09-07.

Information processing apparatus and operation management method of touch screen

Номер патента: CN106648217B. Автор: 中川贤一郎. Владелец: Canon Inc. Дата публикации: 2020-07-24.

Radar apparatus and transmission processing method of a radar apparatus

Номер патента: DE102018121539A1. Автор: Toshihiro Matsumoto. Владелец: Denso Ten Ltd. Дата публикации: 2019-04-18.

Image forming apparatus and drive control method of the same

Номер патента: CN101482712A. Автор: 森本清文,直井宏夫,竹之内幸一,德山满,樋上和马,南川友树. Владелец: Sharp Corp. Дата публикации: 2009-07-15.

Active vibration control apparatus and disturbance control method of conveyer using the same

Номер патента: KR20190025084A. Автор: 최성원,최영만,김광산. Владелец: 주식회사 대일시스템. Дата публикации: 2019-03-11.

Speech coding apparatus and pitch prediction method of input speech signal

Номер патента: US6243673B1. Автор: Motoyasu Ohno. Владелец: Matsushita Graphic Communication Systems Inc. Дата публикации: 2001-06-05.

Disk array apparatus and interrupt execution method of the same

Номер патента: US6766400B2. Автор: Kazuya Honma,Takuya Saegusa. Владелец: NEC Corp. Дата публикации: 2004-07-20.

Stepping motor control apparatus and drive control method of stepping motor

Номер патента: JP2009178022A. Автор: Masaru Katagiri,大 片桐. Владелец: Calsonic Kansei Corp. Дата публикации: 2009-08-06.

Robot apparatus and movement control method of robot apparatus

Номер патента: JP6445151B2. Автор: 俊一郎 野中,野中 俊一郎. Владелец: Fujifilm Corp. Дата публикации: 2018-12-26.

Image forming apparatus and firmware updating method of the same

Номер патента: US10133526B2. Автор: Daniel Lee RJ. Владелец: Kyocera Document Solutions Inc. Дата публикации: 2018-11-20.

Image synthesizing apparatus and its control method of color video printer

Номер патента: KR940003831B1. Автор: 이광윤. Владелец: 이헌조. Дата публикации: 1994-05-03.

Data processing apparatus and data processing method of data processing apparatus

Номер патента: EP2400423A1. Автор: Jun Hamaguchi. Владелец: Canon Inc. Дата публикации: 2011-12-28.

Image forming apparatus and output control method of the same

Номер патента: US7398030B2. Автор: Atsuya Takahashi,Akihiro Nakamura,Kaoru Sato,Kazuhisa Maruyama,Ryuichi Yoshizawa. Владелец: Canon Inc. Дата публикации: 2008-07-08.

Ultrasonic diagnostic apparatus and data processing method of ultrasonic diagnostic apparatus

Номер патента: JPWO2004105614A1. Автор: 浩仲 宮木. Владелец: Olympus Corp. Дата публикации: 2006-07-20.

Semiconductor memory apparatus and refresh control method of the same

Номер патента: TWI494920B. Автор: Young-Hoon Oh. Владелец: Hynix Semiconductor Inc. Дата публикации: 2015-08-01.

Image forming apparatus and image forming method of the image forming apparatus

Номер патента: US20100195157A1. Автор: Katsuya Sasahara. Владелец: Toshiba TEC Corp. Дата публикации: 2010-08-05.

Multi-display apparatus and data transmission method of the same

Номер патента: KR20230033307A. Автор: 김진섭,김세준,김기보. Владелец: 삼성전자주식회사. Дата публикации: 2023-03-08.

Ultrasound apparatus and information providing method of the ultrasound apparatus

Номер патента: US10588603B2. Автор: Jin-Young Choi,Hyoung-Jin Kim,Eun-ho Yang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-03-17.

Image formation apparatus and charging control method of charging roll

Номер патента: US20070189787A1. Автор: Osamu Handa,Yoshihisa Kitano,Takuro Hagiwara. Владелец: Fuji Xerox Co Ltd. Дата публикации: 2007-08-16.

Disc reproducing apparatus and communication control method of disc reproducing apparatus

Номер патента: TW201409464A. Автор: Jun Liu,Bing Zhou. Владелец: Hon Hai Prec Ind Co Ltd. Дата публикации: 2014-03-01.

Image forming apparatus and paper ejection method of image forming apparatus

Номер патента: CN1722009A. Автор: 宍仓乾一郎. Владелец: Toshiba TEC Corp. Дата публикации: 2006-01-18.

Voltage conversion apparatus and its control method of signal

Номер патента: KR0148920B1. Автор: 손봉락. Владелец: 대우전자주식회사. Дата публикации: 1998-12-15.

Image forming apparatus and developer replacement method of image forming apparatus

Номер патента: EP3318930B1. Автор: Koji Imamiya,Kei Onishi. Владелец: Toshiba TEC Corp. Дата публикации: 2023-11-22.

Bi-directional transponder apparatus and method of operation

Номер патента: EP1439641A3. Автор: William J. Jones,Michael de la Chapelle. Владелец: Boeing Co. Дата публикации: 2006-08-30.

Telecommunications apparatus and methods

Номер патента: EP3886487A2. Автор: Hiroaki Takano,Brian Alexander Martin,Martin Warwick Beale,Anders Berggren. Владелец: Sony Group Corp. Дата публикации: 2021-09-29.

Circuit synchronization apparatus and method

Номер патента: US6798673B1. Автор: Brian N. Poindexter. Владелец: Garmin Ltd Kayman. Дата публикации: 2004-09-28.

Circuit synchronization apparatus and method

Номер патента: US6809940B1. Автор: Brian N. Poindexter. Владелец: Garmin Ltd Kayman. Дата публикации: 2004-10-26.

Apparatus and methods for performing concurrent access operations on different groupings of memory cells

Номер патента: US20200411098A1. Автор: Luca De Santis. Владелец: Micron Technology Inc. Дата публикации: 2020-12-31.

Apparatuses and methods for bi-directional access of crosspoint arrays

Номер патента: US20200051625A1. Автор: Hernan A. Castro. Владелец: Micron Technology Inc. Дата публикации: 2020-02-13.

Apparatuses and methods for bi-directional access of cross-point arrays

Номер патента: EP3140834A1. Автор: Hernan A. Castro. Владелец: Micron Technology Inc. Дата публикации: 2017-03-15.

Apparatuses and methods for bi-directional access of cross-point arrays

Номер патента: US20170352411A1. Автор: Hernan A. Castro. Владелец: Micron Technology Inc. Дата публикации: 2017-12-07.

Apparatuses and methods for bi-directional access of cross-point arrays

Номер патента: US20160276021A1. Автор: Hernan A. Castro. Владелец: US Bank NA. Дата публикации: 2016-09-22.

Apparatuses and methods for bi-directional access of cross-point arrays

Номер патента: WO2015171520A1. Автор: Hernan A. Castro. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2015-11-12.

Measurement device and method of operating therefor

Номер патента: US11754710B2. Автор: Tero Heinonen. Владелец: AI4 International Oy. Дата публикации: 2023-09-12.

Improved apparatus and method using a matrix repository

Номер патента: WO2008020118A1. Автор: Kalle FÄRKKILÄ. Владелец: Polyadaptive Ipr Oy. Дата публикации: 2008-02-21.

Automated money item handling system and method of operation

Номер патента: EP4241257A2. Автор: David Taylor,Kevin Mulvey,Ian PEERS. Владелец: Crane Payment Innovations Ltd. Дата публикации: 2023-09-13.

Automated money item handling system and method of operation

Номер патента: WO2022096885A2. Автор: David Taylor,Kevin Mulvey,Ian PEERS. Владелец: Crane Payment Innovations Limited. Дата публикации: 2022-05-12.

Serial interface memory testing apparatus and method

Номер патента: WO2008124095A1. Автор: Massimiliano Frulio,Stefano Surico,Marco Passerini,Alex Pojer. Владелец: ATMEL CORPORATION. Дата публикации: 2008-10-16.

Measurement apparatus and measurement method

Номер патента: US20200379112A1. Автор: Shinichi Hara,Yoshimasa Suzuki,Hiroki Ujihara. Владелец: Mitutoyo Corp. Дата публикации: 2020-12-03.

Web cleaner apparatus and method

Номер патента: EP1802404A1. Автор: Leslie Bennett. Владелец: PDM Ltd. Дата публикации: 2007-07-04.

Apparatus and methods for programming memory cells responsive to an indication of age of the memory cells

Номер патента: US11735253B2. Автор: Pin-Chou Chiang. Владелец: Micron Technology Inc. Дата публикации: 2023-08-22.

Ultrasound diagnosis apparatus and method of operating the same

Номер патента: US20190015077A1. Автор: Sung-Wook Park,Mi-Young Lee,Jin-Yong Lee,Hye-sung Won. Владелец: Samsung Medison Co Ltd. Дата публикации: 2019-01-17.

System and method of implementing new product designs on computer numerical control machines

Номер патента: US20020055797A1. Автор: Kenneth J. Susnjara,Michael P. Hardesty. Владелец: Thermwood Corp. Дата публикации: 2002-05-09.

Apparatus and Method for Controlling a Plasma Fusion Reactor

Номер патента: US20180114603A1. Автор: Joel Guild Rogers. Владелец: Individual. Дата публикации: 2018-04-26.

Vehicle sensor cleaning apparatus and control method thereof

Номер патента: US20240286583A1. Автор: Jong Wook Lee,Hyeong Jun Kim,Min Wook PARK,Sun Ju Kim,Sin Won Kang. Владелец: Dy Essys Corp. Дата публикации: 2024-08-29.

Puncture needle, ultrasonic diagnostic device, and method for controlling ultrasonic diagnostic device

Номер патента: EP3912559A1. Автор: Tomoki Inoue,Tsuyoshi Matsumoto. Владелец: Fujifilm Corp. Дата публикации: 2021-11-24.

Enveloping apparatus and method

Номер патента: WO2011051479A1. Автор: Alessandro Prataiola. Владелец: Kern Sistemi S.R.L.. Дата публикации: 2011-05-05.

Apparatus and method for simultaneously treating different fluctuating gas flows

Номер патента: US20240278170A1. Автор: Markus Priske. Владелец: EVONIK OPERATIONS GMBH. Дата публикации: 2024-08-22.

Microwave irradiation apparatus and method of producing metal nanoparticles

Номер патента: US20240149345A1. Автор: Jyunya Murai,Reimi YAMADA. Владелец: Toyota Motor Corp. Дата публикации: 2024-05-09.

Tassel protector and method

Номер патента: US8966722B2. Автор: Kfir Ohayon. Владелец: Individual. Дата публикации: 2015-03-03.

Laser apparatus for singulation, and a method of singulation

Номер патента: TW201249579A. Автор: Chi Wah Cheng,Chi Hang Kwok. Владелец: Asm Tech Singapore Pte Ltd. Дата публикации: 2012-12-16.

Stretching apparatus and parts replacement method of stretching apparatus

Номер патента: US12090688B2. Автор: Ichiro Nakajima,Naoaki Yoshimatsu. Владелец: Japan Steel Works Ltd. Дата публикации: 2024-09-17.

Control apparatus and a control method of a gas turbine combustor

Номер патента: US5477670A. Автор: Koji Takahashi,Isao Sato,Tetsuo Sasada,Minoru Takaba,Yoshikazu Moritomo,Hiraku Ikeda. Владелец: HITACHI LTD. Дата публикации: 1995-12-26.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20230380811A1. Автор: Riki Igarashi. Владелец: Fujifilm Corp. Дата публикации: 2023-11-30.

Cylinder judgment apparatus and cylinder judgment method of engine

Номер патента: US20020194903A1. Автор: Isamu Iizuka. Владелец: Unisia Jecs Corp. Дата публикации: 2002-12-26.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20240225603A9. Автор: Tomoki Inoue,Tsuyoshi Matsumoto. Владелец: Fujifilm Corp. Дата публикации: 2024-07-11.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20240122576A1. Автор: Tetsurou Ebata. Владелец: Fujifilm Corp. Дата публикации: 2024-04-18.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20230293144A1. Автор: Tetsurou Ebata. Владелец: Fujifilm Corp. Дата публикации: 2023-09-21.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20230301618A1. Автор: Tetsurou Ebata. Владелец: Fujifilm Corp. Дата публикации: 2023-09-28.

Medical image capturing apparatus and control method of the same

Номер патента: US20240268777A1. Автор: Kazuma TAKEMOTO. Владелец: Fujifilm Healthcare Corp. Дата публикации: 2024-08-15.

Printing apparatus and position adjusting method of mark detector

Номер патента: US9925764B2. Автор: Tomoyuki Shiiya. Владелец: Seiko Epson Corp. Дата публикации: 2018-03-27.

Additive manufacturing apparatus and additive manufacturing method of shaped article

Номер патента: US20190143407A1. Автор: Yoshinori Imoto,Takaya NAGAHAMA,Koichi SHIIBA. Владелец: JTEKT Corp. Дата публикации: 2019-05-16.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20230301636A1. Автор: Tetsurou Ebata. Владелец: Fujifilm Corp. Дата публикации: 2023-09-28.

Printing apparatus and position adjusting method of mark detector

Номер патента: US20170305147A1. Автор: Tomoyuki Shiiya. Владелец: Seiko Epson Corp. Дата публикации: 2017-10-26.

Systems and methods to reduce fouling of seawater systems

Номер патента: US20200198734A1. Автор: Eric Christopher Mills,Ryan Patrick Mills. Владелец: Individual. Дата публикации: 2020-06-25.

Ultrasound diagnostic apparatus and display method for ultrasound diagnostic apparatus

Номер патента: EP4230148A1. Автор: Eiichi Imamichi,Yuto Okada. Владелец: Fujifilm Corp. Дата публикации: 2023-08-23.

Printing apparatus and leakage detection method of the same

Номер патента: US9643413B2. Автор: Tomoya Teraji. Владелец: Canon Inc. Дата публикации: 2017-05-09.

Vehicle sensor cleaning apparatus and control method thereof

Номер патента: US20240286584A1. Автор: Jong Wook Lee,Hyeong Jun Kim,Min Wook PARK,Sun Ju Kim,Sin Won Kang. Владелец: Dy Essys Corp. Дата публикации: 2024-08-29.

Metal packaging liquid or aerosol jet coating compositions, coated substrates, packaging, and methods

Номер патента: US20240287316A1. Автор: Charles I. Skillman,Boxin Tang. Владелец: SWIMC LLC. Дата публикации: 2024-08-29.

Plating apparatus and wire inspection method of the same

Номер патента: US8858773B2. Автор: Kenichirou NISHIWAKI. Владелец: Nitto Denko Corp. Дата публикации: 2014-10-14.

Plating apparatus and wire inspection method of the same

Номер патента: US20110180411A1. Автор: Kenichirou NISHIWAKI. Владелец: Nitto Denko Corp. Дата публикации: 2011-07-28.

Snow plow position-controlled vehicle headlight operation system and method

Номер патента: CA2668666C. Автор: William F. Menze. Владелец: Sno-Way International Inc. Дата публикации: 2013-04-16.

Vehicle sensor cleaning apparatus and control method thereof

Номер патента: US20240286585A1. Автор: Jong Wook Lee,Hyeong Jun Kim,Min Wook PARK,Sun Ju Kim,Sin Won Kang. Владелец: Dy Essys Corp. Дата публикации: 2024-08-29.

Multi-gas pressurized housing apparatus and method of manufacture thereof

Номер патента: US20200390289A1. Автор: W. Davis Lee,Warren R. Kirsch,Christian T. METCALFE. Владелец: Korvata Inc. Дата публикации: 2020-12-17.

Ultrasonic diagnosis device and method for controlling ultrasonic diagnosis device

Номер патента: EP4309588A1. Автор: Riko Koshino. Владелец: Fujifilm Corp. Дата публикации: 2024-01-24.

Apparatus and method for simultaneous treatment of different fluctuating gas streams

Номер патента: CA3223773A1. Автор: Markus Priske. Владелец: EVONIK OPERATIONS GMBH. Дата публикации: 2023-02-09.

Profi-cartridge apparatus and methods of use thereof

Номер патента: US20230414042A1. Автор: Christian T. METCALFE. Владелец: Individual. Дата публикации: 2023-12-28.

Profi-cartridge apparatus and methods of use thereof

Номер патента: US11819166B2. Автор: W. Davis Lee,Warren R. Kirsch,Christian T. METCALFE. Владелец: Individual. Дата публикации: 2023-11-21.

Ultrasonic diagnostic device and method for controlling ultrasonic diagnostic device

Номер патента: EP4306055A1. Автор: Riko Koshino. Владелец: Fujifilm Corp. Дата публикации: 2024-01-17.

Ultrasonic diagnostic device and method for controlling ultrasonic diagnostic device

Номер патента: EP4309587A1. Автор: Riko Koshino. Владелец: Fujifilm Corp. Дата публикации: 2024-01-24.

Ultrasonic diagnostic device and method for controlling ultrasonic diagnostic device

Номер патента: EP4289368A1. Автор: Tomoki Inoue,Katsuya Yamamoto. Владелец: Fujifilm Corp. Дата публикации: 2023-12-13.

Tassel protector and method

Номер патента: US20140013546A1. Автор: Kfir Ohayon. Владелец: Individual. Дата публикации: 2014-01-16.

Profi-cartridge appartus and methods of use thereof

Номер патента: US20200375403A1. Автор: W. Davis Lee,Warren R. Kirsch,Christian T. METCALFE. Владелец: Korvata Inc. Дата публикации: 2020-12-03.

REMOTE STARTUP CONTROL DEVICE AND METHOD IN CONSIDERATION OF OPERATIONAL STATE OF PARKING BRAKE

Номер патента: US20180111596A1. Автор: Kim Jin Gi. Владелец: HYUNDAI MOTOR COMPANY. Дата публикации: 2018-04-26.

SYSTEM AND METHOD FOR CONTROL OF OPERATIONAL ASPECTS OF A SNOW PLOW BLADE AND/OR SPREADER

Номер патента: US20210156098A1. Автор: JR. James Patrick,Godwin. Владелец: . Дата публикации: 2021-05-27.

Control device of elevator, and method for conversion of operation modes of elevator

Номер патента: CN104418185A. Автор: 山口刚央. Владелец: Toshiba Elevator Co Ltd. Дата публикации: 2015-03-18.

Remote startup control device and method in consideration of operational state of parking brake

Номер патента: US10252708B2. Автор: Jin Gi Kim. Владелец: Hyundai Motor Co. Дата публикации: 2019-04-09.

Apparatus and method for manufacturing ready-to-use solutions for peritoneal dialysis

Номер патента: US11911547B2. Автор: Stefan Weiss. Владелец: FRESENIUS MEDICAL CARE DEUTSCHLAND GMBH. Дата публикации: 2024-02-27.

Anti-vehicle-thief apparatus and code setting method of the apparatus

Номер патента: US6008722A. Автор: Hiroshi Tagawa,Kazufumi Adachi,Manabu Hirozawa,Atsushi Okamitsu. Владелец: Naldec Corp. Дата публикации: 1999-12-28.

Vaporized fuel control apparatus and a control method of the same in an internal combustion engine

Номер патента: US5706789A. Автор: Kenji Kanehara,Jun Yamada. Владелец: Nippon Soken Inc. Дата публикации: 1998-01-13.

Drawing apparatus and control method of drawing apparatus

Номер патента: US20160367011A1. Автор: Masahiro Hori. Владелец: Casio Computer Co Ltd. Дата публикации: 2016-12-22.

Image display apparatus and control method of image display apparatus

Номер патента: US20230414203A1. Автор: Riko Koshino. Владелец: Fujifilm Corp. Дата публикации: 2023-12-28.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: EP4252671A1. Автор: Tsuyoshi Matsumoto. Владелец: Fujifilm Corp. Дата публикации: 2023-10-04.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20240130712A1. Автор: Tomoki Inoue,Tsuyoshi Matsumoto. Владелец: Fujifilm Corp. Дата публикации: 2024-04-25.

Image display apparatus and control method of image display apparatus

Номер патента: EP4295781A1. Автор: Riko Koshino. Владелец: Fujifilm Corp. Дата публикации: 2023-12-27.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: EP4302702A1. Автор: Noriji Kato. Владелец: Fujifilm Corp. Дата публикации: 2024-01-10.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20240000436A1. Автор: Riko Koshino. Владелец: Fujifilm Corp. Дата публикации: 2024-01-04.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20240000418A1. Автор: Riko Koshino. Владелец: Fujifilm Corp. Дата публикации: 2024-01-04.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20230320790A1. Автор: Tsuyoshi Matsumoto. Владелец: Fujifilm Corp. Дата публикации: 2023-10-12.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20240000434A1. Автор: Noriji Kato. Владелец: Fujifilm Corp. Дата публикации: 2024-01-04.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20240065671A1. Автор: Tsuyoshi Matsumoto. Владелец: Fujifilm Corp. Дата публикации: 2024-02-29.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: EP4285836A1. Автор: Riki Igarashi. Владелец: Fujifilm Corp. Дата публикации: 2023-12-06.

X-ray ct apparatus and control method of the same

Номер патента: US20240122557A1. Автор: Takashi Ishikawa,Isao Takahashi. Владелец: Fujifilm Healthcare Corp. Дата публикации: 2024-04-18.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20240188936A1. Автор: Tomoki Inoue,Tsuyoshi Matsumoto. Владелец: Fujifilm Corp. Дата публикации: 2024-06-13.

Damper, head unit, liquid jetting apparatus, and air-discharge method of damper

Номер патента: US20100214384A1. Автор: Masayuki Takata. Владелец: Brother Industries Ltd. Дата публикации: 2010-08-26.

Moulding apparatus and to a method of moulding

Номер патента: GB1427907A. Автор: . Владелец: Koehring Co. Дата публикации: 1976-03-10.

Ultrasonic diagnostic apparatus and control method for ultrasonic diagnostic apparatus

Номер патента: EP4265195A1. Автор: Tetsurou Ebata. Владелец: Fujifilm Corp. Дата публикации: 2023-10-25.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20230414197A1. Автор: Riko Koshino. Владелец: Fujifilm Corp. Дата публикации: 2023-12-28.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20230363740A1. Автор: Tomoki Inoue,Katsuya Yamamoto. Владелец: Fujifilm Corp. Дата публикации: 2023-11-16.

Beverage dispensing apparatus and method for active pressure control thereof

Номер патента: CA3209495A1. Автор: Paul Fletcher,Stephen HOBDEN. Владелец: Lavazza Professional UK Ltd. Дата публикации: 2020-02-20.

Beverage dispensing apparatus and method for active pressure control thereof

Номер патента: CA3209401A1. Автор: Paul Fletcher,Stephen HOBDEN. Владелец: Lavazza Professional UK Ltd. Дата публикации: 2020-02-20.

Beverage dispensing apparatus and method for active pressure control thereof

Номер патента: CA3109475C. Автор: Paul Fletcher,Stephen HOBDEN. Владелец: Lavazza Professional UK Ltd. Дата публикации: 2023-10-03.

Security sheet checking apparatus and corresponding control method of a printing machines

Номер патента: EP1748893A1. Автор: Maurizio Ripamonti,Roberto Salgari. Владелец: RIPARAZIONI MACCHINE GRAFICHE. Дата публикации: 2007-02-07.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: EP4186436A1. Автор: Riko Koshino. Владелец: Fujifilm Corp. Дата публикации: 2023-05-31.

Printing apparatus and leakage detection method of the same

Номер патента: US20190366747A1. Автор: Tomoya Teraji. Владелец: Canon Inc. Дата публикации: 2019-12-05.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: US20240081783A1. Автор: Tetsurou Ebata. Владелец: Fujifilm Corp. Дата публикации: 2024-03-14.

Printing apparatus and leakage detection method of the same

Номер патента: US20150273822A1. Автор: Tomoya Teraji. Владелец: Canon Inc. Дата публикации: 2015-10-01.

Ultrasound diagnostic apparatus and control method of ultrasound diagnostic apparatus

Номер патента: EP4238504A1. Автор: Yukiya Miyachi. Владелец: Fujifilm Corp. Дата публикации: 2023-09-06.

Printing apparatus and leakage detection method of the same

Номер патента: US20170203590A1. Автор: Tomoya Teraji. Владелец: Canon Inc. Дата публикации: 2017-07-20.

OPERATING APPARATUS AND OPERATING CONTROL METHOD OF SIDE STEP OF VEHICLE

Номер патента: US20150042060A1. Автор: Lee Seung Mok,Cha Dong Eun,Kim Hyun Gyung,Jeong Phil Jung. Владелец: HYUNDAI MOTOR COMPANY. Дата публикации: 2015-02-12.

A kind of four station both arms housing apparatus and its control, method of work

Номер патента: CN107486546A. Автор: 曹杰,王越,王福元. Владелец: Yangcheng Institute of Technology. Дата публикации: 2017-12-19.

It is a kind of to improve the spinning apparatus and Yarn spinning method of yarn quality

Номер патента: CN105624854B. Автор: 刘新金,苏旭中,谢春萍,徐伯俊. Владелец: JIANGNAN UNIVERSITY. Дата публикации: 2017-10-27.

A kind of mirror apparatus and the control method of this device

Номер патента: CN106184011A. Автор: 何楚鸿. Владелец: Individual. Дата публикации: 2016-12-07.

A kind of distilling apparatus and its distillating method of brandy

Номер патента: CN110257213A. Автор: 林灼华. Владелец: Zhuangchen Wine Brewing (fujian) Co Ltd. Дата публикации: 2019-09-20.

A kind of rolling apparatus and its rolling method of honeycomb fashion fire-retardant heat-insulation material

Номер патента: CN107718843A. Автор: 谢建华,谢烨,戚蓉蓉. Владелец: Individual. Дата публикации: 2018-02-23.

Heating and cooling apparatus, and the associated method of making such an apparatus

Номер патента: EP3514464B1. Автор: Changiz Tolouee. Владелец: Arctic Cool Chillers Ltd. Дата публикации: 2023-12-13.

An opening element for an insert nozzle of a slide gate valve apparatus and an opening method of said apparatus

Номер патента: GB8829005D0. Автор: . Владелец: Shinagawa Refractories Co Ltd. Дата публикации: 1989-01-25.

CO2 RECOVERING APPARATUS AND OPERATION CONTROL METHOD OF CO2 RECOVERING APPARATUS

Номер патента: US20130333559A1. Автор: Nakagawa Yosuke. Владелец: MITSUBISHI HEAVY INDUSTRIES, LTD.. Дата публикации: 2013-12-19.

ULTRASOUND APPARATUS AND INFORMATION PROVIDING METHOD OF THE ULTRASOUND APPARATUS

Номер патента: US20140088428A1. Автор: CHOI Jin-Young,KIM Hyoung-Jin,YANG Eun-ho. Владелец: . Дата публикации: 2014-03-27.

Liquid ejecting apparatus and liquid supply method of liquid ejecting apparatus

Номер патента: US20180043698A1. Автор: Hiroyuki Ito,Hitotoshi Kimura. Владелец: Seiko Epson Corp. Дата публикации: 2018-02-15.

ULTRASOUND APPARATUS AND INFORMATION PROVIDING METHOD OF THE ULTRASOUND APPARATUS

Номер патента: US20150057541A1. Автор: CHOI Jin-Young,KIM Hyoung-Jin,YANG Eun-ho. Владелец: . Дата публикации: 2015-02-26.

HEATER CONTROL APPARATUS AND HEATER CONTROL METHOD OF FUEL FILTER FOR VEHICLE

Номер патента: US20170058843A1. Автор: RYOO Dong Myoung,Ham Chang Ho. Владелец: . Дата публикации: 2017-03-02.

LIQUID EJECTING APPARATUS AND LIQUID CIRCULATION METHOD OF LIQUID EJECTING APPARATUS

Номер патента: US20150070415A1. Автор: Hara Kazuhiro,Ishikawa Hiroyuki,HIROKI Masashi. Владелец: . Дата публикации: 2015-03-12.

LASER DRILLING APPARATUS AND LASER DRILLING METHOD OF TEMPERED GLASS

Номер патента: US20170066680A1. Автор: CHEN CHUN-MING,Lin Yu-Chung,GU Chun-Jen,LIN Mao-Chi. Владелец: . Дата публикации: 2017-03-09.

SHIFT CONTROL APPARATUS AND SHIFT CONTROL METHOD OF AUTOMATIC TRANSMISSION

Номер патента: US20160084374A1. Автор: Kim Beomsoo. Владелец: HYUNDAI MOTOR COMPANY. Дата публикации: 2016-03-24.

STRETCHING APPARATUS AND PARTS REPLACEMENT METHOD OF STRETCHING APPARATUS

Номер патента: US20220176595A1. Автор: Nakajima Ichiro,YOSHIMATSU Naoaki. Владелец: . Дата публикации: 2022-06-09.

ADDITIVE MANUFACTURING APPARATUS AND ADDITIVE MANUFACTURING METHOD OF SHAPED ARTICLE

Номер патента: US20190143407A1. Автор: Nagahama Takaya,IMOTO Yoshinori,SHIIBA Koichi. Владелец: JTEKT CORPORATION. Дата публикации: 2019-05-16.

SHIFT CONTROL APPARATUS AND SHIFT CONTROL METHOD OF AUTOMATIC TRANSMISSION

Номер патента: US20150159748A1. Автор: Kim Jeongeun. Владелец: . Дата публикации: 2015-06-11.

ULTRASOUND APPARATUS AND INFORMATION PROVIDING METHOD OF THE ULTRASOUND APPARATUS

Номер патента: US20150196277A1. Автор: CHOI Jin-Young,KIM Hyoung-Jin,YANG Eun-ho. Владелец: . Дата публикации: 2015-07-16.

PRINTING APPARATUS AND LEAKAGE DETECTION METHOD OF THE SAME

Номер патента: US20170203590A1. Автор: Teraji Tomoya. Владелец: . Дата публикации: 2017-07-20.

DRAWING APPARATUS AND DRAWING CONTROL METHOD OF DRAWING APPARATUS

Номер патента: US20170215549A1. Автор: Nakagome Kouichi,Teshima Yoshihiro. Владелец: CASIO COMPUTER CO., LTD.. Дата публикации: 2017-08-03.

PRINTING APPARATUS AND DISTANCE MEASURING METHOD OF SONIC SENSOR

Номер патента: US20200247160A1. Автор: Yamazaki Shinya,Murayama Osamu. Владелец: . Дата публикации: 2020-08-06.

PRINTING APPARATUS AND LEAKAGE DETECTION METHOD OF THE SAME

Номер патента: US20150273822A1. Автор: Teraji Tomoya. Владелец: . Дата публикации: 2015-10-01.

Liquid droplet discharging apparatus, and pattern reading method of liquid droplet discharging apparatus

Номер патента: US20180272746A1. Автор: So YOKOTA. Владелец: Seiko Epson Corp. Дата публикации: 2018-09-27.

ULTRASOUND APPARATUS AND INFORMATION PROVIDING METHOD OF THE ULTRASOUND APPARATUS

Номер патента: US20150297186A1. Автор: CHOI Jin-Young,KIM Hyoung-Jin,YANG Eun-ho. Владелец: . Дата публикации: 2015-10-22.

PRINTING APPARATUS AND POSITION ADJUSTING METHOD OF MARK DETECTOR

Номер патента: US20170305147A1. Автор: SHIIYA Tomoyuki. Владелец: . Дата публикации: 2017-10-26.

PRINTING APPARATUS AND COLORIMETRY CONTROL METHOD OF PRINTING APPARATUS

Номер патента: US20200298586A1. Автор: ARAZAKI Shinichi. Владелец: . Дата публикации: 2020-09-24.

INK JET RECORDING APPARATUS AND ABNORMALITY DETECTION METHOD OF EJECTOR

Номер патента: US20150336381A1. Автор: KYOSO Tadashi,YAMANOBE Jun,SUMI Katsuto. Владелец: FUJIFILM Corporation. Дата публикации: 2015-11-26.

CARTRIDGE, IMAGE FORMING APPARATUS AND QUALITY DETERMINING METHOD OF CARTRIDGE

Номер патента: US20150336413A1. Автор: YAGI Norifumi,ISHIDA Takao,HIROI Kanya. Владелец: . Дата публикации: 2015-11-26.

ULTRASOUND APPARATUS AND INFORMATION PROVIDING METHOD OF THE ULTRASOUND APPARATUS

Номер патента: US20150359516A1. Автор: CHOI Jin-Young,KIM Hyoung-Jin,YANG Eun-ho. Владелец: . Дата публикации: 2015-12-17.

PRINTING APPARATUS AND LEAKAGE DETECTION METHOD OF THE SAME

Номер патента: US20190366747A1. Автор: Teraji Tomoya. Владелец: . Дата публикации: 2019-12-05.

a laundry treating apparatus and a control method of the same

Номер патента: KR20220120364A. Автор: 김경훈,김정훈,배순철,조영한,한인우. Владелец: 엘지전자 주식회사. Дата публикации: 2022-08-30.

A pollutant purification apparatus and pollutant purification method of the pollutant purification apparatus

Номер патента: KR100621193B1. Автор: 안영찬. Владелец: 안영찬. Дата публикации: 2006-09-19.

a laundry treating apparatus and a control method of the same

Номер патента: KR20220120366A. Автор: 김경훈,김정훈,배순철,조영한,한인우. Владелец: 엘지전자 주식회사. Дата публикации: 2022-08-30.

Manufacture apparatus and it's method of unpolished rice

Номер патента: KR100788496B1. Автор: 이민성. Владелец: 이민성. Дата публикации: 2007-12-24.

Cooling cycle apparatus and the control method of the same

Номер патента: KR100591311B1. Автор: 유윤호. Владелец: 엘지전자 주식회사. Дата публикации: 2006-06-19.

Removing apparatus and the removing method of sludge deposit

Номер патента: KR101106770B1. Автор: 김원태. Владелец: 김원태. Дата публикации: 2012-01-18.

Endoscope apparatus and bending control method of endoscope apparatus

Номер патента: US9095254B2. Автор: Yoichiro KASAI. Владелец: Olympus Corp. Дата публикации: 2015-08-04.

Fuel supply apparatus and fuel supply method of an internal combustion engine

Номер патента: EP2038535B1. Автор: Naoki Kurata,Tatsuhiko Akita. Владелец: Toyota Motor Corp. Дата публикации: 2010-09-08.

Velocity control apparatus and velocity control method of vehicle

Номер патента: KR100850501B1. Автор: 전재욱,김진호,서석현,문태윤. Владелец: 성균관대학교산학협력단. Дата публикации: 2008-08-05.

A bedding apparatus and an improved method of forming a bed

Номер патента: CA2607034A1. Автор: Mario Piraino. Владелец: Individual. Дата публикации: 2008-04-18.

Abnormality judgment apparatus and abnormality judgment method of liquid supply system

Номер патента: EP2361771A2. Автор: Jun Isozaki,Koji Furukawa,Masaki Kataoka. Владелец: Fuji Xerox Co Ltd. Дата публикации: 2011-08-31.

Drawing apparatus and drawing control method of drawing apparatus

Номер патента: JP6206346B2. Автор: 山崎 修一,修一 山崎. Владелец: Casio Computer Co Ltd. Дата публикации: 2017-10-04.

Continuous rolling apparatus and continuous rolling method of stainless steel

Номер патента: KR101368557B1. Автор: 김철희. Владелец: 주식회사 포스코. Дата публикации: 2014-02-27.

Heat pump apparatus and operation control method of heat pump apparatus

Номер патента: EP2375187A2. Автор: Takafumi Mito. Владелец: Mitsubishi Electric Corp. Дата публикации: 2011-10-12.

Continuous rolling apparatus and continuous rolling method of stainless steel

Номер патента: KR20140038741A. Автор: 김철희. Владелец: 주식회사 포스코. Дата публикации: 2014-03-31.

Braking apparatus and braking control method of vehicle

Номер патента: KR102483015B1. Автор: 김종성. Владелец: 현대모비스 주식회사. Дата публикации: 2022-12-30.

Damper, head unit, liquid jetting apparatus, and air-discharge method of damper

Номер патента: US8356891B2. Автор: Masayuki Takata. Владелец: Brother Industries Ltd. Дата публикации: 2013-01-22.

Shift Control Apparatus And Shift Control Method Of Automatic Transmission

Номер патента: CN105422839A. Автор: 金范洙. Владелец: Hyundai Motor Co. Дата публикации: 2016-03-23.

Thread cutting apparatus and wire cutting method of double-chain-ring sewing machine

Номер патента: CN104342858A. Автор: 林田高幸. Владелец: Yamato Sewing Machine Mfg Co Ltd. Дата публикации: 2015-02-11.

Edge-cutting apparatus and tension control method of edge-cutting apparatus

Номер патента: KR102290781B1. Автор: 이명진,김주완,이정재. Владелец: 주식회사 포스코. Дата публикации: 2021-08-18.

A simulated moving bed separation apparatus and a separative method of a fluid using the same

Номер патента: KR20110032102A. Автор: 이진석,이기원. Владелец: 삼성토탈 주식회사. Дата публикации: 2011-03-30.

Continuous manufacturing apparatus and continuous manufacturing method of metal-organic framework(mof)

Номер патента: KR20230061253A. Автор: 이희동,유남경. Владелец: 한국생산기술연구원. Дата публикации: 2023-05-08.

Semiconductor memory device with tras measurement apparatus and tras measurement method of the same

Номер патента: KR100487488B1. Автор: 김병철. Владелец: 삼성전자주식회사. Дата публикации: 2005-08-10.

Cooling apparatus and the cooling method of welding zone using the same

Номер патента: KR101389859B1. Автор: 오성은. Владелец: 주식회사 포스코. Дата публикации: 2014-04-30.

Dual-crush-structured paste exfoliation apparatus and the manufacturing method of recycling aggregate

Номер патента: KR101682952B1. Автор: 이상철. Владелец: 이상철. Дата публикации: 2016-12-06.

Image formation apparatus and recovery ejection method of print head

Номер патента: US7198350B2. Автор: Atsuhisa Nakashima. Владелец: Brother Industries Ltd. Дата публикации: 2007-04-03.

The deliverance apparatus and its control method of vehicle

Номер патента: CN108466578A. Автор: 陈波,蒋欣,陶桂东,田庆,于晓杰. Владелец: CRRC Qingdao Sifang Co Ltd. Дата публикации: 2018-08-31.

Ultrasonic diagnostic apparatus and signal processing method of ultrasonic diagnostic apparatus

Номер патента: JPWO2010055879A1. Автор: 元 大滝. Владелец: Hitachi Medical Corp. Дата публикации: 2012-04-12.

Torque calculation apparatus and torque calculation method of variable capacitance compressor

Номер патента: US20060080977A1. Автор: Yoshitoshi Noda. Владелец: Calsonic Kansei Corp. Дата публикации: 2006-04-20.

PHC pile connecting apparatus and Repair construction method of cracked PHC pile using it

Номер патента: KR101739266B1. Автор: 박인수,최영덕. Владелец: 주식회사 포유텍. Дата публикации: 2017-05-24.

Robot apparatus and motion control method of robot apparatus

Номер патента: JP4513320B2. Автор: 憲一郎 長阪. Владелец: Sony Corp. Дата публикации: 2010-07-28.

Operating apparatus and operating controlling method of side step

Номер патента: KR101459934B1. Автор: 김현경,이승목,차동은,정필중. Владелец: 현대자동차주식회사. Дата публикации: 2014-11-20.

Multi layer pipe mufacturing apparatus and the manufacturing method of multi layer pipe using this

Номер патента: KR101590021B1. Автор: 박종배,장동석. Владелец: 동연스틸 주식회사. Дата публикации: 2016-02-01.

Cooking apparatus and the control method of capsule movement

Номер патента: CN108926225A. Автор: 崔泽昊,曾彬,凌波. Владелец: Zhejiang Supor Electrical Appliances Manufacturing Co Ltd. Дата публикации: 2018-12-04.

Weld fixture apparatus and the preparation method of battery terminal

Номер патента: CN103831562B. Автор: 周明杰,唐科. Владелец: Oceans King Dongguan Lighting Technology Co Ltd. Дата публикации: 2016-12-21.

Burr deburring apparatus and burr deburring method of Actuator for a HDD

Номер патента: KR100600513B1. Автор: 김남선. Владелец: 신성전자정밀 주식회사. Дата публикации: 2006-07-13.

Liquid ejection apparatus and liquid filling method of liquid ejection apparatus

Номер патента: US20060082621A1. Автор: Kenji Tsukada,Takeo Seino. Владелец: Seiko Epson Corp. Дата публикации: 2006-04-20.

The cleaning apparatus and the same method of pressure guage

Номер патента: KR100446334B1. Автор: 전성복,유용인. Владелец: 주식회사 로템. Дата публикации: 2004-09-01.

a laundry treating apparatus and a control method of the same

Номер патента: KR20220120365A. Автор: 김경훈,김정훈,배순철,조영한,한인우. Владелец: 엘지전자 주식회사. Дата публикации: 2022-08-30.

Anti-vehicle-thief apparatus and code setting method of the apparatus

Номер патента: EP0695675A1. Автор: Hiroshi Tagawa,Kazufumi Adachi,Manabu Hirozawa,Atsushi Okamitsu. Владелец: Naldec Corp. Дата публикации: 1996-02-07.

Tube insertion hole boring apparatus and the boring method of header pipe for regenerator

Номер патента: KR100613011B1. Автор: 황인규. Владелец: 황인규. Дата публикации: 2006-08-16.

Torque calculation apparatus and torque calculation method of variable capacitance compressor

Номер патента: US7841197B2. Автор: Yoshitoshi Noda. Владелец: Calsonic Kansei Corp. Дата публикации: 2010-11-30.

Clothes storage apparatus and the operating method of the same

Номер патента: KR101250511B1. Автор: 이용관. Владелец: 주식회사 아이비포. Дата публикации: 2013-04-03.

The apparatus and the control method of heat pump system for car

Номер патента: KR101155804B1. Автор: 장길상,강한준. Владелец: 한라공조주식회사. Дата публикации: 2012-06-12.

Apparatus and transferring pressing method of timber

Номер патента: KR101259825B1. Автор: 고기종. Владелец: 주식회사 한샘. Дата публикации: 2013-04-30.

Simplified washing apparatus and the operating method of it

Номер патента: KR101505483B1. Автор: 임채석. Владелец: (주)백산하이텍. Дата публикации: 2015-03-24.

A bedding apparatus and an improved method of forming a bed

Номер патента: AU2006222692B2. Автор: Mario Cladinoro Piraino. Владелец: Individual. Дата публикации: 2010-08-26.

A Control Apparatus and the Same Method of Lading Temperature for Sheet Rubber

Номер патента: KR100961057B1. Автор: 이원희. Владелец: 금호타이어 주식회사. Дата публикации: 2010-06-01.

Sub-zero air separation apparatus and an operating method of the same

Номер патента: KR100694376B1. Автор: 히토시 아사오카. Владелец: 가부시키가이샤 고베 세이코쇼. Дата публикации: 2007-03-12.

Fluidized bed pyrolysis apparatus and a pyloysis method of organic material using the same

Номер патента: KR102175676B1. Автор: 오창호,박종욱,윤학상. Владелец: 주식회사 대경에스코. Дата публикации: 2020-11-06.

Radiation imaging control apparatus and operation control method of the same

Номер патента: CN102415892A. Автор: 西纳直行. Владелец: Fujifilm Corp. Дата публикации: 2012-04-18.

Multi-wavelength laser and method for contact ablation of tissue

Номер патента: EP2320822A2. Автор: Marilyn M. Chou,John L. Rink,Jasen Eric Peterson,Mark H. K. Chim. Владелец: Xintec Corp. Дата публикации: 2011-05-18.

Multi-wavelength laser and method for contact ablation of tissue

Номер патента: WO2010014224A3. Автор: Marilyn M. Chou,John L. Rink,Jasen Eric Peterson,Mark H. K. Chim. Владелец: Xintec Corporation. Дата публикации: 2010-04-15.

Method of calibrating a focal point of a laser apparatus mounted on a window mounted in situ

Номер патента: EP4251364A2. Автор: Eric MORGANTE. Владелец: AGC Flat Glass North America Inc. Дата публикации: 2023-10-04.

Tape transfer apparatus and method of operation

Номер патента: GB2624271A. Автор: Jamal Toudmeri Mohammed. Владелец: Dover Europe Sàrl. Дата публикации: 2024-05-15.

Tape transfer apparatus and method of operation

Номер патента: WO2024100166A1. Автор: Mohammed Jamal Toudmeri. Владелец: Dover Europe Sàrl. Дата публикации: 2024-05-16.

Pressure actuated valves and methods of use

Номер патента: CA3089081A1. Автор: David B. Malcolm. Владелец: MALCO LLC. Дата публикации: 2022-02-05.

Apparatus and method for automatically controlling a transmission of a wheel loader

Номер патента: US20130261908A1. Автор: In Pyo Jang. Владелец: Doosan Infracore Co Ltd. Дата публикации: 2013-10-03.

Invertible electric roasting oven and method of use

Номер патента: US20170251873A1. Автор: Eric Li,George T.C. Li. Владелец: Individual. Дата публикации: 2017-09-07.

Apparatus and methods for tong operation

Номер патента: US10472906B2. Автор: Bjoern Thiemann,Ditmar Clasen,Georg ZIMBELMANN. Владелец: Weatherford Technology Holdings LLC. Дата публикации: 2019-11-12.

Apparatus and methods for tong operation

Номер патента: WO2019040325A1. Автор: Bjoern Thiemann,Ditmar Clasen,Georg ZIMBELMANN. Владелец: Weatherford Technology Holdings, LLC. Дата публикации: 2019-02-28.

Therapeutic laser apparatus for hair loss

Номер патента: RU2472543C2. Автор: Тае Хёунг КИМ. Владелец: Иникс Лтд.. Дата публикации: 2013-01-20.

Laser apparatus and laser machining method

Номер патента: US20230201969A1. Автор: Sung Yong Lee,Gyoo Wan HAN,Toshinaru Suzuki,Seung Ho MYOUNG,Gyeong Hee HAN. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-06-29.

Engine and method of operating the same

Номер патента: WO2024039644A3. Автор: John Krzeminski. Владелец: Alpha-Otto Technologies, Inc.. Дата публикации: 2024-06-27.

A printing apparatus and method of operating a printing apparatus

Номер патента: WO2024100138A1. Автор: Phillip Lakin. Владелец: Dover Europe Sàrl. Дата публикации: 2024-05-16.

Wet cleaning apparatus and method of operating the same

Номер патента: EP4400014A1. Автор: Douwe De Jong,Lolke Klaas SALVERDA. Владелец: Versuni Holding BV. Дата публикации: 2024-07-17.

Gas turbo-generator device and method of phase modifying operation therefor

Номер патента: CA999447A. Автор: Kenji Tokunaga,Michio Hori. Владелец: HITACHI LTD. Дата публикации: 1976-11-09.

LASER APPARATUS FOR SINGULATION, AND A METHOD OF SINGULATION

Номер патента: US20120318777A1. Автор: KWOK Chi Hang,CHENG Chi Wah. Владелец: . Дата публикации: 2012-12-20.

Digital image apparatus and its setting method of personalized safety shutter value

Номер патента: TW201116924A. Автор: Chi-Jung Weng,Ming-Song Hsiao. Владелец: Altek Corp. Дата публикации: 2011-05-16.

Wafer bevel etching apparatus and the related method of flatting a wafer

Номер патента: TW201001512A. Автор: Chih-Yueh Li,Tai-Heng Yu. Владелец: United Microelectronics Corp. Дата публикации: 2010-01-01.

Manage the method and apparatus and data processing method of baseline

Номер патента: CN109725926A. Автор: 滕龙. Владелец: Alibaba Group Holding Ltd. Дата публикации: 2019-05-07.

SIGNAL RECEIVING APPARATUS AND SIGNAL PROCESSING METHOD OF THE SAME

Номер патента: US20120014479A1. Автор: CHOI Young-Ho. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-01-19.

IMAGE PICKUP APPARATUS AND IMAGE PROCESSING METHOD OF A PICKED-UP IMAGE

Номер патента: US20120033098A1. Автор: . Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2012-02-09.

DISPLAY APPARATUS AND IMAGE CORRECTION METHOD OF THE SAME

Номер патента: US20120038660A1. Автор: Park Ji-Yong,Han Young-Ran. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-02-16.

Image reader for use in an image forming apparatus, and contamination check method of guide film for the same

Номер патента: US20120044513A1. Автор: . Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-02-23.

RADIATION IMAGING CONTROL APPARATUS AND OPERATION CONTROL METHOD OF THE SAME

Номер патента: US20120051521A1. Автор: . Владелец: FUJIFILM Corporation. Дата публикации: 2012-03-01.

Information Processing Apparatus and Operation Control Method of an Information Processing Apparatus

Номер патента: US20120057444A1. Автор: . Владелец: . Дата публикации: 2012-03-08.

DISPLAY APPARATUS AND COMMERCIAL DISPLAY METHOD OF THE SAME

Номер патента: US20120066075A1. Автор: HYUN Dae-eun. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-03-15.

IMAGE SCANNER, IMAGE FORMING APPARATUS AND IMAGE ADJUSTING METHOD OF IMAGE SCANNER

Номер патента: US20120070200A1. Автор: . Владелец: FUJI XEROX CO., LTD.. Дата публикации: 2012-03-22.

IMAGE FORMING APPARATUS AND TONE CORRECTION METHOD OF IMAGE FORMING APPARATUS

Номер патента: US20120120419A1. Автор: . Владелец: Konica Minolta Business Technologies, Inc.. Дата публикации: 2012-05-17.

DISPLAY APPARATUS AND SOUND CONTROL METHOD OF THE DISPLAY APPARATUS

Номер патента: US20120128184A1. Автор: KIM Hee-su,PARK Nam-hyun. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-05-24.

Switching Apparatus and Data Management Method of Same

Номер патента: US20120166755A1. Автор: . Владелец: . Дата публикации: 2012-06-28.

X-RAY CT APPARATUS AND IMAGE DISPLAY METHOD OF X-RAY CT APPARATUS

Номер патента: US20120207355A1. Автор: KOKUBUN Hiroto. Владелец: HITACHI MEDICAL CORPORATION. Дата публикации: 2012-08-16.

CLOCK GENERATING APPARATUS AND FREQUENCY CALIBRATING METHOD OF THE CLOCK GENERATING APPARATUS

Номер патента: US20120249195A1. Автор: Lee Wen-Chang,Marques Augusto,Guo Xiaochuan,Chen Chii-Horng. Владелец: . Дата публикации: 2012-10-04.

POWER CONTROL APPARATUS AND POWER CONTROL METHOD OF CONSTRUCTION MACHINE

Номер патента: US20120251332A1. Автор: Sohn Won Sun,Park Duck Woo,Bang Jae Seok. Владелец: DOOSAN INFRACORE CO., LTD.. Дата публикации: 2012-10-04.

ENDOSCOPE APPARATUS AND IMAGE ACQUISITION METHOD OF THE ENDOSCOPE APPARATUS

Номер патента: US20120257030A1. Автор: Choe Won-hee,Lee Seong-deok,Lim Jae-guyn. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-10-11.

BEDDING APPARATUS AND AN IMPROVED METHOD OF FORMING A BED

Номер патента: US20120260420A1. Автор: . Владелец: . Дата публикации: 2012-10-18.

STORAGE APPARATUS AND DATA CONTROL METHOD OF THE SAME

Номер патента: US20120272035A1. Автор: Seno Shuntaro. Владелец: . Дата публикации: 2012-10-25.

IMAGE FORMING APPARATUS AND TEMPERATURE CONTROLLING METHOD OF IMAGE FORMING APPARATUS

Номер патента: US20120294640A1. Автор: ARAKAWA Osamu. Владелец: MURATA MACHINERY, LTD.. Дата публикации: 2012-11-22.

MAGNETIC RESONANCE IMAGING APPARATUS AND LOAD CALCULATION METHOD OF A GRADIENT MAGNETIC FIELD GENERATION SYSTEM

Номер патента: US20130009639A1. Автор: Ookawa Masashi. Владелец: . Дата публикации: 2013-01-10.

IMAGE PROCESSING APPARATUS AND POWER-SAVING METHOD OF IMAGE PROCESSING APPARATUS

Номер патента: US20130050727A1. Автор: MURATA Yoshinori. Владелец: MURATA MACHINERY, LTD.. Дата публикации: 2013-02-28.

IMAGE SCANNING APPARATUS AND PAPER TRANSFER METHOD OF THE IMAGE SCANNING APPARATUS

Номер патента: US20130070318A1. Автор: BYUN Ji-Young,Hwang Ho-bin. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2013-03-21.

ENDOSCOPE APPARATUS AND BENDING CONTROL METHOD OF ENDOSCOPE APPARATUS

Номер патента: US20130085336A1. Автор: KASAI Yoichiro. Владелец: OLYMPUS CORPORATION. Дата публикации: 2013-04-04.

Based on complex dielectric constant measuring apparatus and the measuring method of SRR

Номер патента: CN103675466B. Автор: 皇甫江涛,冉立新,董晶,申发中. Владелец: Zhejiang University ZJU. Дата публикации: 2016-01-27.

Image pickup apparatus and image pickup method of generating image having depth information

Номер патента: KR102228456B1. Автор: 박경태. Владелец: 삼성전자주식회사. Дата публикации: 2021-03-16.

Imaging apparatus and image display method of imaging apparatus

Номер патента: JP6270508B2. Автор: 智明 羽鳥. Владелец: Olympus Corp. Дата публикации: 2018-01-31.

Emergency call apparatus and the controlling method of elevator

Номер патента: KR100362916B1. Автор: 박종범,이양명. Владелец: 현대엘리베이터주식회사. Дата публикации: 2002-11-29.

Fixing apparatus, image forming apparatus, and heating control method of fixing apparatus

Номер патента: JP4568153B2. Автор: 洋之 遠藤. Владелец: Ricoh Co Ltd. Дата публикации: 2010-10-27.

Solution coating apparatus and bubble removal method of coating apparatus

Номер патента: JP4537657B2. Автор: 雅彦 黒澤,保次 鶴岡. Владелец: Shibaura Mechatronics Corp . Дата публикации: 2010-09-01.

Image forming apparatus and failure processing method of image forming apparatus

Номер патента: JP5388789B2. Автор: 仁 速水. Владелец: Kyocera Document Solutions Inc. Дата публикации: 2014-01-15.

Cleaning processing apparatus and screen processing method of processing apparatus

Номер патента: JP3377657B2. Автор: 達之 岩間. Владелец: Tokyo Electron Ltd. Дата публикации: 2003-02-17.

Image processing apparatus and image processing method of image processing apparatus

Номер патента: JP4956582B2. Автор: 崇行 澤田. Владелец: Toshiba TEC Corp. Дата публикации: 2012-06-20.

Fluid ejecting apparatus and fluid filling method of fluid ejecting apparatus

Номер патента: CN101348041B. Автор: 情野健朗,竹腰太郎,小林范久,六波罗宪纲. Владелец: Seiko Epson Corp. Дата публикации: 2011-02-09.

Image forming apparatus and sheet discharging method of image forming apparatus

Номер патента: JP6467979B2. Автор: 寛直 南波. Владелец: KONICA MINOLTA INC. Дата публикации: 2019-02-13.

Information processing apparatus and data processing method of information processing apparatus

Номер патента: JP3209916B2. Автор: 安彦 佐々木. Владелец: Canon Inc. Дата публикации: 2001-09-17.

Image processing apparatus and job processing method of image processing apparatus

Номер патента: JP3647152B2. Автор: 剛 森山,雅利 柳沼,清志 岡本,博之 矢口,大介 石塚. Владелец: Canon Inc. Дата публикации: 2005-05-11.

The material feeding apparatus and material supply method of vertical die-casting machine

Номер патента: CN104874765B. Автор: 李昊度. Владелец: Dongguan Pa Mudi Hao Yu Liquid Metal Co Ltd. Дата публикации: 2017-08-25.

Video receiving apparatus and video display method of video receiving apparatus

Номер патента: JP4115452B2. Автор: 智明 河合,健 波潟. Владелец: Canon Inc. Дата публикации: 2008-07-09.

Printing apparatus and plate making method of printing apparatus

Номер патента: JP5147671B2. Автор: 智大 黒瀬. Владелец: Riso Kagaku Corp. Дата публикации: 2013-02-20.

Optical disk apparatus, and wobble reproducing method of optical disk

Номер патента: JP2007042201A. Автор: Makoto Kobayashi,誠 小林. Владелец: Asahi Kasei Microsystems Co Ltd. Дата публикации: 2007-02-15.

Touch-sensing display apparatus and cursor controlling methode of its touch pannel

Номер патента: TWI739673B. Автор: 紀進睿. Владелец: 明基電通股份有限公司. Дата публикации: 2021-09-11.

Continuous unwinding apparatus and continuous unwinding method of web

Номер патента: JP3453350B2. Автор: 洋司 三浦. Владелец: Mitsubishi Heavy Industries Ltd. Дата публикации: 2003-10-06.

Radio apparatus and radio communication method of the same

Номер патента: JP2011119807A. Автор: Osamu Takemura,治 竹村,Takuya Ebitani,拓也 海老谷. Владелец: Mitsubishi Electric Corp. Дата публикации: 2011-06-16.

Magnetic resonance imaging apparatus and signal processing method of magnetic resonance imaging apparatus

Номер патента: JP4443918B2. Автор: 聡 杉浦. Владелец: Toshiba Medical Systems Corp. Дата публикации: 2010-03-31.

Information processing apparatus and screen display method of the information processing apparatus

Номер патента: JP6391525B2. Автор: 寛 雨宮. Владелец: Alpine Electronics Inc. Дата публикации: 2018-09-19.

Sheet processing apparatus and sheet processing method of sheet processing apparatus

Номер патента: JP4389705B2. Автор: 弘康 倉科. Владелец: Seiko Epson Corp. Дата публикации: 2009-12-24.

Image forming apparatus and activation processing method of image forming apparatus

Номер патента: JP6877966B2. Автор: 英幸 廣. Владелец: Sharp Corp. Дата публикации: 2021-05-26.

Wireless apparatus and threshold setting method of electric field level

Номер патента: TWI309929B. Автор: Hiroki Matsumoto. Владелец: Kyocera Corp. Дата публикации: 2009-05-11.

Wireless apparatus and threshold setting method of electric field level

Номер патента: TW200640173A. Автор: Hiroki Matsumoto. Владелец: Kyocera Corp. Дата публикации: 2006-11-16.

Smart platform control system, platform apparatus and the control method of the platform apparatus

Номер патента: TW201137549A. Автор: ren-quan Luo,Kuo-Lan Su. Владелец: Univ Nat Taiwan. Дата публикации: 2011-11-01.

Heat dissipation apparatus and the composing method of the same

Номер патента: TW412915B. Автор: Yun-Jing Chen. Владелец: Chen Yun Jing. Дата публикации: 2000-11-21.

Optical imaging apparatus and temperature controlling method of light emitting diode module thereof

Номер патента: TW201201624A. Автор: Hsin-Yu Chen,Chen-Kang Su. Владелец: Acer Inc. Дата публикации: 2012-01-01.

Touch-sensitive apparatus having a touch sensor and display element and method of operating such

Номер патента: WO2024194601A1. Автор: Peter Timothy Sleeman. Владелец: TouchNetix Limited. Дата публикации: 2024-09-26.