• Главная
  • Toner for printing method and method for electrophoretic printing process

Toner for printing method and method for electrophoretic printing process

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method and apparatus for concentrating an ink for an electrostatic printing process

Номер патента: EP2753983A1. Автор: Eyal Bachar,Mark Sandler,Shai Lior,Avner Schneider. Владелец: Hewlett Packard Indigo BV. Дата публикации: 2014-07-16.

Device and method for measuring concentration of liquid toner

Номер патента: US20100215386A1. Автор: Shinichiro Senoo. Владелец: Mitsubishi Heavy Industries Ltd. Дата публикации: 2010-08-26.

Method and system for printing variable images

Номер патента: US20230311555A1. Автор: Varun Sambhy,Eliud Robles Flores,Guo-Yau Lin,David R. Stookey. Владелец: Xerox Corp. Дата публикации: 2023-10-05.

Digital printing apparatus and printing process

Номер патента: EP3014361A1. Автор: Nick Horemans,Lode Erik Dries Deprez. Владелец: Xeikon IP BV. Дата публикации: 2016-05-04.

Digital printing apparatus and printing process

Номер патента: WO2014209123A1. Автор: Nick Horemans,Lode Erik Dries Deprez. Владелец: Xeikon IP BV. Дата публикации: 2014-12-31.

Image forming apparatus and method for demanding more flexible printing processing

Номер патента: US20200057409A1. Автор: Nobuyuki Miyoshi. Владелец: Toshiba TEC Corp. Дата публикации: 2020-02-20.

Image forming apparatus and method for demanding more flexible printing processing

Номер патента: US20170192381A1. Автор: Nobuyuki Miyoshi. Владелец: Toshiba TEC Corp. Дата публикации: 2017-07-06.

Image forming apparatus and method for demanding more flexible printing processing

Номер патента: US20180267456A1. Автор: Nobuyuki Miyoshi. Владелец: Toshiba TEC Corp. Дата публикации: 2018-09-20.

Method and apparatus for exposure regulation in a photographic colour printing process

Номер патента: GB1213479A. Автор: . Владелец: Agfa Gevaert AG. Дата публикации: 1970-11-25.

TONER FOR FORMING IMAGE, IMAGE FORMING METHOD, AND IMAGE FORMING APPARATUS

Номер патента: US20130260302A1. Автор: Nagayama Masashi,Nakajima Hisashi,Yamada Saori,Hanatani Shinya,Takii Mariko. Владелец: . Дата публикации: 2013-10-03.

Toner for developing electrostatic latent image

Номер патента: US20170307991A1. Автор: Koji Shibata,Kosuke Nakamura,Satoshi Uchino,Futoshi KADONOME. Владелец: KONICA MINOLTA INC. Дата публикации: 2017-10-26.

Intelligent toner charging system and method

Номер патента: US20090016754A1. Автор: Kurt Robert Thor Kolb. Владелец: Xerox Corp. Дата публикации: 2009-01-15.

Printing system, printing system control method, and storage medium

Номер патента: US20240295850A1. Автор: Yuichiro Shibuya. Владелец: Canon Inc. Дата публикации: 2024-09-05.

Method and device for controlling the circumferential register

Номер патента: WO2006045487A1. Автор: Karlheinz Peter,Ingo Dreher,Stefan Schrader,Heiko Hunold,Jan Dirk Boness. Владелец: EASTMAN KODAK COMPANY. Дата публикации: 2006-05-04.

Direct electrostatic printing method and apparatus

Номер патента: EP1062100A2. Автор: Tomas Jonsson. Владелец: Array Printers AB. Дата публикации: 2000-12-27.

Printing method and apparatus for laser beam printer

Номер патента: US20050024474A1. Автор: Dong-Myong Shin. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2005-02-03.

Image forming apparatus and method of supplying toner to photoconductor cleaner

Номер патента: US20210157261A1. Автор: Yusuke Murakami. Владелец: KONICA MINOLTA INC. Дата публикации: 2021-05-27.

Photo printing system and photo printing method

Номер патента: US20070038965A1. Автор: Kyeong-Man Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-02-15.

Print control apparatus, print control method, and storage medium

Номер патента: US20160267363A1. Автор: Yoshiyuki Hirai. Владелец: Canon Inc. Дата публикации: 2016-09-15.

Method and apparatus for predicting blade life in an image production device

Номер патента: US20100310263A1. Автор: Aaron Michael Burry,Michael F. Zona,Bruce Earl Thayer. Владелец: Xerox Corp. Дата публикации: 2010-12-09.

Printing apparatus, printing control method, and program

Номер патента: US20120063832A1. Автор: Hideyuki Okada. Владелец: Canon Inc. Дата публикации: 2012-03-15.

Method and system for printing on pre-printed media and letterhead media

Номер патента: US20120251154A1. Автор: Kenneth Young,Hui QIAN. Владелец: Konica Minolta Laboratory USA Inc. Дата публикации: 2012-10-04.

Toner set, image forming method, and image forming apparatus

Номер патента: US20230273542A1. Автор: Masatake Tanaka,Shintaro Kawaguchi,Taiji Katsura,Dai Nozaki. Владелец: Canon Inc. Дата публикации: 2023-08-31.

Image-forming method and image-forming apparatus

Номер патента: US5698354A. Автор: Tatsuya Nakamura,Toshiyuki Ugai. Владелец: Canon Inc. Дата публикации: 1997-12-16.

Methods and printing system using accelerated consumable use estimation in printing operations

Номер патента: US20230419066A1. Автор: Javier A. Morales. Владелец: Kyocera Document Solutions Inc. Дата публикации: 2023-12-28.

Method and apparatus for printed media stack management in an image production device

Номер патента: US20100320680A1. Автор: Charles Raymond BREWER, III. Владелец: Xerox Corp. Дата публикации: 2010-12-23.

Toner for developing electrostatic image

Номер патента: WO2021150287A1. Автор: Dongwoo Kim,Dongwon Kim,Youngjae Kwon,Ilhyuk KIM,Sukjin KANG. Владелец: Hewlett-Packard Development Company, L.P.. Дата публикации: 2021-07-29.

Image processing apparatus, image processing method, and program

Номер патента: US20120051777A1. Автор: Tsuyoshi Itami. Владелец: Canon Inc. Дата публикации: 2012-03-01.

Toner for electrostatic image development

Номер патента: US20130157192A1. Автор: Takashi Kubo,Shogo Watanabe,Takeshi Ashizawa,Norihiro Hirai. Владелец: Kao Corp. Дата публикации: 2013-06-20.

Toner for electrostatic image development

Номер патента: US8852841B2. Автор: Takashi Kubo,Shogo Watanabe,Takeshi Ashizawa,Norihiro Hirai. Владелец: Kao Corp. Дата публикации: 2014-10-07.

Toner for electrostatic image development

Номер патента: US20050233236A1. Автор: Akihiro Eida,Jun Shimizu. Владелец: Kao Corp. Дата публикации: 2005-10-20.

Toner for electrostatic image development

Номер патента: US20050003290A1. Автор: Nobumichi Kamiyoshi,Hiroyuki Kawaji,Masahito Yamazaki,Koji Kameyama,Kouhei Katayama. Владелец: Kao Corp. Дата публикации: 2005-01-06.

Method for forming fixed images

Номер патента: EP2649495A1. Автор: YASUHIRO Hidaka,Akihiro Eida. Владелец: Kao Corp. Дата публикации: 2013-10-16.

Image forming method and image forming system

Номер патента: US20220066337A1. Автор: Akihiko Itami,Seisuke Maeda,Seijiro TAKAHASHI. Владелец: KONICA MINOLTA INC. Дата публикации: 2022-03-03.

Toner for electrophotography

Номер патента: US20100009279A1. Автор: YASUHIRO Hidaka,Yasuki Ohtawa,Kouhei Katayama. Владелец: Kao Corp. Дата публикации: 2010-01-14.

Nonmagnetic black Toner for reversal delelopment

Номер патента: US20020177059A1. Автор: Koji Shimokusa,Shinichi Sata,Akihiro Eida,Jun Shimizu. Владелец: Kao Corp. Дата публикации: 2002-11-28.

Toner for electrostatic latent image development and image forming method

Номер патента: US20070009294A1. Автор: Koji Kuramashi. Владелец: Kyocera Mita Corp. Дата публикации: 2007-01-11.

Production method of toner for developing electrostatic image

Номер патента: US20080085461A1. Автор: Hisashi Kurokawa,Michito Horie. Владелец: Zeon Corp. Дата публикации: 2008-04-10.

Toner for developing electrostatic latent image

Номер патента: US20120094227A1. Автор: Masahiro Anno,Shinya Obara,Satoshi Uchino,Hidehito Haruki. Владелец: KONICA MINOLTA BUSINESS TECHNOLOGIES INC. Дата публикации: 2012-04-19.

Black toner for developing latent electrostatic image and method for producing the same

Номер патента: EP2825916A1. Автор: Yasuaki Iwamoto,Takahiro Kadota,Kei Yokokawa. Владелец: Ricoh Co Ltd. Дата публикации: 2015-01-21.

Black toner for developing latent electrostatic image and method for producing the same

Номер патента: US20150030976A1. Автор: Yasuaki Iwamoto,Takahiro Kadota,Kei Yokokawa. Владелец: Ricoh Co Ltd. Дата публикации: 2015-01-29.

Black toner for developing latent electrostatic image and method for producing the same

Номер патента: WO2013137090A1. Автор: Yasuaki Iwamoto,Takahiro Kadota,Kei Yokokawa. Владелец: RICOH COMPANY, LTD.. Дата публикации: 2013-09-19.

Toner for developing electrostatic image

Номер патента: US20170277053A1. Автор: Junichi Furukawa,Naoki Yoshie,Tatsuya Fujisaki. Владелец: KONICA MINOLTA INC. Дата публикации: 2017-09-28.

Toner for electrophotography

Номер патента: US20080176153A1. Автор: Jae-hyeuk Jeong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-07-24.

Binder resin for toner, method for producing same, and toner for electrophotography using such resin

Номер патента: EP1672004A4. Автор: Takeshi Yoshida,Kazuya Sakata. Владелец: Mitsui Chemicals Inc. Дата публикации: 2006-10-04.

Toner for electrostatically charged image development

Номер патента: EP1279073A1. Автор: Toru Nakamura. Владелец: TICONA GMBH. Дата публикации: 2003-01-29.

Toner for developing electrostatic latent image

Номер патента: US8409774B2. Автор: Masaki Okita. Владелец: Kyocera Document Solutions Inc. Дата публикации: 2013-04-02.

Toner for electrophotography

Номер патента: US20080138736A1. Автор: Kyung-Yol Yon,Jae-hyeuk Jeong,Hae-Ree Joo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-06-12.

Toner for developing electrostatic charge images

Номер патента: US20070134582A1. Автор: Tohru Moriya. Владелец: Tomoegawa Paper Co Ltd. Дата публикации: 2007-06-14.

Toner for developing electrostatic images and method of producing toner

Номер патента: US20170038697A1. Автор: Aya SHIRAI,Shiro Hirano,Junya Onishi. Владелец: KONICA MINOLTA INC. Дата публикации: 2017-02-09.

Toner for electrostatic image development

Номер патента: US20140377699A1. Автор: Aya SHIRAI,Masahiro Matsuoka,Kaori MATSUSHIMA,Takaki KAWAMURA,Taiki AMEMIYA. Владелец: KONICA MINOLTA INC. Дата публикации: 2014-12-25.

Toner for developing electrostatic image

Номер патента: US7838194B2. Автор: Asao Matsushima,Noboru Ueda,Go Yamaguchi. Владелец: KONICA MINOLTA BUSINESS TECHNOLOGIES INC. Дата публикации: 2010-11-23.

Toner for developing electrostatic image

Номер патента: US20080138733A1. Автор: Asao Matsushima,Noboru Ueda,Go Yamaguchi. Владелец: KONICA MINOLTA BUSINESS TECHNOLOGIES INC. Дата публикации: 2008-06-12.

Toner for developing electrostatic charge image

Номер патента: US20200292952A1. Автор: Shinya Obara,Ikuko Sakurada,Kenshi MIYAJIMA. Владелец: KONICA MINOLTA INC. Дата публикации: 2020-09-17.

Method for producing structured particles

Номер патента: EP2572245A2. Автор: Abhinava Kumar. Владелец: Navran Advanced Nanoproducts Development International Pvt Ltd. Дата публикации: 2013-03-27.

Toner for electrophotography and developer

Номер патента: US20110091800A1. Автор: Koji Kuramashi. Владелец: Kyocera Mita Corp. Дата публикации: 2011-04-21.

Method for producing structured particles

Номер патента: WO2011145107A4. Автор: Abhinava Kumar. Владелец: Navran Advanced Nanoproducts Development International PVT. LTD.. Дата публикации: 2012-03-01.

Method for producing structured particles

Номер патента: WO2011145107A3. Автор: Abhinava Kumar. Владелец: Navran Advanced Nanoproducts Development International PVT. LTD.. Дата публикации: 2012-01-12.

Method for producing structured particles

Номер патента: US20150338755A1. Автор: Abhinava Kumar. Владелец: Navran Advanced Nanoproducts Development International Pvt Ltd. Дата публикации: 2015-11-26.

Method for producing structured particles

Номер патента: WO2011145107A2. Автор: Abhinava Kumar. Владелец: Navran Advanced Nanoproducts Development International PVT. LTD.. Дата публикации: 2011-11-24.

Toner for developing electrostatic image

Номер патента: US20140228532A1. Автор: Kazuyoshi Goan,Hajime Tadokoro,Mikio Kouyama. Владелец: KONICA MINOLTA INC. Дата публикации: 2014-08-14.

Developer, image forming method and image forming apparatus

Номер патента: US20060024605A1. Автор: Kenji Koido. Владелец: Individual. Дата публикации: 2006-02-02.

Developer, image forming method and image forming apparatus

Номер патента: US7286781B2. Автор: Kenji Koido. Владелец: Oki Data Corp. Дата публикации: 2007-10-23.

Method and system for retrieving print media attributes from a print media database server

Номер патента: US20140233048A1. Автор: Ann Qiongying Feng. Владелец: Konica Minolta Laboratory USA Inc. Дата публикации: 2014-08-21.

Toner for electrophotography

Номер патента: US20020058192A1. Автор: Hiroyuki Kawaji,Akihiro Eida. Владелец: Kao Corp. Дата публикации: 2002-05-16.

Toner for developing electrostatic images

Номер патента: US20130095423A1. Автор: Toshiki Takemori. Владелец: Kyocera Document Solutions Inc. Дата публикации: 2013-04-18.

Toner for developing electrostatic images

Номер патента: US8889327B2. Автор: Toshiki Takemori. Владелец: Kyocera Document Solutions Inc. Дата публикации: 2014-11-18.

Toner for developing electrostatic latent images

Номер патента: US20150099223A1. Автор: Ken Ohmura,Noboru Ueda,Kaori MATSUSHIMA,Ito KOGA,Noriyuki KIMPARA,Tokyo OSHIBA. Владелец: KONICA MINOLTA INC. Дата публикации: 2015-04-09.

Toner for developing electrostatic latent image

Номер патента: US6444388B1. Автор: Hitoshi Nagahama,Toshihiko Murakami,Katsuaki Sumida. Владелец: Sharp Corp. Дата публикации: 2002-09-03.

Toner for developing electrostatic charge image

Номер патента: US20160259259A1. Автор: Shiro Hirano,Noboru Ueda. Владелец: KONICA MINOLTA INC. Дата публикации: 2016-09-08.

Toner for electrostatic image development

Номер патента: US20050170275A1. Автор: Yoshihiro Ueno,Tetsuhiro Semura. Владелец: Kao Corp. Дата публикации: 2005-08-04.

Toner for developing electrostatic latent image

Номер патента: US20020081511A1. Автор: Hitoshi Nagahama,Toshihiko Murakami,Katsuaki Sumida. Владелец: Individual. Дата публикации: 2002-06-27.

Printing apparatus, print head control apparatus, print head control method and storage medium

Номер патента: US20140340457A1. Автор: Jun Hashimoto. Владелец: Casio Electronics Manufacturing Co Ltd. Дата публикации: 2014-11-20.

Toner for developing electrostatic latent images

Номер патента: US9703218B2. Автор: Ken Ohmura,Noboru Ueda,Tomomi Oshiba,Kaori MATSUSHIMA,Ito KOGA,Noriyuki KIMPARA. Владелец: KONICA MINOLTA INC. Дата публикации: 2017-07-11.

Method for manufacturing toner for electrostatic charge image development

Номер патента: US12061440B2. Автор: Takashi Iga,Takeru Chiba,Kojiro Akazaki. Владелец: Zeon Corp. Дата публикации: 2024-08-13.

Method for manufacturing toner for electrostatic image development

Номер патента: US20170371255A1. Автор: Akitaka Shimizu. Владелец: Kao Corp. Дата публикации: 2017-12-28.

Feed instruction apparatus, method, and storage medium

Номер патента: US20200050410A1. Автор: Sho Nakamura. Владелец: Canon Inc. Дата публикации: 2020-02-13.

Page layout control device, image processing apparatus, page layout control method, and computer readable medium

Номер патента: US20110205594A1. Автор: Yoshihiro CHIDA. Владелец: Fuji Xerox Co Ltd. Дата публикации: 2011-08-25.

Production process of toner for electrostatic image development

Номер патента: US9012120B2. Автор: Tomomi Oshiba,Saburou Hiraoka,Tomoko Mine,Hitomi MOTANI. Владелец: KONICA MINOLTA BUSINESS TECHNOLOGIES INC. Дата публикации: 2015-04-21.

Toner for non-contact fusing

Номер патента: US20130029263A1. Автор: Takashi Kubo,Satoshi KUNII,Masahito Yamazaki. Владелец: Kao Corp. Дата публикации: 2013-01-31.

Method and apparatus to print a security mark via non-fluorescent toner

Номер патента: EP3832394A1. Автор: Eliud Robles Flores. Владелец: Xerox Corp. Дата публикации: 2021-06-09.

Charge control agent and toner for developing electrostatic images

Номер патента: US20030104298A1. Автор: Shun-Ichiro Yamanaka,Akihide Isoda. Владелец: Orient Chemical Industries Ltd. Дата публикации: 2003-06-05.

Toner for electrostatic latent image development and image forming method

Номер патента: US7276319B2. Автор: Hiroshi Yamazaki,Naohiro Hirose,Asao Matsushima,Shiro Hirano. Владелец: KONICA MINOLTA INC. Дата публикации: 2007-10-02.

Magenta toner for developing electrostatic images

Номер патента: US20190101842A1. Автор: Nozomi YABUKI. Владелец: Zeon Corp. Дата публикации: 2019-04-04.

Toner for developing electrostatic latent image

Номер патента: US20020076636A1. Автор: Hiroshi Yamazaki,Masafumi Uchida,Hajime Tadokoro,Ken Ohmura,Meizo Shirose. Владелец: KONICA MINOLTA INC. Дата публикации: 2002-06-20.

3d-printing methods and systems

Номер патента: EP4281275A1. Автор: Patxi GARRA,Laura CAMPOS BELLOSTAS,Mariona Vicenc I Romaguera,Enrico FAGGI. Владелец: BOSTIK SA. Дата публикации: 2023-11-29.

3d-printing methods and systems

Номер патента: US20240083104A1. Автор: Patxi GARRA,Laura CAMPOS BELLOSTAS,Mariona Vicenc I Romaguera,Enrico FAGGI. Владелец: BOSTIK SA. Дата публикации: 2024-03-14.

Reticle, exposure method, and the manufacturing method for reticle

Номер патента: TW200517634A. Автор: Takashi Sato,Takashi Sakamoto. Владелец: Toshiba Corp. Дата публикации: 2005-06-01.

Imaging device and method, and image processing method for imaging device

Номер патента: US8553091B2. Автор: Yasunori Ishii,Yusuke Monobe. Владелец: Panasonic Corp. Дата публикации: 2013-10-08.

Imaging method and data generation method for holographic image, and apparatus

Номер патента: US20220011721A1. Автор: Shunyi TAN. Владелец: Shanghai Intelight Electronic Technology Co Ltd. Дата публикации: 2022-01-13.

Photomask and detecting device, detecting method, manufacturing method and pattern transferring method for the same

Номер патента: CN101446753B. Автор: 吉田光一郎. Владелец: Hoya Corp. Дата публикации: 2011-09-07.

Imaging device and method, and image processing method for imaging device

Номер патента: CN102369556A. Автор: 石井育规,物部祐亮. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2012-03-07.

Booting method and shutting down method for image display device

Номер патента: US20090213102A1. Автор: Mao-Jung Chung,Yu-Shen Kung,Chin-Cheng Liu. Владелец: Himax Display Inc. Дата публикации: 2009-08-27.

Printer for printing on a long sheet and method therefor

Номер патента: US11886761B2. Автор: Hisashi Tsujimura. Владелец: Toshiba TEC Corp. Дата публикации: 2024-01-30.

Printer for printing on a long sheet and method therefor

Номер патента: US20230305775A1. Автор: Hisashi Tsujimura. Владелец: Toshiba TEC Corp. Дата публикации: 2023-09-28.

Optical detection unit for printed value sheet validation apparatus and method of optically detecting the sheet therefor

Номер патента: US5758759A. Автор: Hiroyuki Negishi. Владелец: Sanden Corp. Дата публикации: 1998-06-02.

Methods and systems for generating linewidth-optimised patterns

Номер патента: WO2023217816A1. Автор: Fredric Ihrén. Владелец: Mycronic AB. Дата публикации: 2023-11-16.

Data compression method and data decompression method for electronic device, and electronic device

Номер патента: US20220121626A1. Автор: Qin Liu,Huan He,Dongwei ZHAO. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2022-04-21.

Hardness measurement method, and fouling prevention method for hardness-measuring device

Номер патента: US10718746B2. Автор: Junichi Takahashi. Владелец: Kurita Water Industries ltd. Дата публикации: 2020-07-21.

Method and apparatus for printing patterns on substrates

Номер патента: US20020118345A1. Автор: Oz Cabiri,Hai Benron. Владелец: Kodak IL Ltd. Дата публикации: 2002-08-29.

Method for printing a developed photo film

Номер патента: US20050219636A1. Автор: Kazumi Koike. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 2005-10-06.

Data backup method and data recovery method for NVDIMM, NVDIMM controller, and NVDIMM

Номер патента: US11966298B2. Автор: Xiaofeng Zhou,Xiping Jiang. Владелец: Xian Unilc Semiconductors Co Ltd. Дата публикации: 2024-04-23.

Gantry stage orthogonality error measurement method and error compensation method for position processing

Номер патента: US20110061441A1. Автор: Byoung gwan Ko. Владелец: SOONHAN ENGINEERING CORP. Дата публикации: 2011-03-17.

Driving method and driving control method for pixel circuit

Номер патента: US20210193044A1. Автор: Song Meng,Yongqian Li. Владелец: Hefei Xinsheng Optoelectronics Technology Co Ltd. Дата публикации: 2021-06-24.

Operation right interlinking method and cooperative working method for shared application programs

Номер патента: CA2194520A1. Автор: Hiromi Mizuno,Hideyuki Fukuoka. Владелец: NEC Corp. Дата публикации: 1997-07-13.

Method and system for method for estimating a present energy consumption of an electrically propelled vehicle

Номер патента: US11890963B2. Автор: Il Cho. Владелец: Volvo Truck Corp. Дата публикации: 2024-02-06.

Content delivery method and content update method for internet of vehicles

Номер патента: US10911917B2. Автор: Weibing Gong. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2021-02-02.

Device for printing droplet on a substrate and a method for printing droplet on it

Номер патента: KR101257847B1. Автор: 김수현,이정건,정종석. Владелец: 삼성전자주식회사. Дата публикации: 2013-05-02.

Inspection system for print medium, control method, and storage medium

Номер патента: US20240119583A1. Автор: Yuki Daiku. Владелец: Canon Inc. Дата публикации: 2024-04-11.

Encoding method and decoding method for function calling context, and apparatus

Номер патента: US20230409373A1. Автор: Qing Zhou,Rutao ZHANG. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-12-21.

Method and apparatus for computing file storage elements for backup and restore

Номер патента: US7516288B2. Автор: Madhav Mutalik,Ajay Shekhar,Ananthan K. Pillai,Cara Garber. Владелец: EMC Corp. Дата публикации: 2009-04-07.

Elastic matrix determination method and vibration analysis method for laminate core of transformer

Номер патента: EP4383289A1. Автор: Misao Namikawa. Владелец: JFE Steel Corp. Дата публикации: 2024-06-12.

Compiling method, running method, and related product

Номер патента: US20240220819A1. Автор: Huiying LAN,Xueting GUO. Владелец: Shanghai Cambricon Information Technology Co Ltd. Дата публикации: 2024-07-04.

Medical diagnosis assistance system and method

Номер патента: US20230025181A1. Автор: Thomas Engel,Gaby MARQUARDT,Jens-Peter Brock. Владелец: Siemens Healthcare Diagnostics Inc. Дата публикации: 2023-01-26.

Medical diagnosis assistance system and method

Номер патента: EP4123501A1. Автор: Thomas Engel,Gaby MARQUARDT,Jens-Peter Brock. Владелец: Siemens Healthcare Diagnostics Inc. Дата публикации: 2023-01-25.

Image data encoding/decoding method and apparatus

Номер патента: EP4387232A3. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-08-21.

Image processing method and anti-saturation method for image data and image processing device

Номер патента: US9401127B2. Автор: Hsing-Chuan Chen. Владелец: NOVATEK MICROELECTRONICS CORP. Дата публикации: 2016-07-26.

Method for training an image analysis neural network, and object re-identification method implementing such a neural network

Номер патента: US20230064615A1. Автор: Matthieu Ospici. Владелец: BULL SAS. Дата публикации: 2023-03-02.

Image data encoding/decoding method and apparatus

Номер патента: EP4436180A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-09-25.

Image data encoding/decoding method and apparatus

Номер патента: EP4436182A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-09-25.

For printing map and the system and method for direction guide

Номер патента: CN103562679B. Автор: M·范欧斯,B·摩尔,C·布鲁门伯格,A·杜尔,T·乔恩. Владелец: Apple Computer Inc. Дата публикации: 2016-08-31.

IN-SITU ENRICHMENT AND ANALYTICAL METHOD FOR THE Hg(II) ISOTOPE IN AQUEOUS PHASE

Номер патента: US20240100479A1. Автор: Jun Luo,Heng YAO,Hongqian Yin,Xinbin Feng. Владелец: Institute of Geochemistry of CAS. Дата публикации: 2024-03-28.

Lighting control method and display control method for display unit, and display apparatus

Номер патента: TW200307900A. Автор: Shigetsugu Okamoto. Владелец: Sharp Kk. Дата публикации: 2003-12-16.

A method and system for method for estimating a present energy consumption of an electrically propelled vehicle

Номер патента: EP4059770B1. Автор: Il Cho. Владелец: Volvo Truck Corp. Дата публикации: 2024-08-21.

Structure, fabrication method and operating method for flash memory

Номер патента: US20030189855A1. Автор: Chih-Wei Hung,Da Sung. Владелец: Powerchip Semiconductor Corp. Дата публикации: 2003-10-09.

Detection method and determination method for detection target

Номер патента: CA2710681C. Автор: Satoru Sugita,Toshiya Sawai,Eri Oowada,Hirokazu Nagaoka,Toshiya Ueki. Владелец: Ortho Clinical Diagnostics KK. Дата публикации: 2013-09-03.

Detection method and quantitative analysis method for hydrogen radical

Номер патента: CA2420213C. Автор: Sanetaka Shirahata,Kazumichi Otsubo. Владелец: Nihon Trim Co Ltd. Дата публикации: 2008-10-14.

Data recording method and data reproducing apparatus

Номер патента: CA2045512C. Автор: Yoichiro Sako. Владелец: Sony Corp. Дата публикации: 1999-07-13.

Image data encoding/decoding method and apparatus

Номер патента: AU2023214311B2. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-02-22.

Image data encoding/decoding method and apparatus

Номер патента: AU2024201779A1. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-04-04.

Image data encoding/decoding method and apparatus

Номер патента: AU2024201786A1. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-04-04.

Image data encoding/decoding method and apparatus

Номер патента: AU2024201782A1. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-04-04.

Image data encoding/decoding method and apparatus

Номер патента: AU2024201785A1. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-04-04.

Image data encoding/decoding method and apparatus

Номер патента: AU2023214315B2. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-03-07.

Image data encoding/decoding method and apparatus

Номер патента: AU2023214313B2. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-02-29.

Image data encoding/decoding method and apparatus

Номер патента: AU2023214314B2. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-02-29.

Image data encoding/decoding method and apparatus

Номер патента: AU2023214310B2. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-02-22.

Image data encoding/decoding method and apparatus

Номер патента: EP4290864A3. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-03-13.

Traffic marker detection method and training method for traffic marker detection model

Номер патента: EP4170601A1. Автор: Zehao HUANG,Naiyan Wang,Zhenwei SHEN. Владелец: Beijing Tusimple Technology Co Ltd. Дата публикации: 2023-04-26.

Comparison method and modeling method for chip product, device and storage medium

Номер патента: US11854186B2. Автор: Jiemei Zhang,Gehua Shen. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-12-26.

Systems, devices and methods for network management of remote modification of cards

Номер патента: US20210252378A1. Автор: Ben Lulu Dekel. Владелец: Dbest LLC. Дата публикации: 2021-08-19.

Systems, devices and methods for network management of remote modification of cards

Номер патента: US11850527B2. Автор: DEKEL Ben Lulu. Владелец: Dbest LLC. Дата публикации: 2023-12-26.

Image data encoding/decoding method and apparatus

Номер патента: AU2023258327A1. Автор: Baek Kim Ki. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2023-11-16.

Image data encoding/decoding method and apparatus

Номер патента: AU2023258329A1. Автор: Baek Kim Ki. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2023-11-23.

Image data encoding/decoding method and apparatus

Номер патента: AU2022228372B2. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2023-10-19.

Image data encoding/decoding method and apparatus

Номер патента: AU2022228227B2. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2023-10-19.

Image data encoding/decoding method and apparatus

Номер патента: AU2022229691B2. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2023-10-19.

Image data encoding/decoding method and apparatus

Номер патента: AU2022228228B2. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2023-10-19.

Image data encoding/decoding method and apparatus

Номер патента: EP4290864A2. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2023-12-13.

Process or storage tank with dual measurement means and method of operating thereof

Номер патента: WO2023247749A1. Автор: Carole Allen,Jakub Bujalski,Tim Geppert. Владелец: Yara International ASA. Дата публикации: 2023-12-28.

Systems, devices and methods for network management of remote modification of cards

Номер патента: US20240070407A1. Автор: DEKEL Ben Lulu. Владелец: Dbest LLC. Дата публикации: 2024-02-29.

Method and apparatus for computing file storage elements for backup and restore

Номер патента: US7337289B2. Автор: Madhav Mutalik,Ajay Shekhar,Ananthan Pillai,Cara Garber. Владелец: EMC Corp. Дата публикации: 2008-02-26.

Gantry stage orthogonality error measurement method and error compensation method

Номер патента: EP2299237A3. Автор: Byoung gwan Ko. Владелец: SOONHAN ENGINEERING CORP. Дата публикации: 2011-03-30.

Image data encoding/decoding method and apparatus

Номер патента: EP4387232A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-06-19.

Image data encoding/decoding method and apparatus

Номер патента: AU2024203636A1. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-06-20.

Image data encoding/decoding method and apparatus

Номер патента: AU2024203652A1. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-06-20.

Image data encoding/decoding method and apparatus

Номер патента: AU2024203643A1. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-06-20.

Image data encoding/decoding method and apparatus

Номер патента: AU2024203650A1. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-06-20.

Image data encoding/decoding method and apparatus

Номер патента: AU2024203648A1. Автор: Ki Baek Kim. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-06-20.

IMAGE PROCESSING METHOD AND ANTI-SATURATION METHOD FOR IMAGE DATA AND IMAGE PROCESSING DEVICE

Номер патента: US20150009228A1. Автор: Chen Hsing-Chuan. Владелец: Novatek Microelctronics Corp.. Дата публикации: 2015-01-08.

DATA BACKUP METHOD AND DATA RECOVERY METHOD FOR NVDIMM, NVDIMM CONTROLLER, AND NVDIMM

Номер патента: US20220083424A1. Автор: Zhou Xiaofeng,Jiang Xiping. Владелец: . Дата публикации: 2022-03-17.

DATA STORAGE METHOD AND DATA RECOVERY METHOD FOR DATA STORAGE DEVICE, AND DATA STORAGE DEVICE USING THE SAME METHODS

Номер патента: US20180150357A1. Автор: CHOU Po-Sheng,Shen Yang-Chih. Владелец: . Дата публикации: 2018-05-31.

Imaging device and method, and image processing method for imaging device

Номер патента: US8520081B2. Автор: Yasunori Ishii,Yusuke Monobe. Владелец: Panasonic Corp. Дата публикации: 2013-08-27.

Spacing space allocation method and defect management method for disk recording and / or reproducing apparatus

Номер патента: JP4291253B2. Автор: 禎完 高. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-07-08.

Imaging device and method, and image processing method for imaging device

Номер патента: EP2536125A4. Автор: Yasunori Ishii,Yusuke Monobe. Владелец: Panasonic Corp. Дата публикации: 2013-07-03.

Data backup method and data recovery method for nvdimm, nvdimm controller, and nvdimm

Номер патента: WO2020135412A1. Автор: 周小锋,江喜平. Владелец: 西安紫光国芯半导体有限公司. Дата публикации: 2020-07-02.

Method and system for generation of delegating implementation for IDL interfaces using inheritance

Номер патента: US20040243974A1. Автор: Dusan Balek,Karel Gardas,Tomas Zezula. Владелец: Individual. Дата публикации: 2004-12-02.

Glass bottle inspection method and glass bottle manufacturing method

Номер патента: PH12020552062A1. Автор: Takashi Harada,Takashi Suzuki. Владелец: Toyo Glass Co Ltd. Дата публикации: 2021-05-31.

Lighting control method and display control method for display unit, and display apparatus

Номер патента: TW583621B. Автор: Shigetsugu Okamoto. Владелец: Sharp Kk. Дата публикации: 2004-04-11.

Performance estimation method and scale-up method for particle size breakup apparatus

Номер патента: US20130218348A1. Автор: Tetsu Kamiya. Владелец: Meiji Co Ltd. Дата публикации: 2013-08-22.

DRIVING METHOD AND DRIVING CONTROL METHOD FOR PIXEL CIRCUIT

Номер патента: US20210193044A1. Автор: Li Yongqian,MENG Song. Владелец: . Дата публикации: 2021-06-24.

Self-moving robot, map building method, and map invoking method for combined robot

Номер патента: US20190168386A1. Автор: Jinju Tang. Владелец: Ecovacs Robotics Suzhou Co Ltd. Дата публикации: 2019-06-06.

CONTROL CHANNEL ALLOCATION METHOD, TAKE-OFF METHOD AND REMOTE CONTROL METHOD FOR FLIGHT APPARATUS

Номер патента: US20200172242A1. Автор: GAO Yang,Wang Yong,Chen Shaohua,PENG Anzhai. Владелец: . Дата публикации: 2020-06-04.

GIMMICK EXPRESION MEDIUM PRODUCING METHOD AND DATA PROCESSING METHOD FOR GIMMICK EXPRESSION MEDIUM

Номер патента: US20210237398A1. Автор: ASAO Kouji. Владелец: SO-KEN Corporation. Дата публикации: 2021-08-05.

FAILURE MODE DETECTION METHOD AND ERROR CORRECTION METHOD FOR SOLID STATE STORAGE DEVICE

Номер патента: US20190279735A1. Автор: CHEN Kuan-Chun,ZENG Shih-Jia,FU Jen-Chien,LU Tsu-Han. Владелец: . Дата публикации: 2019-09-12.

CONTENT DELIVERY METHOD AND CONTENT UPDATE METHOD FOR INTERNET OF VEHICLES

Номер патента: US20190327588A1. Автор: Gong Weibing. Владелец: . Дата публикации: 2019-10-24.

ELASTIC MATRIX DETERMINATION METHOD AND VIBRATION ANALYSIS METHOD FOR LAMINATED IRON CORE

Номер патента: US20200340952A1. Автор: NAMIKAWA Misao,KIJIMA Gou. Владелец: JFE STEEL CORPORATION. Дата публикации: 2020-10-29.

COLORIMETRY CALCULATION METHOD AND CHROMA CALCULATION METHOD FOR DISPLAY

Номер патента: US20180372545A1. Автор: ZHA GUOWEI. Владелец: Wuhan China Star Optoelectronics Technology Co., Ltd.. Дата публикации: 2018-12-27.

Booting method and boot authentication method for electronic device

Номер патента: KR101209252B1. Автор: 최윤호,정춘식. Владелец: 삼성전자주식회사. Дата публикации: 2012-12-06.

Lighting method and image processing method for image processing inspection of crimp terminal

Номер патента: JP2795044B2. Автор: 佳秀 市川. Владелец: Sumitomo Wiring Systems Ltd. Дата публикации: 1998-09-10.

Resistance Deviation Compensation Method and Coordinated Correction Method for Multi-Touch Panel

Номер патента: KR101109061B1. Автор: 양민성,남승현,곽희수. Владелец: 에이디반도체(주). Дата публикации: 2012-01-31.

Information management method, query method and task setting method for multiple test beds

Номер патента: CN113010743B. Автор: 金浩,王瑞雪. Владелец: NR Engineering Co Ltd. Дата публикации: 2022-07-22.

Object positioning method and object trajectory method for tracing

Номер патента: CN108694381A. Автор: 刘军,王剑,杨多学,余祥鑫,褚宪凯. Владелец: STAR TECHNOLOGY Co Ltd. Дата публикации: 2018-10-23.

Pulse baseline value calculation method and particle counting method for blood cell analyzer

Номер патента: CN105866011A. Автор: 徐宇,管海兵. Владелец: Acon Biotech Hangzhou Co Ltd. Дата публикации: 2016-08-17.

A ipt testing pad, the fabrication method and the testing method for cog of lcd

Номер патента: KR20050003511A. Автор: 신재득. Владелец: 엘지.필립스 엘시디 주식회사. Дата публикации: 2005-01-12.

Screen Arrangement Method and Character Input Method for One-hand Keyboard of Smartphone

Номер патента: KR102119482B1. Автор: 이성재,김상형. Владелец: 충남대학교산학협력단. Дата публикации: 2020-06-05.

A kind of RNA detection method and rna probe method for coating

Номер патента: CN107367526A. Автор: 童立,刘晓竹,冯钶. Владелец: Chongqing Aoyun Micro Biological Technology Co Ltd. Дата публикации: 2017-11-21.

Axis cutting method and N-point method for offset adjustment of angle sensors

Номер патента: DE10154153A1. Автор: Axel Wenzler,Siegbert Steinlechner. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2003-05-15.

Driving method and state evaluation method for micro electromechanical structure using light

Номер патента: KR100233849B1. Автор: 이종현,김광준. Владелец: 정선종. Дата публикации: 1999-12-01.

Elastic matrix determination method and vibration analysis method for laminated iron cores

Номер патента: JPWO2020095527A1. Автор: 操 浪川. Владелец: JFE Steel Corp. Дата публикации: 2021-02-15.

Detection method and quantitative analysis method for hydrogen radical

Номер патента: CA2420213A1. Автор: Sanetaka Shirahata,Kazumichi Otsubo. Владелец: Individual. Дата публикации: 2003-02-19.

A position method and a calibrating method for the robot arm

Номер патента: TWI408037B. Автор: Po Huang Shieh,Shang Chieh Lu,Bor Tung Jiang,Kuo Tang Huang,Chin Kuei Chang. Владелец: Ind Tech Res Inst. Дата публикации: 2013-09-11.

Operation right interlinking method and cooperative working method for shared application programs

Номер патента: AU710044B2. Автор: Hiromi Mizuno,Hideyuki Fukuoka. Владелец: NEC Corp. Дата публикации: 1999-09-09.

Detection method and quantitative analysis method for hydrogen radical

Номер патента: CN1463363A. Автор: 白畑实隆,大坪一道. Владелец: Nippon Torimu K K. Дата публикации: 2003-12-24.

A kind of method and island detection method for eliminating more inverter parallel dilution effects

Номер патента: CN110361617A. Автор: 史旺旺,徐靖雨. Владелец: Yangzhou University. Дата публикации: 2019-10-22.

Methods for treating lentivirus infections

Номер патента: US20050053977A1. Автор: Vanessa Soros,Warner Greene,Kimberly Stopak,Carlos deNoronha,Ya-Lin Chiu,Wesley Yonemoto. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2005-03-10.

Fingerprint generation method and tamper-proof method for client device

Номер патента: CN113923012A. Автор: 张建龙,王嘉雄. Владелец: Hangzhou Moan Technology Co ltd. Дата публикации: 2022-01-11.

Refined coordinate detection method and error correction method for touch panel

Номер патента: WO2007083883A1. Автор: Kil-Sun Kim,Yong-Churl Kim. Владелец: Nexio Co., Ltd. Дата публикации: 2007-07-26.

Fault judgment model modeling method and fault judgment method for photovoltaic module

Номер патента: CN110619479B. Автор: 胡琼,尹芳. Владелец: Sungrow Power Supply Co Ltd. Дата публикации: 2022-05-24.

Elastic matrix determination method and vibration analysis method for laminated core of transformer

Номер патента: JP7218839B1. Автор: 操 浪川. Владелец: JFE Steel Corp. Дата публикации: 2023-02-07.

Detection method and quantitative analysis method for hydrogen radical

Номер патента: CN1226623C. Автор: 白畑实隆,大坪一道. Владелец: Nippon Torimu K K. Дата публикации: 2005-11-09.

Ultrasonic inspection method and defect detection method for rolling bearing

Номер патента: WO2006030787A1. Автор: Akihiro Kiuchi. Владелец: NSK LTD.. Дата публикации: 2006-03-23.

Programming support method and service supply method for user's network station

Номер патента: CN1341911A. Автор: 渡边直树,镰田英一,菊田笃史,井川酉治. Владелец: HITACHI LTD. Дата публикации: 2002-03-27.

Logic check configuration method and logic check method for clinical test data acquisition

Номер патента: CN111429984A. Автор: 杨涛,马跃峰. Владелец: Mobilemd System Jiaxing Co ltd. Дата публикации: 2020-07-17.

Write operation method and read operation method for memory

Номер патента: CN113419675B. Автор: 陈正亮,骆小敏,蔡全,王琛銮,沈佳奇. Владелец: Lianyun Technology Hangzhou Co ltd. Дата публикации: 2023-03-03.

Autonomous mobile robot, map building method, and map calling method for combined robot

Номер патента: EP3460404A4. Автор: Jinju Tang. Владелец: Ecovacs Robotics Suzhou Co Ltd. Дата публикации: 2019-12-11.

PHOTONIC SPECTROMETRY DEVICE AND METHOD, METHOD FOR CALIBRATING THE DEVICE, AND USE OF THE DEVICE

Номер патента: US20140003579A1. Автор: Berruyer Eric. Владелец: AREVA NP. Дата публикации: 2014-01-02.

SYSTEMS AND METHODS METHOD FOR PROVIDING AN INTERACTIVE HELP FILE FOR HOST SOFTWARE USER INTERFACES

Номер патента: US20170235582A1. Автор: Ramirez Vincent. Владелец: . Дата публикации: 2017-08-17.

Photonic spectrometry device and method, method for calibrating the device, and use of the device

Номер патента: KR101894959B1. Автор: 에릭 베뤼예. Владелец: 아레바 엔피. Дата публикации: 2018-09-04.

Printing system for printing to a recording medium, and method for cleaning print heads of a printing system

Номер патента: US20180229505A1. Автор: Oliver Eck. Владелец: Oce Holding BV. Дата публикации: 2018-08-16.

Method for monitoring and analysing the printing process of a press

Номер патента: US20070125253A1. Автор: Gregor Enke. Владелец: MAN Roland Druckmaschinen AG. Дата публикации: 2007-06-07.

Production method and disintegration suppression method for sintered ore

Номер патента: EP4299774A1. Автор: Tetsuya Yamamoto,Takahide Higuchi,Kenta Takehara. Владелец: JFE Steel Corp. Дата публикации: 2024-01-03.

Tape printing apparatus and image forming method and label producing method for the tape printing apparatus

Номер патента: US20020197090A1. Автор: Masao Akaiwa. Владелец: Seiko Epson Corp. Дата публикации: 2002-12-26.

Processing method and thermocompression bonding method for workpiece

Номер патента: US11222807B2. Автор: Atsushi Kubo,Naoko Yamamoto,Yoshiaki Yodo. Владелец: Disco Corp. Дата публикации: 2022-01-11.

Meandering amount detection method and meandering control method for metal strip

Номер патента: US20230390818A1. Автор: Masanori Hoshino. Владелец: JFE Steel Corp. Дата публикации: 2023-12-07.

Meandering amount detection method and meandering control method for metal strip

Номер патента: US20230390817A1. Автор: Masanori Hoshino. Владелец: JFE Steel Corp. Дата публикации: 2023-12-07.

Protection setting method and protection releasing method for portable device

Номер патента: US8600350B2. Автор: Shih-Chan Hsu. Владелец: MStar Semiconductor Inc Taiwan. Дата публикации: 2013-12-03.

Meandering amount detection method and meandering control method for metal strip

Номер патента: EP4238668A1. Автор: Masanori Hoshino. Владелец: JFE Steel Corp. Дата публикации: 2023-09-06.

Method and system for method for providing a bale of crop material

Номер патента: WO2017218607A1. Автор: Willem Jacobus Reijersen Van Buuren,Mark Core. Владелец: Vermeer Manufacturing Company. Дата публикации: 2017-12-21.

Method and device for printing value-added information

Номер патента: US20060032903A1. Автор: Yung-Chuan Wu. Владелец: Individual. Дата публикации: 2006-02-16.

Printing system, printing method and printing program

Номер патента: US20070165276A1. Автор: Teruyoshi Nakashima. Владелец: Fujifilm Corp. Дата публикации: 2007-07-19.

Printing method and printing apparatus

Номер патента: US20130278969A1. Автор: Robert Baumgartner,Martin Stockmar. Владелец: Individual. Дата публикации: 2013-10-24.

Digital printing method and system using control patches

Номер патента: EP4000245A1. Автор: Jürgen Norbert Bart Devlieghere,Romain Jan Victor Paul Van der Gucht. Владелец: Xeikon Manufacturing NV. Дата публикации: 2022-05-25.

Printing processing program and printing processing method

Номер патента: US20220382492A1. Автор: Aiko MIZUTANI. Владелец: Brother Industries Ltd. Дата публикации: 2022-12-01.

Method for adjusting the amount of marking material in a printed image

Номер патента: US8582178B2. Автор: Jantinus Woering. Владелец: Oce Technologies BV. Дата публикации: 2013-11-12.

Method for adjusting the amount of marking material in a printed image

Номер патента: WO2012045624A1. Автор: Jantinus Woering. Владелец: OCE-TECHNOLOGIES B.V.. Дата публикации: 2012-04-12.

Method for adjusting the amount of marking material in a printed image

Номер патента: EP2625650A1. Автор: Jantinus Woering. Владелец: Oce Technologies BV. Дата публикации: 2013-08-14.

Method for adjusting the amount of marking material in a printed image

Номер патента: US20130176581A1. Автор: Jantinus Woering. Владелец: Oce Technologies BV. Дата публикации: 2013-07-11.

Master for printing servo patterns

Номер патента: US20100196738A1. Автор: Michael Mallary. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2010-08-05.

Printing control apparatus, printing control method, and computer-readable storage medium

Номер патента: US8797578B2. Автор: Kazuyuki Saito. Владелец: Canon Inc. Дата публикации: 2014-08-05.

Method and printing system for processing a printjob

Номер патента: US20180260170A1. Автор: Michael Dupre. Владелец: Oce Holding BV. Дата публикации: 2018-09-13.

Printing apparatus having direct wireless communication function, control method for printing apparatus, and storage medium

Номер патента: US9742956B2. Автор: Go Inoue. Владелец: Canon Inc. Дата публикации: 2017-08-22.

Appartus and method for printing on media and detecting information magnetically recorded on the media

Номер патента: US20010001471A1. Автор: Tsutomu Momose. Владелец: Seiko Epson Corp. Дата публикации: 2001-05-24.

Method and apparatus for providing print job status

Номер патента: GB2370895B. Автор: Shell Simpson,Chuck Gazdik. Владелец: Hewlett Packard Co. Дата публикации: 2004-09-29.

Information processing apparatus, job processing method, and storage medium

Номер патента: US20110176168A1. Автор: Kenichi Shirai. Владелец: Canon Inc. Дата публикации: 2011-07-21.

Methods and Systems for Printing an Image on an Article

Номер патента: US20140347680A1. Автор: Pui-Sun Wesley NG. Владелец: Casetagram Ltd. Дата публикации: 2014-11-27.

Method and device for printing variable copies of a plurality of pages in a document

Номер патента: US11755261B2. Автор: Roshini Aithal,Pradeepa SIDDAPPA. Владелец: Toshiba TEC Corp. Дата публикации: 2023-09-12.

Printing apparatus having direct wireless communication function, control method for printing apparatus, and storage medium

Номер патента: US20170310847A1. Автор: Go Inoue. Владелец: Canon Inc. Дата публикации: 2017-10-26.

Printing apparatus having direct wireless communication function, control method for printing apparatus, and storage medium

Номер патента: US20160028918A1. Автор: Go Inoue. Владелец: Canon Inc. Дата публикации: 2016-01-28.

Dispersion for electrophoretic display, and electrophoretic display device

Номер патента: US20040027643A1. Автор: Shinya Takagi,Akiko Iimura. Владелец: Canon Inc. Дата публикации: 2004-02-12.

Method and device for printing variable copies of a plurality of pages in a document

Номер патента: US12032862B2. Автор: Roshini Aithal,Pradeepa SIDDAPPA. Владелец: Toshiba TEC Corp. Дата публикации: 2024-07-09.

Method and apparatus for two-color ink jet point of sale (pos) printing

Номер патента: WO2001078373A2. Автор: Stephen R. Payne. Владелец: Transact Technologies, Inc.. Дата публикации: 2001-10-18.

Methods and apparatus for print job submission

Номер патента: WO2006033884A3. Автор: Amit Jain,Fumiaki Okushi,David Riesel. Владелец: Electronics for Imaging Inc. Дата публикации: 2006-06-15.

Method and apparatus for integrating print job status information and user options with implicit job interruption

Номер патента: US20040141006A1. Автор: Kris Livingston. Владелец: Individual. Дата публикации: 2004-07-22.

Method and apparatus for uploading a rejected print job request to an alternative location

Номер патента: US20140204404A1. Автор: Bijender Singh Malik,Diane M. Olivo. Владелец: Xerox Corp. Дата публикации: 2014-07-24.

Methods and apparatus for print job submission

Номер патента: WO2006033884A2. Автор: Amit Jain,Fumiaki Okushi,David Riesel. Владелец: ELECTRONICS FOR IMAGING, INC.. Дата публикации: 2006-03-30.

Control method for electronic device and electronic device

Номер патента: EP4293495A1. Автор: Long Wang,Xintong BAO. Владелец: Honor Device Co Ltd. Дата публикации: 2023-12-20.

Ink jet printing apparatus and method for estimating moisture content of print sheet

Номер патента: US20150298470A1. Автор: Noboru Toyama,Takaharu Aotani,Riichi Saito. Владелец: Canon Inc. Дата публикации: 2015-10-22.

Three-dimensional printer and printing method for printed circuit board

Номер патента: US20170142843A1. Автор: Xue-Qin Zhang. Владелец: Futaihua Industry Shenzhen Co Ltd. Дата публикации: 2017-05-18.

Apparatus and method for printing two-dimensional barcode and articles incorporating such barcode

Номер патента: US20030107759A1. Автор: John Hurd,Jerome Jackson,G. Athens. Владелец: Pitney Bowes Inc. Дата публикации: 2003-06-12.

Methods and apparatus for print job submission

Номер патента: EP1817659A1. Автор: Amit Jain,Fumiaki Okushi,David Riesel. Владелец: Electronics for Imaging Inc. Дата публикации: 2007-08-15.

Methods and apparatus to select a paper source for image printing

Номер патента: US9594529B2. Автор: Jiang Hong. Владелец: Ricoh Co Ltd. Дата публикации: 2017-03-14.

Image forming apparatus and method for changing print priorities

Номер патента: US20060227360A1. Автор: Hideyuki Ebihara. Владелец: Toshiba TEC Corp. Дата публикации: 2006-10-12.

Information processing apparatus, control method, and a storage medium

Номер патента: US20240264780A1. Автор: Shinya Suzuki. Владелец: Canon Inc. Дата публикации: 2024-08-08.

Electrophoretic display device and driving method for electrophoretic display device

Номер патента: US20220208131A1. Автор: Chi-Mao Hung,Jia-Hong Xu. Владелец: E Ink Holdings Inc. Дата публикации: 2022-06-30.

Method and system for digital printing of images on physical supports

Номер патента: EP4404047A1. Автор: Francesco Celante. Владелец: ROTAS ITALIA SRL. Дата публикации: 2024-07-24.

Printing condition determining apparatus, printing condition determining method, and storage medium

Номер патента: EP2605123A3. Автор: Eiji Teraue. Владелец: Fujifilm Corp. Дата публикации: 2014-01-22.

Printing apparatus, control method, and computer-readable storage medium

Номер патента: US20210044707A1. Автор: Nobuyuki Tsukada. Владелец: Canon Inc. Дата публикации: 2021-02-11.

Method and system for reducing banding effects in a printing system

Номер патента: US20030001910A1. Автор: Matthew Giere,Chris Wykoff,William Bland. Владелец: Hewlett Packard Co. Дата публикации: 2003-01-02.

Printing Device and Computer-Readable Record Medium Storing Program for Printing Device

Номер патента: US20100053667A1. Автор: Masato Honda. Владелец: Brother Industries Ltd. Дата публикации: 2010-03-04.

Electrophoretic display device and driving method for electrophoretic display device

Номер патента: US11763763B2. Автор: Chi-Mao Hung,Jia-Hong Xu. Владелец: E Ink Holdings Inc. Дата публикации: 2023-09-19.

Print control device, print control method and program

Номер патента: US20130148153A1. Автор: Takeshi Suzuki. Владелец: Canon Inc. Дата публикации: 2013-06-13.

Non-transitory computer readable storage medium, information processing device and printing method

Номер патента: US20210216254A1. Автор: Yoshito Hosomizo. Владелец: Brother Industries Ltd. Дата публикации: 2021-07-15.

Printing apparatus including a plurality of feeding units, control method, and computer-readable storage medium

Номер патента: US11265432B2. Автор: Nobuyuki Tsukada. Владелец: Canon Inc. Дата публикации: 2022-03-01.

Printing system, image processing apparatus, image processing method, and non-transitory computer-readable medium

Номер патента: US20140168707A1. Автор: Hideo Ikeno. Владелец: Canon Inc. Дата публикации: 2014-06-19.

Methods and printing system for reporting job cost information

Номер патента: US11748045B1. Автор: Javier A. Morales. Владелец: Kyocera Document Solutions Inc. Дата публикации: 2023-09-05.

Method and system for printing verified copy of a non-fungible token

Номер патента: US20240289069A1. Автор: John P. Baker,Robert J. Zhang,Elena Kern,Megan ZIELENSKI. Владелец: Xerox Corp. Дата публикации: 2024-08-29.

Method and system for extended three-dimensional printing

Номер патента: US20240286358A1. Автор: Shmuel Rubin,Dan Mordechay,Barak GLASSMAN,Kiril LAIN,Eliyahu TSUBERY. Владелец: Stratasys Ltd. Дата публикации: 2024-08-29.

Method and system for printing verified copy of a non-fungible token

Номер патента: US12067308B2. Автор: John P. Baker,Robert J. Zhang,Elena Kern,Megan ZIELENSKI. Владелец: Xerox Corp. Дата публикации: 2024-08-20.

Ink jet printing apparatus and ink jet printing method

Номер патента: US20120092404A1. Автор: Yohei Masada,Hitoshi Tsuboi,Ryota Kato,Yoshitomo Marumoto,Hiromitsu Yamaguchi. Владелец: Canon Inc. Дата публикации: 2012-04-19.

Ink jet printing apparatus and ink jet printing method

Номер патента: US20150145909A1. Автор: Yohei Masada,Hitoshi Tsuboi,Ryota Kato,Yoshitomo Marumoto,Hiromitsu Yamaguchi. Владелец: Canon Inc. Дата публикации: 2015-05-28.

A method and system of enabling printing of an invoice for payment processing

Номер патента: WO2021048872A1. Автор: Jay Krishna GULLAPALLI. Владелец: Qcid Technologies Private Limited. Дата публикации: 2021-03-18.

System and method for printing correct postage for batches of mail with presort discounts

Номер патента: US20080313104A1. Автор: Leon A. Pintsov. Владелец: Pitney Bowes Inc. Дата публикации: 2008-12-18.

Printer, printer control method and recording medium storing its process

Номер патента: US6788430B1. Автор: Takahiro Emoto. Владелец: Seiko Epson Corp. Дата публикации: 2004-09-07.

Print control apparatus, print control method, and storage medium

Номер патента: WO2011138859A1. Автор: Takeshi Tarumi. Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2011-11-10.

Direct composition printing method for rapid streaming

Номер патента: US20080192296A1. Автор: Andrew Rodney Ferlitsch. Владелец: Individual. Дата публикации: 2008-08-14.

Method and Apparatus for Fractal Identification of an Object

Номер патента: US20160307014A9. Автор: Lei GUAN,Adam Hanina,Maurice Lepouttre. Владелец: AIC Innovations Group Inc. Дата публикации: 2016-10-20.

Method and apparatus for tab printing

Номер патента: WO2001063381A3. Автор: Margaret Motamed,Minh Vo. Владелец: Electronics for Imaging Inc. Дата публикации: 2002-01-31.

Adding a watermark on a document for printing in a virtual desktop infrastructure (vdi) environment

Номер патента: US20240241678A1. Автор: Kun Shi,Hui Yuan. Владелец: VMware LLC. Дата публикации: 2024-07-18.

Image integrated printing system and image integrated printing method

Номер патента: US20190205633A1. Автор: Chun-Chieh Liao,Shao-Lan SHENG,Chen-Chang Li,Xiang-Chi Lee,Cheng-Chen TSENG. Владелец: Avision Inc. Дата публикации: 2019-07-04.

Adding a watermark on a document for printing in a virtual desktop infrastructure (VDI) environment

Номер патента: US12093586B2. Автор: Kun Shi,Hui Yuan. Владелец: VMware LLC. Дата публикации: 2024-09-17.

Control device for printing apparatus, control method, and storage medium

Номер патента: US9150012B2. Автор: Takashi Ochiai,Hitoshi Fukamachi. Владелец: Canon Inc. Дата публикации: 2015-10-06.

Method for printing transparent ink on different printing media

Номер патента: US10906329B2. Автор: CHEN-CHIEN TSAI,CHIH-HUA YEN,CHIA-SHOU LAI. Владелец: Great Computer Corp. Дата публикации: 2021-02-02.

3d-printing methods and systems

Номер патента: EP3983202A1. Автор: Ciaran Mcardle,Patxi GARRA,Laura CAMPOS BELLOSTAS,Mariona VICENÇ ROMAGUERA,Meritxell FERRE ROMEU,Esmael AHBITI LAARAB. Владелец: BOSTIK SA. Дата публикации: 2022-04-20.

3d-printing methods and systems

Номер патента: CA3145148A1. Автор: Ciaran Mcardle,Patxi GARRA,Laura CAMPOS BELLOSTAS,Mariona Vicenc I Romaguera,Meritxell FERRE ROMEU,Esmael AHBITI LAARAB. Владелец: BOSTIK SA. Дата публикации: 2021-01-21.

Web-fed rotary press and method for minimizing fluting in a web-fed rotary press

Номер патента: WO2005053958A1. Автор: Clemens De Vroome,Roeland Van Veen,Franciscus Ernst. Владелец: Goss Contiweb B.V.. Дата публикации: 2005-06-16.

Web-fed rotary press and method for minimizing fluting in a web-fed rotary press

Номер патента: EP1689587A1. Автор: Clemens De Vroome,Roeland Van Veen,Franciscus Ernst. Владелец: Goss Contiweb BV. Дата публикации: 2006-08-16.

Electronic ink flow control for printing

Номер патента: CA1230007A. Автор: Ronnie L. Rodvelt. Владелец: Didde Graphic Systems Corp. Дата публикации: 1987-12-08.

Methods and systems for stereolithography three-dimensional printing

Номер патента: US20200316865A1. Автор: Brian Adzima. Владелец: Holo Inc. Дата публикации: 2020-10-08.

Systems and Methods for Printing Blank Packaging Cartons That are Die Cut and Creased Prior to Entering Printing Process

Номер патента: US20140283487A1. Автор: Scott Donald L.. Владелец: . Дата публикации: 2014-09-25.

Apparatus, system and method of process monitoring and control in an additive manufacturing environment

Номер патента: US12042995B2. Автор: Erik Gjovik,William MacNeish. Владелец: Jabil Inc. Дата публикации: 2024-07-23.

Apparatus, system and method of process monitoring and control in an additive manufacturing environment

Номер патента: US12049044B2. Автор: Erik Gjovik,William MacNeish. Владелец: Jabil Inc. Дата публикации: 2024-07-30.

PRINTING SYSTEM FOR PRINTING TO A RECORDING MEDIUM, AND METHOD FOR CLEANING PRINT HEADS OF A PRINTING SYSTEM

Номер патента: US20180229505A1. Автор: Eck Oliver. Владелец: Océ Holding B.V.. Дата публикации: 2018-08-16.

Printing head for printing concrete three-dimensional structure and method thereof

Номер патента: CN112996640A. Автор: 菲力仕·范恩·林伯格,因戈·海斯曼. Владелец: BT Innovation GmbH. Дата публикации: 2021-06-18.

Producing method for rectangular battery and examining method for rectangular battery

Номер патента: US20230261170A1. Автор: Satoshi Goto. Владелец: Prime Planet Energy and Solutions Inc. Дата публикации: 2023-08-17.

Cleaning method and system for used paper recycling apparatus

Номер патента: US20080179029A1. Автор: Shigeru Tamai,Yuji Koyama. Владелец: Seed Co Ltd. Дата публикации: 2008-07-31.

CONTROL DEVICE FOR PRINTING APPARATUS, CONTROL METHOD, AND STORAGE MEDIUM

Номер патента: US20150251416A1. Автор: Ochiai Takashi,Fukamachi Hitoshi. Владелец: . Дата публикации: 2015-09-10.

Ink supply amount control method and data correction method for printing press

Номер патента: JP4047202B2. Автор: 隆治 山本,和也 武田,一希 福井. Владелец: Screen Holdings Co Ltd. Дата публикации: 2008-02-13.

Image evaluation method and quality control method for planographic printing plate

Номер патента: US20040224260A1. Автор: Koichiro Aono,Mitsuo Osato. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 2004-11-11.

Manufacturing method and testing method for positive active material mixture

Номер патента: US20220255052A1. Автор: Takenori Ikeda. Владелец: Prime Planet Energy and Solutions Inc. Дата публикации: 2022-08-11.

Manufacturing method and testing method for positive active material mixture

Номер патента: US12062772B2. Автор: Takenori Ikeda. Владелец: Prime Planet Energy and Solutions Inc. Дата публикации: 2024-08-13.

Metal removal method, dry etching method, and production method for semiconductor element

Номер патента: US20220325418A1. Автор: Kazuma Matsui. Владелец: Showa Denko KK. Дата публикации: 2022-10-13.

Apparatus, system and method of process monitoring and control in an additive manufacturing environment

Номер патента: US11969948B2. Автор: Erik Gjovik,William MacNeish. Владелец: Jabil Inc. Дата публикации: 2024-04-30.

Digital signature method and verification method for digital signature

Номер патента: US20230353385A1. Автор: Li-Po Chou. Владелец: Realtek Semiconductor Corp. Дата публикации: 2023-11-02.

Dicing device, and blade height correction method and workpiece processing method for dicing device

Номер патента: US20220362958A1. Автор: Shiori Saito,Marina EKI. Владелец: Tokyo Seimitsu Co Ltd. Дата публикации: 2022-11-17.

Address management and routing methods for wireless personal area network (wpan)

Номер патента: WO2007049857A1. Автор: Chunhui Zhu,Jin-Young Yang,Eun-Il Seo. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2007-05-03.

Systems and methods of bonding wax components for lost wax casting

Номер патента: US12042846B2. Автор: James Whitton,Zachary ORAS,Zachary Hopkins. Владелец: Chromalloy Gas Turbine Corp. Дата публикации: 2024-07-23.

Systems and Methods of Bonding Wax Components for Lost Wax Casting

Номер патента: US20240189887A1. Автор: James Whitton,Zachary ORAS,Zachary Hopkins. Владелец: Chromalloy Gas Turbine Corp. Дата публикации: 2024-06-13.

SYSTEM FOR PRINTING IMAGES ON A SURFACE AND METHOD THEREOF

Номер патента: US20180201029A1. Автор: Mathis Dennis R.. Владелец: . Дата публикации: 2018-07-19.

System for printing images on a surface and method thereof

Номер патента: US10308039B2. Автор: Dennis R. Mathis. Владелец: Boeing Co. Дата публикации: 2019-06-04.

Ink jet head for printing circuit using electrostatic force and method of manufacturing the same

Номер патента: KR100926495B1. Автор: 최경현,고정범. Владелец: 제주대학교 산학협력단. Дата публикации: 2009-11-12.

Method for adjusting the inking in a printing press

Номер патента: US5835626A. Автор: Werner Huber,Harald Bucher,Wolfgang Geissler,Bernd Kistler. Владелец: HEIDELBERGER DRUCKMASCHINEN AG. Дата публикации: 1998-11-10.

Method for improving the quality of printing processes involving black pixel depletion

Номер патента: US20020089565A1. Автор: Michael Gray,Jason Arbeiter,Matthew Lopez. Владелец: Hewlett Packard Co. Дата публикации: 2002-07-11.

Method and system for reporting measurement report between network elements

Номер патента: EP2432273A1. Автор: HE Huang,Xibao Xu,Luoting Song,Jin CONG. Владелец: ZTE Corp. Дата публикации: 2012-03-21.

Performance estimation method and scale-up method for particle size breakup apparatus

Номер патента: CA2808572A1. Автор: Tetsu Kamiya. Владелец: Meiji Co Ltd. Дата публикации: 2012-02-23.

Printing method, printing system and method for determining correction value

Номер патента: US7980655B2. Автор: Masahiko Yoshida,Tatsuya Nakano. Владелец: Seiko Epson Corp. Дата публикации: 2011-07-19.

Method for determining the origin of and/or identifying animals or biological material

Номер патента: AU5616999A. Автор: Eberhard Manz. Владелец: Generatio Gmbh. Дата публикации: 2000-01-24.

Methods and devices for coding and decoding a data stream representative of at least one image

Номер патента: US11863751B2. Автор: Mohsen Abdoli,Felix Henry. Владелец: ORANGE SA. Дата публикации: 2024-01-02.

Method and apparatus for sending beam recovery information, and beam detection method and apparatus

Номер патента: EP4277402A3. Автор: Bo Gao,Yijian Chen,Zhaohua Lu,Yu Ngok Li. Владелец: ZTE Corp. Дата публикации: 2024-02-28.

Key material processing method, acquisition method, information transmission method, and device

Номер патента: EP4319230A1. Автор: Zhenhua Xie,Yizhong Zhang. Владелец: Vivo Mobile Communication Co Ltd. Дата публикации: 2024-02-07.

State detection method and configuration method for network communication, terminal, and network device

Номер патента: US11800494B2. Автор: Li Chen. Владелец: Vivo Mobile Communication Co Ltd. Дата публикации: 2023-10-24.

Methods and devices for coding and decoding a data stream representative of at least one image

Номер патента: US11889081B2. Автор: Mohsen Abdoli,Felix Henry. Владелец: ORANGE SA. Дата публикации: 2024-01-30.

Method and apparatus for sending beam recovery information, and beam detection method and apparatus

Номер патента: US11800590B2. Автор: Bo Gao,Yijian Chen,Zhaohua Lu,Yu Ngok Li. Владелец: ZTE Corp. Дата публикации: 2023-10-24.

Bipolar junction transistor and operating and manufacturing method for the same

Номер патента: US20140266407A1. Автор: Jeng Gong,Wing-Chor CHAN,Li-Fan Chen. Владелец: Macronix International Co Ltd. Дата публикации: 2014-09-18.

Adjustment method and determination method for transmission timing, and terminal device

Номер патента: US20240031964A1. Автор: Zuomin Wu. Владелец: GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP LTD. Дата публикации: 2024-01-25.

Method and apparatus for sending beam recovery information, and beam detection method and apparatus

Номер патента: EP4277402A2. Автор: Bo Gao,Yijian Chen,Zhaohua Lu,Yu Ngok Li. Владелец: ZTE Corp. Дата публикации: 2023-11-15.

Sending method, receiving method for bluetooth broadcasting, and device

Номер патента: US20240137954A1. Автор: Kai Ren. Владелец: GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP LTD. Дата публикации: 2024-04-25.

Adjusting method and determining method for transmission timing, and terminal device

Номер патента: EP4319331A1. Автор: Zuomin Wu. Владелец: GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP LTD. Дата публикации: 2024-02-07.

Method for producing an aqueous suspension of adhesive particles for trapping plant pests

Номер патента: EP4356732A1. Автор: Thomas Edward Kodger,Ralph VAN ZWIETEN. Владелец: WAGENINGEN UNIVERSITEIT. Дата публикации: 2024-04-24.

Methods for efficient application of LGT

Номер патента: US11856225B2. Автор: XIN ZHAO,Shan Liu,Madhu Peringassery Krishnan. Владелец: Tencent America LLC. Дата публикации: 2023-12-26.

Bioelectrode, production method and installation method for bioelectrode

Номер патента: EP4059428A1. Автор: Takenori Nakanishi,Shinji Takeoka,Syo MIHARA. Владелец: Asahi FR R&D Co Ltd. Дата публикации: 2022-09-21.

State detection method and configuration method for network communication, terminal, and network device

Номер патента: US20240008006A1. Автор: Li Chen. Владелец: Vivo Mobile Communication Co Ltd. Дата публикации: 2024-01-04.

Surface protection method and surface decoration method for body to be coated

Номер патента: CA2985470C. Автор: Takayuki Miura. Владелец: Claudia Khalil. Дата публикации: 2023-07-18.

Methods and systems for power management of a hybrid electric powerplant

Номер патента: CA3146041A1. Автор: Michael Mark,Leonid Guerchkovitch. Владелец: Pratt and Whitney Canada Corp. Дата публикации: 2022-07-22.

Methods for efficent application of lgt

Номер патента: US20240098306A1. Автор: Shan Liu. Владелец: Tencent America LLC. Дата публикации: 2024-03-21.

Methods for efficent application of lgt

Номер патента: US20230074661A1. Автор: XIN ZHAO,Shan Liu,Madhu Peringassery Krishnan. Владелец: Tencent America LLC. Дата публикации: 2023-03-09.

Press forming method and shape evaluation method for press formed part

Номер патента: US20240207917A1. Автор: Akinobu Ishiwatari,Taira NAKAMOTO. Владелец: JFE Steel Corp. Дата публикации: 2024-06-27.

Surface protection method and surface decoration method for body to be coated

Номер патента: US20180141264A1. Автор: Takayuki Miura. Владелец: Fu-Se Vacuum Forming Co Ltd. Дата публикации: 2018-05-24.

Determination method and a control method for a fluid displacement device, controller and system

Номер патента: US20150037169A1. Автор: VELTMAN André,Beekmans Robert. Владелец: . Дата публикации: 2015-02-05.

TRANSFORM METHOD AND INVERSE TRANSFORM METHOD FOR PICTURE BLOCK AND APPARATUS

Номер патента: US20210235097A1. Автор: Zheng Jianhua,YU Quanhe,HE Yun,WANG Liqiang. Владелец: . Дата публикации: 2021-07-29.

Inductor and method for heating a geological formation

Номер патента: CA2949575C. Автор: Dirk Diehl. Владелец: SIEMENS AG. Дата публикации: 2018-07-10.

Data sending method and data receiving method for sidelink, and apparatuses, terminal and medium

Номер патента: WO2021007713A1. Автор: 杨星. Владелец: 北京小米移动软件有限公司. Дата публикации: 2021-01-21.

Processing method for semiconductor wafers

Номер патента: US5035750A. Автор: Takeki Hata,Masuo Tada,Takaaki Fukumoto,Toshiaki Ohmori. Владелец: Taiyo Sanso Co Ltd. Дата публикации: 1991-07-30.

Maintenance and inspection method and capacity recovery method for nickel-cadmium batteries

Номер патента: JP2861665B2. Автор: 孝志 鈴木,昌昭 北山,好郎 藤田. Владелец: NIPPON DENCHI KK. Дата публикации: 1999-02-24.

A determination method and a control method for a fluid displacement device, controller and system

Номер патента: EP2828530B1. Автор: Andre Veltman,Robert BEEKMANS. Владелец: Comoco BV. Дата публикации: 2021-09-01.

Wireless positioning identification system and method and factory leaving method for positioning terminal

Номер патента: CN103533640A. Автор: 胡爱群,姜禹. Владелец: SOUTHEAST UNIVERSITY. Дата публикации: 2014-01-22.

Preheating method and laser welding method for bolt and fixing piece

Номер патента: CN114101938A. Автор: 周磊,梁宇,罗航,梁益龙,徐平伟,林廷艺,姜自豪. Владелец: GUIZHOU UNIVERSITY. Дата публикации: 2022-03-01.

A kind of curative agent and preparation method and its application method for high-alloy steel in smelting

Номер патента: CN109234494A. Автор: 娄永琰. Владелец: Individual. Дата публикации: 2019-01-18.

Image management system, digital camera, image management method, and image processing method for digital camera

Номер патента: EP1433064A2. Автор: Tetsuya Hayashi. Владелец: Casio Computer Co Ltd. Дата публикации: 2004-06-30.

Image management system, digital camera, image management method, and image processing method for digital camera

Номер патента: EP1433064B1. Автор: Tetsuya Hayashi. Владелец: Casio Computer Co Ltd. Дата публикации: 2011-03-23.

CHARGE CONTROL METHOD AND DISCHARGE CONTROL METHOD FOR ELECTRIC STORAGE APPARATUS

Номер патента: US20130200860A1. Автор: TAKEDA Harumi,TAKEDA Yoshifumi. Владелец: . Дата публикации: 2013-08-08.

MATCHING METHOD AND DATA SHARING METHOD FOR NETWORK ACCESS APPARATUS

Номер патента: US20150006745A1. Автор: Chang Chia-Tse,Wang Kuang-Hua,Ma Chien-Lin,Pan Meng-Kai. Владелец: BenQ Corporation. Дата публикации: 2015-01-01.

LAYER MAPPING METHOD AND DATA TRANSMISSION METHOD FOR MIMO SYSTEM

Номер патента: US20170026096A1. Автор: Kim Bong Hoe,Yun Young Woo,Kim Ki Jun,Roh Dong Wook,Ahn Joon Kui,Seo Dong Youn. Владелец: . Дата публикации: 2017-01-26.

HOLD CHECKING METHOD AND UNHOLD CHECKING METHOD FOR WAFER

Номер патента: US20180025928A1. Автор: Chito Kenta. Владелец: . Дата публикации: 2018-01-25.

CONNECTOR, CONNECTING METHOD AND A PRODUCTION METHOD FOR SAME

Номер патента: US20170138388A1. Автор: Figge Hans-Ulrich,Metten Andreas. Владелец: . Дата публикации: 2017-05-18.

SURFACE PROTECTION METHOD AND SURFACE DECORATION METHOD FOR BODY TO BE COATED

Номер патента: US20180141264A1. Автор: MIURA Takayuki. Владелец: . Дата публикации: 2018-05-24.

METHOD AND SYSTEM FOR METHOD FOR ESTIMATING A PRESENT ENERGY CONSUMPTION OF AN ELECTRICALLY PROPELLED VEHICLE

Номер патента: US20220297569A1. Автор: Cho Il. Владелец: . Дата публикации: 2022-09-22.

SURFACE PROTECTION METHOD AND SURFACE DECORATION METHOD FOR BODY TO BE COATED

Номер патента: US20200207005A1. Автор: MIURA Takayuki. Владелец: . Дата публикации: 2020-07-02.

LAYER MAPPING METHOD AND DATA TRANSMISSION METHOD FOR MIMO SYSTEM

Номер патента: US20190215041A1. Автор: Kim Bonghoe,Kim Kijun,Ahn Joonkui,Seo Dongyoun,ROH Dongwook,YUN Youngwoo. Владелец: . Дата публикации: 2019-07-11.

PROCESSING METHOD AND THERMOCOMPRESSION BONDING METHOD FOR WORKPIECE

Номер патента: US20200335382A1. Автор: YODO Yoshiaki,Yamamoto Naoko,KUBO Atsuhi. Владелец: . Дата публикации: 2020-10-22.

METHOD AND SYSTEM FOR METHOD FOR PROVIDING A BALE OF CROP MATERIAL

Номер патента: US20170359960A1. Автор: REIJERSEN VAN BUUREN Willem Jacobus,Core Mark. Владелец: FORAGE INNOVATIONS B.V.. Дата публикации: 2017-12-21.

STOOL COLLECTION METHOD AND SAMPLE PREPARATION METHOD FOR TRANSPLANTING FECAL MICROBIOTA

Номер патента: US20200405776A1. Автор: AFFAGARD Hervé,SCHWINTNER Carole,LEROUX Alice,MADER Clémence. Владелец: . Дата публикации: 2020-12-31.

Seedling raising method and sprout conservation method for lumnitzeralittorea

Номер патента: CN106613645A. Автор: 张颖,李蕾. Владелец: Hainan Normal University. Дата публикации: 2017-05-10.

Substrate mounting method and film forming method for film forming apparatus

Номер патента: CN101090996A. Автор: 片冈达哉,长尾兼次,齐藤谦一. Владелец: WETA TECHNOLOGY CORP. Дата публикации: 2007-12-19.

The making method and meat cooking method for oriental- medical ripening meat sauce

Номер патента: KR100691532B1. Автор: 장경근. Владелец: 장경근. Дата публикации: 2007-03-12.

Channel status information feedback method and data transmission method for multiple antenna system

Номер патента: KR101231357B1. Автор: 노동욱. Владелец: 엘지전자 주식회사. Дата публикации: 2013-02-07.

Transparent juniper water Manufacturing method and body cleaning method for the deceased

Номер патента: KR102481307B1. Автор: 김철재,김문선,허순. Владелец: 허순. Дата публикации: 2022-12-26.

Antenna directivity control method and channel configuration method for mobile communication system

Номер патента: JP2790078B2. Автор: 敏仁 金井. Владелец: Nippon Electric Co Ltd. Дата публикации: 1998-08-27.

Temporary bonding method and bonding-removing method for ultrathin semiconductor wafer

Номер патента: CN105280541A. Автор: 刘鑫,潘斌,邹鹏辉,李彭瑞,王彦硕. Владелец: CETC 55 Research Institute. Дата публикации: 2016-01-27.

A kind of method and its beneficiation method for handling low-grade laterite nickel ore

Номер патента: CN107309079A. Автор: 程建国,米建国. Владелец: Shanghai Xin Technology Co Ltd And Nickel. Дата публикации: 2017-11-03.

Shaft removal method and shaft installation method for stern's engine room of ship having unsymmetrical stern

Номер патента: WO2019080169A1. Автор: 麦豪锐. Владелец: 广船国际有限公司. Дата публикации: 2019-05-02.

Operation state determination method and operation control method for fuel cell stack

Номер патента: JP3767639B2. Автор: 正隆 上野,裕 中島,剛一 白石. Владелец: Equos Research Co Ltd. Дата публикации: 2006-04-19.

System, method and establishment of method for IgA nephropathy detection

Номер патента: CN114015764A. Автор: 陈香美,蔡广研,段智宇. Владелец: CHINESE PLA GENERAL HOSPITAL. Дата публикации: 2022-02-08.

Self-relief method and re-estabishing method for traffic

Номер патента: EP1217789A4. Автор: Masatoshi Suzuki. Владелец: Toshiba Corp. Дата публикации: 2009-09-02.

Ink jet recording method and the manufacturing method for being laminated printed article

Номер патента: CN110234514A. Автор: 荒木健次郎. Владелец: Fujifilm Corp. Дата публикации: 2019-09-13.

Management system, management method and quick access method for information contents of digital networking device

Номер патента: CN102739691A. Автор: 陈宏庭. Владелец: Micromacro Mobile Inc. Дата публикации: 2012-10-17.

Press forming method and shape evaluation method for press formed article

Номер патента: WO2021171678A1. Автор: 正樹 卜部,祐輔 藤井,隼佑 飛田. Владелец: Jfeスチール株式会社. Дата публикации: 2021-09-02.

Resin property detection method and injection control method for injection molder

Номер патента: CA2054778A1. Автор: Akira Yokota. Владелец: Individual. Дата публикации: 1991-09-06.

Broadcast encryption method and Broadcast decoding method for generating simplified header

Номер патента: KR101856349B1. Автор: 이지원,오현옥. Владелец: 한양대학교 산학협력단. Дата публикации: 2018-05-09.

Charge control method and discharge control method for electric storage apparatus

Номер патента: US9312703B2. Автор: Harumi Takeda,Yoshifumi Takeda. Владелец: Individual. Дата публикации: 2016-04-12.

Video encoding method and video decoding method for enabling bit depth scalability

Номер патента: CN101622878B. Автор: 武宇文,高永英. Владелец: Thomson Licensing SAS. Дата публикации: 2015-01-14.

Meandering amount detection method and meandering control method for metal strips

Номер патента: JP6988982B1. Автор: 正法 星野. Владелец: JFE Steel Corp. Дата публикации: 2022-01-05.

Drilling device, punching die, punching method and positioning fixing method for punching die

Номер патента: JPWO2004110665A1. Автор: 和彦 河東. Владелец: Beac Co Ltd. Дата публикации: 2006-07-20.

A kind of DNA detection method and DNA probe method for coating

Номер патента: CN107447002A. Автор: 童立,刘晓竹,冯钶. Владелец: Chongqing Aoyun Micro Biological Technology Co Ltd. Дата публикации: 2017-12-08.

Identification method and distribution measurement method for substances contained in samples

Номер патента: JP3461208B2. Автор: 篤 坂東,謙一 大堀,利和 万木. Владелец: Horiba Ltd. Дата публикации: 2003-10-27.

Charging control method and discharging control method for electricity storage device

Номер патента: KR101452778B1. Автор: 요시후미 다케다,하루미 다케다. Владелец: 요시후미 다케다. Дата публикации: 2014-10-22.

Charge control method and discharge control method for power storage device

Номер патента: JPWO2012014281A1. Автор: 晴見 竹田,佳史 竹田. Владелец: Individual. Дата публикации: 2013-09-09.

Manufacturing method and electrode connection method for anisotropic conductive adhesive film

Номер патента: JP2006049783A. Автор: Yuji Suda,祐史 須田. Владелец: LIFE KK. Дата публикации: 2006-02-16.

Channel setting method and channel selection method for an electronic device

Номер патента: TW201204154A. Автор: Te-Mu CHEN,Chin-Yu Wang,Wen-Pin Liao,Chih-Yu Huang. Владелец: HTC Corp. Дата публикации: 2012-01-16.

Press forming method and shape evaluation method for press formed article

Номер патента: EP4112201A4. Автор: Masaki Urabe,Yusuke Fujii,Shunsuke Tobita. Владелец: JFE Steel Corp. Дата публикации: 2023-08-09.

Protection setting method and protection releasing method for portable device

Номер патента: TW200950476A. Автор: Shih-Chan Hsu. Владелец: Mstar Semiconductor Inc. Дата публикации: 2009-12-01.

Image management system, digital camera, image management method, and image processing method for digital camera

Номер патента: TW200404213A. Автор: Tetsuya Hayashi. Владелец: Casio Computer Co Ltd. Дата публикации: 2004-03-16.

Meandering amount detection method and meandering control method for metal strip

Номер патента: EP4238668A4. Автор: Masanori Hoshino. Владелец: JFE Steel Corp. Дата публикации: 2024-03-13.

Textile-type electronic component package and method, method for mounting the same on textile

Номер патента: KR101199483B1. Автор: 김지은,손용기,김배선. Владелец: 한국전자통신연구원. Дата публикации: 2012-11-09.

Head unit assembling device and method, method for making other devices therewith

Номер патента: CN1274496C. Автор: 中村真一,山田善昭. Владелец: Seiko Epson Corp. Дата публикации: 2006-09-13.

Systems and methods for deposition of molybdenum for source/drain contacts

Номер патента: US20230298902A1. Автор: Dong Li,Petri Raisanen,Eric James Shero,Jiyeon Kim. Владелец: ASM IP Holding BV. Дата публикации: 2023-09-21.

Printing method and apparatus

Номер патента: EP1776235A1. Автор: Martin Zipher Ltd. 7 Faraday Bldg. McNESTRY,Philip Zipher Ltd. 7 Faraday Bldg. HART. Владелец: Zipher Ltd. Дата публикации: 2007-04-25.

Printing method and apparatus

Номер патента: WO2006013348A1. Автор: Martin Mcnestry,Philip Hart. Владелец: ZIPHER LIMITED. Дата публикации: 2006-02-09.

Ink jet printing method and printer

Номер патента: EP2768671A1. Автор: Matheus Wijnstekers,Björn H.A.J.M. KETELAARS,Henricus C.M. VAN GENUCHTEN. Владелец: Oce Technologies BV. Дата публикации: 2014-08-27.

Printing system, printing method, and recording medium

Номер патента: US20030026634A1. Автор: Tatsuya Kuroda,Masahiro Uehara. Владелец: Sony Corp. Дата публикации: 2003-02-06.

Printing apparatus, printing method, and can body

Номер патента: EP4134239A1. Автор: Kouji Yamada,Kenichiro Yamamoto,Hisaaki MORIKAWA. Владелец: Toyo Seikan Co Ltd. Дата публикации: 2023-02-15.

Method and apparatus for printing a security card

Номер патента: US20180086125A1. Автор: Andrew Michael Pass,Christian Tamblyn. Владелец: Magicard Ltd. Дата публикации: 2018-03-29.

Method and apparatus for printing a security card

Номер патента: WO2016156818A1. Автор: Pass Andrew,Christian Tamblyn. Владелец: ULTRA ELECTRONICS LIMITED. Дата публикации: 2016-10-06.

Print designating method and apparatus, and printing method and apparatus

Номер патента: US20060119893A1. Автор: Hiroshi Tanaka,Mikio Watanabe. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 2006-06-08.

Method and device for printing a surface with a fluid

Номер патента: US20180345676A1. Автор: Edgar Boehm,Volker Hilarius,Guenter Hauke. Владелец: Merck Patent GmBH. Дата публикации: 2018-12-06.

Printing method and device

Номер патента: WO2003038594A1. Автор: Timo Nyberg,Eero Kyto,Ville Laine. Владелец: Kytoe Eero. Дата публикации: 2003-05-08.

Printing method and ink jet printing device

Номер патента: EP2043870A1. Автор: Jacobus Henricus Diederen,Theodorus Henricus Gerardus Maria Peters. Владелец: Stork Digital Imaging BV. Дата публикации: 2009-04-08.

Expert color management settings method and interface

Номер патента: EP1281274A2. Автор: David Macy,Tyler Munson. Владелец: Electronics for Imaging Inc. Дата публикации: 2003-02-05.

Printing apparatus, printing method and computer program

Номер патента: US20090251500A1. Автор: Takeshi Matsui,Yuichiro Ikemoto,Koji Ashizaki,Tatsumi Ito. Владелец: Sony Corp. Дата публикации: 2009-10-08.

Textile printing method, printed matter, and recording apparatus

Номер патента: US12059916B2. Автор: Hiromi Noguchi,Yasuhiro Oki,Daisuke Sakuma,Yoshitaka Miyajima. Владелец: Seiko Epson Corp. Дата публикации: 2024-08-13.

Printing apparatus, printing method and computer program

Номер патента: US8020952B2. Автор: Takeshi Matsui,Yuichiro Ikemoto,Koji Ashizaki,Tatsumi Ito. Владелец: Sony Corp. Дата публикации: 2011-09-20.

Methods For Printing And Wrapping

Номер патента: US20230347663A1. Автор: Chigusa Sato,Kenichi Seguchi,Emi TAKEUCHI. Владелец: Seiko Epson Corp. Дата публикации: 2023-11-02.

Printing apparatus, printing method and computer program

Номер патента: US20090251501A1. Автор: Takeshi Matsui,Yuichiro Ikemoto,Koji Ashizaki,Tatsumi Ito. Владелец: Sony Corp. Дата публикации: 2009-10-08.

Portable photographic printing method and apparatus

Номер патента: US20060197961A1. Автор: David Kidd,Lester Beason,Donte Ross. Владелец: Individual. Дата публикации: 2006-09-07.

Process for printing ink to provide high opacity substrate

Номер патента: WO2019010105A1. Автор: Christian Kohlweyer,Paul Edmund Baker,Thorsten Blum. Владелец: The Procter & Gamble Company. Дата публикации: 2019-01-10.

Antimicrobial medical glove printing method

Номер патента: US12049095B2. Автор: Paul Wight,Robert Gros. Владелец: Bmg British Medical Group Ltd. Дата публикации: 2024-07-30.

Gelatin ribbon printing method and apparatus

Номер патента: CA2499293A1. Автор: Jeffrey Martin Danta. Владелец: Individual. Дата публикации: 2005-10-26.

Printing method and printing apparatus

Номер патента: US20150091970A1. Автор: Sho Nakamura,Kazunari Shishido,Takayoshi Noguchi,Atsushi Hirahara,Akiteru Naka,Tatsuhiko Tomita,Ruriko Mikami. Владелец: Canon Inc. Дата публикации: 2015-04-02.

Printing apparatus, printing method, and carrier means

Номер патента: EP3670198A1. Автор: Tomomi Ishimi,Tomohiro Yamashita,Shohta Kobashigawa. Владелец: Ricoh Co Ltd. Дата публикации: 2020-06-24.

Method and printing machine for printing on basis

Номер патента: RU2504479C2. Автор: ЙЕГЕР Франк КЛЯЙНЕ,Юрген КАЧУН,Удо ЛЕМАНН. Владелец: БАСФ СЕ. Дата публикации: 2014-01-20.

Printing process and system for ascertaining register defects

Номер патента: US20120240801A1. Автор: Eberhard Redmer,Günter Streitmatter. Владелец: HEIDELBERGER DRUCKMASCHINEN AG. Дата публикации: 2012-09-27.

Laser printing method and device for implementing said method

Номер патента: US20170368822A1. Автор: Fabien Guillemot. Владелец: Universite de Bordeaux. Дата публикации: 2017-12-28.

Hearing aid with electrophoretic hearing aid case and method for electrophoretic reproduction

Номер патента: US20080008343A1. Автор: Kunibert Husung. Владелец: Siemens Audioligische Technik GmbH. Дата публикации: 2008-01-10.

Method and device for printing press cylinder cleaning

Номер патента: RU2330758C2. Автор: Роберто ПЕРЕГО,Анджело БАРТЕЗАГИ. Владелец: ОМЕТ С.р.л.. Дата публикации: 2008-08-10.

Printing apparatus for printing directly onto containers

Номер патента: US20220219465A1. Автор: Michael Seitel. Владелец: Norwalt Design Inc. Дата публикации: 2022-07-14.

3d printing method and apparatus

Номер патента: EP3191306A1. Автор: David BUDGE. Владелец: Aurora Labs Ltd. Дата публикации: 2017-07-19.

Method and apparatus for inkjet printing absorbent article components

Номер патента: US11772390B2. Автор: Kasey Marie Hudson. Владелец: Procter and Gamble Co. Дата публикации: 2023-10-03.

Printing method for low melting-point material of 3d printer

Номер патента: US20160361875A1. Автор: Bo-Yi Wu. Владелец: Cal Comp Electronics and Communications Co Ltd. Дата публикации: 2016-12-15.

Methods and compositions for electrophoretic metallization deposition

Номер патента: US20090178927A1. Автор: Timothy Weidman,Kapila P. Wijekoon. Владелец: Individual. Дата публикации: 2009-07-16.

Method and apparatus for drying inks printed on heat sensitive absorbent article components

Номер патента: US20180333307A1. Автор: Kasey Marie Hudson. Владелец: Procter and Gamble Co. Дата публикации: 2018-11-22.

Printed Hollow Bodies and Systems and Methods for Printing Hollow Bodies

Номер патента: US20210299941A1. Автор: Matthew L. Peterson. Владелец: Science Applications International Corp SAIC. Дата публикации: 2021-09-30.

Method and apparatus for sperm enrichment

Номер патента: EP2861329A1. Автор: Michael Howard James. Владелец: FERTILITY INNOVATIONS Ltd. Дата публикации: 2015-04-22.

Smart label printer and printing method thereof

Номер патента: US12049077B2. Автор: Shuangquan Xu. Владелец: Xiamen IPRT Technology Co Ltd. Дата публикации: 2024-07-30.

Printing apparatus and control method and program thereof

Номер патента: US9090059B1. Автор: Tomohiro Sayama,Toru Matsuyama. Владелец: Seiko Epson Corp. Дата публикации: 2015-07-28.

Print control apparatus, print control method, and program therefor

Номер патента: US8141969B2. Автор: Hideki Noguchi. Владелец: Seiko Epson Corp. Дата публикации: 2012-03-27.

Method and Assembly for Producing a Flat Printed Packaging Material

Номер патента: US20230406009A1. Автор: Kai K.O. Bär. Владелец: Value and Intellectual Properties Management GmbH. Дата публикации: 2023-12-21.

Print control apparatus, print control method, and program therefor

Номер патента: US20100026740A1. Автор: Hideki Noguchi. Владелец: Seiko Epson Corp. Дата публикации: 2010-02-04.

A method of and apparatus for printing on a web

Номер патента: US20170066253A1. Автор: Nicolas Uebelhart,Sylvain Gady,Sébastien Mazerolle. Владелец: SICPA HOLDING SA. Дата публикации: 2017-03-09.

Method and apparatus for curing inks printed on heat sensitive absorbent article components

Номер патента: US20180257368A1. Автор: Hui Yang,John Andrew Strasemeier. Владелец: Procter and Gamble Co. Дата публикации: 2018-09-13.

Method and flatbed printer for creating prints on pieces of media having a different thickness

Номер патента: EP3195582A1. Автор: Anne A. Wind. Владелец: Oce Technologies BV. Дата публикации: 2017-07-26.

Printing method

Номер патента: US20230241900A1. Автор: Takaaki Nagata,Toshio Kazami,Tohru Takamura,Tomohiko SHIGIHARA. Владелец: Honda Motor Co Ltd. Дата публикации: 2023-08-03.

System and method for reducing printing errors by limiting the firing frequency of a print head

Номер патента: US20060087524A1. Автор: John Miller. Владелец: Pitney Bowes Inc. Дата публикации: 2006-04-27.

Printing device and method for printing onto substrates

Номер патента: US20240269978A1. Автор: Franz Plachy,Rainer Voigt,Lukas SÄNGER. Владелец: EKRA AUTOMATISIERUNGSSYSTEME GmbH. Дата публикации: 2024-08-15.

Printing apparatus and method

Номер патента: US20240123750A1. Автор: Carl JEFFREY. Владелец: Ironburg Inventions Ltd. Дата публикации: 2024-04-18.

3d printing method and molding part produced therewith using a water glass binder and ester

Номер патента: US20240238865A1. Автор: Ingo Ederer,Massimo Russo,Roman Scheller. Владелец: VOXELJET AG. Дата публикации: 2024-07-18.

Printing method of planographic printing plate and planographic printing plate processed by this method

Номер патента: US6832553B2. Автор: Takayuki Usui. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 2004-12-21.

Image Processing Apparatus for Printing Image, Image Processing Method, and Computer Program

Номер патента: US20090109449A1. Автор: YOSHIDA Seishin. Владелец: Seiko Epson Corp. Дата публикации: 2009-04-30.

Method for printing on a workpiece and printing device

Номер патента: US20230038080A1. Автор: Ludwig Albrecht,Achim Homeier. Владелец: HOMAG GMBH. Дата публикации: 2023-02-09.

Printing apparatus for printing directly onto containers

Номер патента: CA3111920A1. Автор: Michael Seitel. Владелец: Norwalt Design Inc. Дата публикации: 2021-10-03.

Ink- jet printing method

Номер патента: EP2935480A1. Автор: Ryuma Mizushima,Tetsuya Eguchi. Владелец: Kao Corp. Дата публикации: 2015-10-28.

Printing device, printing method, and program product therefor

Номер патента: US20050225589A1. Автор: Yasuhiro Oshima,Goro Ishida. Владелец: Seiko Epson Corp. Дата публикации: 2005-10-13.

Methods and devices for printing on substrates

Номер патента: EP4399096A1. Автор: Thomas Odum,James K. Henry. Владелец: Shaw Industries Group Inc. Дата публикации: 2024-07-17.

A method and printer for printing on print media

Номер патента: EP4375076A1. Автор: Eduardus J.W. Van Vliembergen,Martinus G.M. LANGE. Владелец: Canon Production Printing Holding BV. Дата публикации: 2024-05-29.

Method and apparatus for automatically printing on four sides of box shaped object

Номер патента: US5156088A. Автор: Michiharu Tohdo. Владелец: Individual. Дата публикации: 1992-10-20.

Printing method, printing apparatus, and storage medium

Номер патента: US20050122375A1. Автор: Koichi Otsuki. Владелец: Seiko Epson Corp. Дата публикации: 2005-06-09.

Printer, printing method, and print medium

Номер патента: US20180126761A1. Автор: Masahiko Hirasawa,Kenta MASUZAWA. Владелец: Seiko Epson Corp. Дата публикации: 2018-05-10.

Ink jet printer and an ink jet printing process

Номер патента: US9050830B2. Автор: Alexander Grant,Nigel Anthony Caiger,Hartley David Selman,Andrew Robin Balch,Samuel Thomas Moncur. Владелец: Sun Chemical Corp. Дата публикации: 2015-06-09.

Inkjet printing machine for printing individual sheets

Номер патента: EP3837199A1. Автор: Romain Bersier,Olivier FREYMOND,Nicolas MOSETTI,David POUSAZ,Jean-Philippe BESSON. Владелец: MOUVENT AG. Дата публикации: 2021-06-23.

Method and system for efficiently printing and sorting multiple orders of transaction cards

Номер патента: US20060087542A1. Автор: Russell McGrane. Владелец: Individual. Дата публикации: 2006-04-27.

Tablet printing apparatus and tablet printing method

Номер патента: US20190217631A1. Автор: Shinichi Ogimoto,Azusa Hirano,Yasutsugu TSURUOKA,Junsuke KOMITO,Noritsugu TANIO. Владелец: Shibaura Mechatronics Corp. Дата публикации: 2019-07-18.

Rotary printing press with cleaning unit and method for cleaning a substrate

Номер патента: EP4400314A1. Автор: Marco Carrara,Frank Rudolph. Владелец: BOBST BIELEFELD GMBH. Дата публикации: 2024-07-17.

Bioprinter nozzle, bioprinter and lumen tissue construct printing method

Номер патента: US20230054757A1. Автор: Yijun Li,Jie Xiang,Ziqing Xu,Zhi JIANG,Junxuan He,Xiao ZUO. Владелец: Revotek Co ltd. Дата публикации: 2023-02-23.

Rotary printing press with cleaning unit and method for cleaning a substrate

Номер патента: US20240227385A1. Автор: Marco Carrara,Frank Rudolph. Владелец: BOBST BIELEFELD GMBH. Дата публикации: 2024-07-11.

System and method for using pulse or trickle warming to control neutral color balance on a print media

Номер патента: US20030081034A1. Автор: Stephen Bauer. Владелец: Individual. Дата публикации: 2003-05-01.

Method and apparatus for printing three-dimensional structures with image information

Номер патента: WO2017210260A1. Автор: Todd W. Miller. Владелец: Nike Innovate C.V.. Дата публикации: 2017-12-07.

Ink jet printers and methods

Номер патента: EP1390207A2. Автор: Yehoshua Sheinman,Meir Weksler,Ilan Ben-Shahar. Владелец: Jemtex Ink Jet Printing Ltd. Дата публикации: 2004-02-25.

Ink jet printers and methods

Номер патента: EP1390207A4. Автор: Yehoshua Sheinman,Meir Weksler,Ilan Ben-Shahar. Владелец: Jemtex Ink Jet Printing Ltd. Дата публикации: 2004-08-04.

Thermal printer and thermal printing method

Номер патента: US20060066711A1. Автор: Shengfu Cui. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 2006-03-30.

Screen printing apparatus and screen printing method

Номер патента: US20170313053A1. Автор: Masayuki Mantani. Владелец: Panasonic Intellectual Property Management Co Ltd. Дата публикации: 2017-11-02.

Printing control method and printing system using the same

Номер патента: US20130286414A1. Автор: Chun-Yu Chang. Владелец: Aetas Technology Inc. Дата публикации: 2013-10-31.

Method and apparatus for clamping a substrate

Номер патента: US20080006162A1. Автор: James Lynch,Joseph A. Perault,Richard Willshere. Владелец: Speedline Technologies Inc. Дата публикации: 2008-01-10.

Duplex printing method for printing apparatus

Номер патента: US20150001785A1. Автор: Katsuhiko Gotoda. Владелец: Avision Inc. Дата публикации: 2015-01-01.

Systems and methods for printing electronic device assembly

Номер патента: US20100255179A1. Автор: Jayna Sheats,Douglas Knox,Ric Asselstine. Владелец: Terepac Corp. Дата публикации: 2010-10-07.

Printing device and method

Номер патента: CA3138918A1. Автор: Andreu Cabot Codina,Ievgenii LIASHENKO,Joan ROSELL LLOMPART. Владелец: Universitat Rovira i Virgili URV. Дата публикации: 2020-11-12.

Method and Apparatus for Print Control

Номер патента: US20170001452A1. Автор: Andreas MÜLLER,Jacint Humet Pous. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2017-01-05.

Method and Apparatus for Print Control

Номер патента: US20160129715A1. Автор: Andreas MÜLLER,Jacint Humet Pous. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2016-05-12.

Method and apparatus for safe use of a wet on wet textile printer

Номер патента: EP2481593A3. Автор: Ofer Ben-Zur. Владелец: Kornit Digital Technologies Ltd. Дата публикации: 2013-01-30.

Thermographic relief printing method

Номер патента: US5098739A. Автор: Jean L. Sarda. Владелец: Individual. Дата публикации: 1992-03-24.

Hybrid printing method using movable pallet

Номер патента: US20100321452A1. Автор: Sung Hoon Lee. Владелец: Ilsung Mem Co Ltd. Дата публикации: 2010-12-23.

Planographic printing method and planographic printing plate precursor used therein

Номер патента: US20050282086A1. Автор: Kazuto Shimada,Tomoyoshi Mitsumoto. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 2005-12-22.

Printing Device, Printing Method, Print Setting Determination Device, and Computer Program

Номер патента: US20090244555A1. Автор: Kosuke Shingai. Владелец: Seiko Epson Corp. Дата публикации: 2009-10-01.

Printing device and printing method

Номер патента: US12064956B2. Автор: Yusuke TOKUDA. Владелец: Casio Computer Co Ltd. Дата публикации: 2024-08-20.

A method and an apparatus for processing of printable panels

Номер патента: EP4422868A1. Автор: Giancarlo Miotto. Владелец: TIC TAC Srl. Дата публикации: 2024-09-04.

Method for checking the quality of printed materials

Номер патента: US11760082B2. Автор: Harald Willeke,Johannes Schweers,Alexander Partosch,Christian Schnieder. Владелец: Koenig and Bauer AG. Дата публикации: 2023-09-19.

Printing process for transferring a printing substance

Номер патента: US20210086541A1. Автор: Dietrich Speer,Alexander Zeig. Владелец: Ferro GmbH. Дата публикации: 2021-03-25.

DIGITAL IMAGE REPRODUCTION APPARATUS FOR PRINTING A DIGITAL DATA FILE AND A METHOD FOR PRINTING A DIGITAL DATA FILE

Номер патента: US20120320421A1. Автор: . Владелец: . Дата публикации: 2012-12-20.

Plate making method for printed matter of cryptomorphic image and method for preparing grating products

Номер патента: CN1447208A. Автор: 高如峰. Владелец: Individual. Дата публикации: 2003-10-08.

Booting method and shutting down method for image display device

Номер патента: TW200939178A. Автор: Mao-Jung Chung,Yu-Shen Kung,Chin-Cheng Liu. Владелец: Himax Display Inc. Дата публикации: 2009-09-16.

Image processing system, image display method and image capture method for display apparatus

Номер патента: TW200714063A. Автор: Rong-Show Tung. Владелец: Mitac Int Corp. Дата публикации: 2007-04-01.

Printing method, printing apparatus, and method for producing printed matter

Номер патента: JP5200679B2. Автор: 邦彦 松橋. Владелец: Seiko Epson Corp. Дата публикации: 2013-06-05.

IMAGING DEVICE AND METHOD, AND IMAGE PROCESSING METHOD FOR IMAGING DEVICE

Номер патента: US20120026349A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-02-02.

IMAGING DEVICE AND METHOD, AND IMAGE PROCESSING METHOD FOR IMAGING DEVICE

Номер патента: US20120033094A1. Автор: Ishii Yasunori,Monobe Yusuke. Владелец: Panasonic Corporation. Дата публикации: 2012-02-09.

CALIBRATION METHOD AND ANGLE MEASURING METHOD FOR AN ANGLE MEASURING DEVICE, AND ANGLE MEASURING DEVICE

Номер патента: US20120222465A1. Автор: . Владелец: LEICA GEOSYSTEMS AG. Дата публикации: 2012-09-06.

METHODS AND APPARATUS FOR METHOD FOR MAINTAINING A RADIO LINK AT A MOBILE RADIO

Номер патента: US20120134353A1. Автор: . Владелец: MOTOROLA, INC.. Дата публикации: 2012-05-31.

A kind of composition, its preparation method and its application method for water system

Номер патента: CN105016489B. Автор: 李玉成,梁瑛,林志成. Владелец: Individual. Дата публикации: 2017-10-17.

Abnormality prediction method and abnormality avoiding method for plant

Номер патента: JPH10301618A. Автор: Takahiro Koretsune,隆弘 是恒. Владелец: Toshiba Corp. Дата публикации: 1998-11-13.

Lead code sending method and cell searching method for OFDM system

Номер патента: CN101420402B. Автор: 孙长印. Владелец: ZTE Corp. Дата публикации: 2012-07-18.

Information recording method and information reproducing method for image file device

Номер патента: JP2925889B2. Автор: 利一 古川,洋治 杉浦. Владелец: Sanyo Denki Co Ltd. Дата публикации: 1999-07-28.

Intensity optimization method and stress analysis method for component

Номер патента: CN103559361A. Автор: 陈龙,李向伟,孟庆民. Владелец: Qiqihar Railway Rolling Stock Co Ltd. Дата публикации: 2014-02-05.

Container coating method and coating drying method for same

Номер патента: CN102755948A. Автор: 胡浩棋. Владелец: Taicang Cimc Containers Mamufacturing Co Ltd. Дата публикации: 2012-10-31.

Hierarchical encoding method and hierarchical decoding method for acoustic signals

Номер патента: JP4373693B2. Автор: 正浩 押切. Владелец: Panasonic Corp. Дата публикации: 2009-11-25.

Selective breeding method and seed preparing method for Japonica rice variety

Номер патента: CN1314315C. Автор: 王昌华,张燕之,邹吉承. Владелец: LIAONING RICE RESEARCH INSTITUDE. Дата публикации: 2007-05-09.

Purity analysis method and purity control method for 2,3,6,7-naphthalenetetracarboxylic dianhydride

Номер патента: JP4280240B2. Автор: 敏幸 安田. Владелец: JFE Chemical Corp. Дата публикации: 2009-06-17.

Truing method and centerless grinding method for centerless grinding machine for bar workpiece

Номер патента: JP4195668B2. Автор: 晴之 平山,裕功 津田. Владелец: Koyo Machine Industries Co Ltd. Дата публикации: 2008-12-10.

Foam appearance performance evaluation method and manufacturing control method for foam laminate

Номер патента: JP7255664B2. Автор: 慎治 坂本,桂一 佐々木. Владелец: Japan Polyethylene Corp. Дата публикации: 2023-04-11.

Material separation test method and quality control method for high flow steel fiber reinforced concrete

Номер патента: JP3491128B2. Автор: 守朗 栗田. Владелец: Shimizu Corp. Дата публикации: 2004-01-26.

Image signal processing method and gamma correction method for film scanner

Номер патента: JP3896424B2. Автор: 宏志 田中,誠 中沢,学 兵藤,淳彦 石原. Владелец: Fujifilm Corp. Дата публикации: 2007-03-22.

Monitoring method and fault positioning method for hand-held apparatus

Номер патента: CN100344204C. Автор: 杨鹏亮. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2007-10-17.

Image processing system, image indication method and image acquisition method for display equipment

Номер патента: CN101132504A. Автор: 童荣寿. Владелец: Mitac International Corp. Дата публикации: 2008-02-27.

Error message recording method and redundancy substituting method for memory

Номер патента: CN103021468A. Автор: 吴玮. Владелец: Shanghai Huahong Grace Semiconductor Manufacturing Corp. Дата публикации: 2013-04-03.

Forming method and fine processing method for resist pattern

Номер патента: JPH11288877A. Автор: Masahide Tanihira,昌英 谷平. Владелец: Texas Instruments Japan Ltd. Дата публикации: 1999-10-19.

Digitized Chinese information processing method and random coding method for Chinese characters

Номер патента: CN101930300A. Автор: 陈玉龙. Владелец: 刘陶. Дата публикации: 2010-12-29.

Answering machine function control method and communication control method for videophone

Номер патента: JP3049757B2. Автор: 浩之 皆川. Владелец: Ricoh Co Ltd. Дата публикации: 2000-06-05.

Modelling method and route selecting method for single-phase grounding of low current neutral grounding system

Номер патента: CN101042417A. Автор: 吴昌德. Владелец: Individual. Дата публикации: 2007-09-26.

Position determination method and depth determination method for underground high-speed layer top interface

Номер патента: CN104297799A. Автор: 潘龙,范旭,娄兵,薛为平,姚茂敏. Владелец: 薛为平. Дата публикации: 2015-01-21.

Laser cutting method and embroidery processing method for design pieces

Номер патента: JP4919765B2. Автор: 龍太郎 後藤. Владелец: 株式会社バルダン. Дата публикации: 2012-04-18.

ZrO (bottom 2) method and electrode bonding method for heating element

Номер патента: JPH06101371B2. Автор: 高司 大塚,肇 浅見,恒信 佐伯,洋一 母里. Владелец: Shinagawa Refractories Co Ltd. Дата публикации: 1994-12-12.

Sensor-fault detection method and wireless detection method for wireless sensor networks system

Номер патента: TWI378685B. Автор: Li Yuan Chang,Tsang Yi Wang,pei yin Chen. Владелец: Univ Nat Sun Yat Sen. Дата публикации: 2012-12-01.

Booting method and shutting down method for image

Номер патента: TWI357039B. Автор: Chin Cheng Liu,Mao Jung Chung,Yu Shen Kung. Владелец: Himax Display Inc. Дата публикации: 2012-01-21.

Sensor-fault detection method and wireless detection method for wireless sensor networks system

Номер патента: TW201034410A. Автор: Pei-Yin Chen,Li-Yuan Chang,Tsang-Yi Wang. Владелец: Univ Nat Sun Yat Sen. Дата публикации: 2010-09-16.

Image processing system, image display method and image capture method for display apparatus

Номер патента: TWI273844B. Автор: Rong-Show Tung. Владелец: Mitac Int Corp. Дата публикации: 2007-02-11.