• Главная
  • Method of forming a rim phase shifting mask and using the rim phase shifting mask to form a semiconductor device

Method of forming a rim phase shifting mask and using the rim phase shifting mask to form a semiconductor device

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method for phase shift mask design, fabrication, and use

Номер патента: US20020015900A1. Автор: John Petersen. Владелец: Petersen Advanced Lithography Inc. Дата публикации: 2002-02-07.

Double layer method for fabricating a rim type attenuating phase shifting mask

Номер патента: US5853923A. Автор: San-De Tzu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 1998-12-29.

Structure and method of correcting proximity effects in a tri-tone attenuated phase-shifting mask

Номер патента: US20020076622A1. Автор: Youping Zhang,Christophe Pierrat. Владелец: Individual. Дата публикации: 2002-06-20.

Method of fabrication of rim-type phase shift mask

Номер патента: US6838214B1. Автор: Ching-Yueh Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2005-01-04.

Method of correcting proximity effects in a tri-tone attenuated phase-shifting mask

Номер патента: EP1344107B1. Автор: Youping Zhang,Christophe Pierrat. Владелец: Synopsys Inc. Дата публикации: 2010-05-05.

Structure and method of correcting proximity effects in a tri-tone attenuated phase-shifting mask

Номер патента: CN1633626A. Автор: Y·张,C·皮埃拉特. Владелец: Numerical Technologies Inc. Дата публикации: 2005-06-29.

Method of Correcting an Error in Phase Difference in a Phase Shift Mask

Номер патента: US20100330464A1. Автор: Jong Woo Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-12-30.

Method of correcting an error in phase difference in a phase shift mask

Номер патента: US7998643B2. Автор: Jong Woo Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-08-16.

A method of patterning photoresist on a wafer using an attenuated phase shift mask

Номер патента: WO2004079779A3. Автор: Pawitter Mangat,James R Wasson. Владелец: James R Wasson. Дата публикации: 2005-02-10.

A method of patterning photoresist on a wafer using an attenuated phase shift mask

Номер патента: WO2004079779A2. Автор: Pawitter Mangat,James R. Wasson. Владелец: Freescale Semiconductor, Inc.. Дата публикации: 2004-09-16.

A method of patterning photoresist on a wafer using an attenuated phase shift mask

Номер патента: EP1604247A2. Автор: Pawitter Mangat,James R. Wasson. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2005-12-14.

Forming a Bridging Feature Using Chromeless Phase-Shift Lithography

Номер патента: US20130089752A1. Автор: Sangho Kim,Daniel B. Sullivan. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2013-04-11.

Reflective mask blank, reflective mask, and method for manufacturing semiconductor device

Номер патента: US09864267B2. Автор: Takahiro Onoue,Tsutomu Shoki,Yohei IKEBE. Владелец: Hoya Corp. Дата публикации: 2018-01-09.

Phase-shift mask

Номер патента: WO2003021351A3. Автор: Shahid Butt,Gerhard Kunkel. Владелец: Gerhard Kunkel. Дата публикации: 2003-10-23.

Phase-shift mask

Номер патента: US20040229134A1. Автор: Shahid Butt,Gerhard Kunkel. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2004-11-18.

Phase-shift mask

Номер патента: US7074529B2. Автор: Shahid Butt,Gerhard Kunkel. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2006-07-11.

Phase-shift mask

Номер патента: WO2003021351A2. Автор: Shahid Butt,Gerhard Kunkel. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2003-03-13.

Reflective mask blank, reflective mask and manufacturing method thereof, and semiconductor device manufacturing method

Номер патента: US12111566B2. Автор: Tsutomu Shoki,Yohei IKEBE. Владелец: Hoya Corp. Дата публикации: 2024-10-08.

Method for fabricating phase shift mask comprising the use of a second photoshield layer as a sidewall

Номер патента: US5658695A. Автор: Yong Kyoo Choi. Владелец: Goldstar Electron Co Ltd. Дата публикации: 1997-08-19.

Phase shift mask blank, phase shift mask, and method for manufacturing phase shift mask

Номер патента: US20230333461A1. Автор: Kyoko Kuroki,Kazuaki Matsui,Yosuke Kojima. Владелец: Toppan Photomasks Inc. Дата публикации: 2023-10-19.

Phase shift mask blank, phase shift mask, and method for manufacturing phase shift mask

Номер патента: EP4212956A1. Автор: Kyoko Kuroki,Kazuaki Matsui,Yosuke Kojima. Владелец: Toppan Photomasks Inc. Дата публикации: 2023-07-19.

Phase-shifting mask

Номер патента: US5733686A. Автор: Hideo Shimizu. Владелец: Sony Corp. Дата публикации: 1998-03-31.

Reflective mask blank, reflective mask and manufacturing method thereof, and semiconductor device manufacturing method

Номер патента: US11815806B2. Автор: Tsutomu Shoki,Yohei IKEBE. Владелец: Hoya Corp. Дата публикации: 2023-11-14.

Phase-shift photomask and patterning method

Номер патента: WO2011090579A3. Автор: JIAN Ma,Cheng-Hsin Ma,Bennett Olson,Max Lau,Andrew T. Jamieson. Владелец: Intel Corporation. Дата публикации: 2011-09-15.

Phase-shift photomask and patterning method

Номер патента: WO2011090579A2. Автор: JIAN Ma,Cheng-Hsin Ma,Bennett Olson,Max Lau,Andrew T. Jamieson. Владелец: Intel Corporation. Дата публикации: 2011-07-28.

A method of patterning photoresist on a wafer using an attenuated phase shift mask

Номер патента: TW200425269A. Автор: Pawitter Mangat,James R Wasson. Владелец: Motorola Inc. Дата публикации: 2004-11-16.

A method of patterning photoresist on a wafer using an attenuated phase shift mask

Номер патента: TWI340989B. Автор: Pawitter Mangat,James R Wasson. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2011-04-21.

Phase-shift photomask for patterning high density features

Номер патента: US6780568B1. Автор: John L. Nistler,Stuart E. Brown. Владелец: Advanced Micro Devices Inc. Дата публикации: 2004-08-24.

Phase shift blank exposure mask and its manufacturing method

Номер патента: CN108957941A. Автор: 李钟华,南基守,申澈,梁澈圭,公拮寓,申升协. Владелец: Thought And Technology Corp. Дата публикации: 2018-12-07.

Exposure equipment having auxiliary photo mask and exposure method using the same

Номер патента: US20070148561A1. Автор: Dong-Seok Nam. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-06-28.

Exposure equipment having auxiliary photo mask and exposure method using the same

Номер патента: US7956983B2. Автор: Dong-Seok Nam. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2011-06-07.

Method for fabricating phase shifting mask

Номер патента: US5891596A. Автор: Yong Kyoo Choi. Владелец: LG Semicon Co Ltd. Дата публикации: 1999-04-06.

Manufacturing method of semiconductor device

Номер патента: US12041766B2. Автор: Zhan Ying,Kui Zhang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-16.

Phase shifting lithographic process

Номер патента: US7018788B2. Автор: Benjamin Szu-Min Lin. Владелец: United Microelectronics Corp. Дата публикации: 2006-03-28.

Methods of fabricating semiconductor device

Номер патента: US20180197740A1. Автор: Jong-Kyu Kim,Jongsoon Park,Sang-Kuk Kim,Woohyun LEE,Yil-hyung LEE,Hyeji YOON. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-07-12.

Single-mask dual damascene processes by using phase-shifting mask

Номер патента: US5976968A. Автор: Chang-Ming Dai. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 1999-11-02.

Method of fabricating a mask for a semiconductor device

Номер патента: US20080280213A1. Автор: Sung Hyun Oh. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-11-13.

Mask, manufacturing method thereof and manufacturing method of a thin film transistor

Номер патента: US09741828B2. Автор: Rui Xu. Владелец: Beijing BOE Optoelectronics Technology Co Ltd. Дата публикации: 2017-08-22.

Method of manufacturing EUVL alternating phase-shift mask

Номер патента: US20060240334A1. Автор: Dong-wan Kim,Chan-uk Jeon,Seong-Woon Choi,Hee-Bom Kim,Sung-min Huh. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-10-26.

Method of designing mask layout for image sensor

Номер патента: US20240210838A1. Автор: Sunggon Jung,Yujeong Sin,Daehyun JUNG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-27.

Manufacturing method of semiconductor device

Номер патента: US20220130838A1. Автор: Zhan Ying,Kui Zhang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-04-28.

Producing method of wiring circuit board and wiring circuit board sheet

Номер патента: US20210195757A1. Автор: Takahiro Takano,Masataka Yamaji,Takahiro Minatoya. Владелец: Nitto Denko Corp. Дата публикации: 2021-06-24.

Phase shift mask and method for repairing a defect of a phase shift mask

Номер патента: US5464713A. Автор: Nobuyuki Yoshioka,Junji Miyazaki,Kunihiro Hosono. Владелец: DAI NIPPON PRINTING CO LTD. Дата публикации: 1995-11-07.

Photosensitive insulated resin composition and method of producing insulated film thereof

Номер патента: US09529260B1. Автор: Che Wei Chang,Ming Che Chung. Владелец: Echem Solutions Corp. Дата публикации: 2016-12-27.

Attenuated phase shift mask for multi-patterning

Номер патента: US8691478B2. Автор: Frederick T. Chen. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2014-04-08.

Pattern forming method for forming a pattern

Номер патента: US10317797B2. Автор: Takehiro Seshimo,Kenichi Oyama,Hidetami Yaegashi,Yoshitaka Komuro,Katsumi Ohmori. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-06-11.

Photolithographic method for forming a coating layer

Номер патента: US09633834B2. Автор: Guowei Zhang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-04-25.

Photo mask and lithography method using the same

Номер патента: US20240096628A1. Автор: Chung-Kai Huang,Ching-Yen HSAIO,Bao-Chin LI,Ko-Pin KAO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-21.

Exposure mask and exposure method using the same

Номер патента: US20090297958A1. Автор: Su Woong Lee,Sang Yoon Paik. Владелец: LG Display Co Ltd. Дата публикации: 2009-12-03.

Method of low temperature imprinting process with high pattern transfer yield

Номер патента: US20060183395A1. Автор: Yongan Xu,Hong Low. Владелец: Agency for Science Technology and Research Singapore. Дата публикации: 2006-08-17.

Photo mask and lithography method using the same

Номер патента: US11854808B2. Автор: Chung-Kai Huang,Ching-Yen HSAIO,Bao-Chin LI,Ko-Pin KAO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Method of manufacturing a mask blank and a mask, the mask blank and the mask, and useless film removing method and apparatus

Номер патента: TW578034B. Автор: Mitsuaki Hata. Владелец: Hoya Corp. Дата публикации: 2004-03-01.

Exposure Mask and Exposure Method Using the Mask

Номер патента: KR100480811B1. Автор: 김영근. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2005-04-06.

Method of forming a fluoroplastic topcoat including carbon nanotubes

Номер патента: US20140322447A1. Автор: Yu Qi,Qi Zhang,Nan-Xing Hu. Владелец: Xerox Corp. Дата публикации: 2014-10-30.

Method for producing semiconductor device

Номер патента: US20180149809A1. Автор: Yukihiro Tsuji. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2018-05-31.

Method for producing semiconductor device

Номер патента: US10234628B2. Автор: Yukihiro Tsuji. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2019-03-19.

Method of manufacturing vehicle-mounted camera housing, vehicle-mounted camera housing, and vehicle-mounted camera

Номер патента: US09628680B2. Автор: Naoya Takeda. Владелец: Nidec Elesys Corp. Дата публикации: 2017-04-18.

Color developer and processing method using the same

Номер патента: US5607819A. Автор: Takatoshi Ishikawa. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 1997-03-04.

Method of manufacturing microlens, microlens, optical film, screen for projection, and projector system

Номер патента: US20050088750A1. Автор: Hironori Hasei. Владелец: Seiko Epson Corp. Дата публикации: 2005-04-28.

Phase shift detector process for making and use of same

Номер патента: US20160209275A1. Автор: Kin P. Cheung,Jason Campbell,Jason T. Ryan. Владелец: National Institute of Standards and Technology (NIST). Дата публикации: 2016-07-21.

Recessed portion in a substrate and method of forming the same

Номер патента: US11886015B2. Автор: Huang-Hsien CHANG,Shao Hsuan CHUANG. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2024-01-30.

Recessed portion in a substrate and method of forming the same

Номер патента: US20240168238A1. Автор: Huang-Hsien CHANG,Shao Hsuan CHUANG. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2024-05-23.

Memory cell and method of forming the memory cell

Номер патента: US20230380150A1. Автор: Chia-En HUANG,Meng-Sheng CHANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

Methods of forming integrated circuit well structures

Номер патента: US20190206688A1. Автор: Vladimir Mikhalev,Michael Violette. Владелец: Micron Technology Inc. Дата публикации: 2019-07-04.

Head suspension, load beam, method of manufacturing load beam, and method of processing work

Номер патента: US20090091859A1. Автор: Takashi Horiuchi. Владелец: NHK Spring Co Ltd. Дата публикации: 2009-04-09.

Multi-component device and method of making a multi-component device

Номер патента: US20240239715A1. Автор: Kyle Branigan,Mike Aghajanian. Владелец: II VI Delaware Inc. Дата публикации: 2024-07-18.

Multi-component device and method of making a multi-component device

Номер патента: EP4403536A1. Автор: Kyle Branigan,Mike Aghajanian. Владелец: II VI Delaware Inc. Дата публикации: 2024-07-24.

Production method of gas cell, and gas cell

Номер патента: US9151808B2. Автор: Kimio Nagasaka,Kazumichi Kikuhara. Владелец: Seiko Epson Corp. Дата публикации: 2015-10-06.

Method of manufacturing dichroic filter array

Номер патента: US20070264586A1. Автор: Yi-Tyng Wu,Fu-Kuo Ou. Владелец: United Microdisplay Optronics Corp. Дата публикации: 2007-11-15.

Production method of gas cell, and gas cell

Номер патента: US09684041B2. Автор: Kimio Nagasaka,Kazumichi Kikuhara. Владелец: Seiko Epson Corp. Дата публикации: 2017-06-20.

Interferometer with pixelated phase shift mask

Номер патента: US20200103355A1. Автор: Nigel P. Smith. Владелец: Onto Innovation Inc. Дата публикации: 2020-04-02.

Design method of transformer

Номер патента: US20220164512A1. Автор: Liping Sun,Hongyang Wu,Jianjun Ni. Владелец: Delta Electronics Shanghai Co Ltd. Дата публикации: 2022-05-26.

Semiconductor device and method for fabricating the same

Номер патента: EP4202931A1. Автор: Hui-Lin WANG,Po-Kai Hsu,Chen-Yi Weng,Jing-Yin Jhang,Yu-Ping Wang,Hung-Yueh Chen. Владелец: United Microelectronics Corp. Дата публикации: 2023-06-28.

Method of making a colour filter array

Номер патента: EP2193391A1. Автор: Christopher Bower,John Fyson. Владелец: Eastman Kodak Co. Дата публикации: 2010-06-09.

Antireflective coating for glass applications and method of forming same

Номер патента: CA2903248C. Автор: Min Zou,Corey THOMPSON,Robert A. FLEMING. Владелец: University of Arkansas. Дата публикации: 2023-02-28.

Method of making grating structures having high aspect ratio

Номер патента: EP1747485A1. Автор: Stefano Sardo,Sergio Doneda,Romano Morson. Владелец: Pirelli and C SpA. Дата публикации: 2007-01-31.

Semiconductor memory device and method of operating the semiconductor memory device

Номер патента: US11894066B2. Автор: Soo Yeol CHAI. Владелец: SK hynix Inc. Дата публикации: 2024-02-06.

Sampling phase correcting host controller, semiconductor device and method

Номер патента: US20120049919A1. Автор: Masayoshi Murayama,Noriyo Fujii. Владелец: Toshiba Corp. Дата публикации: 2012-03-01.

Method for producing optical semiconductor device

Номер патента: US8986560B2. Автор: Hideki Yagi,Takamitsu Kitamura. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2015-03-24.

Disk decision method and optical disk drive using the disk decision method

Номер патента: US20090073836A1. Автор: Kwan-ho Chun,Seung-Hoon Kim. Владелец: Toshiba Samsung Storage Technology Korea Corp. Дата публикации: 2009-03-19.

Carbon nanotube compositions and methods of making and using same

Номер патента: US20130306870A1. Автор: John T. Fourkas,Yuhuang Wang,Jarrett Leeds. Владелец: University of Maryland at Baltimore. Дата публикации: 2013-11-21.

Method of machine learning classes of search queries

Номер патента: US09779356B2. Автор: Simon John Driscoll. Владелец: Sky CP Ltd. Дата публикации: 2017-10-03.

Method of providing personal characteristics analysis via network and the system therefor

Номер патента: US20060259428A1. Автор: Shen Tseng,Hsieh Wei. Владелец: VERY-NAME-DOT-COM TECHNOLOGY Co Ltd. Дата публикации: 2006-11-16.

Optical touch system and using method thereof

Номер патента: US09846516B2. Автор: Yu-Yen Chen,Ching-An Cho. Владелец: Wistron Corp. Дата публикации: 2017-12-19.

Graphical processing method for characteristic data and use thereof

Номер патента: US11393142B2. Автор: Kareem Gouda,Haiyang Jackson Li. Владелец: SKF AB. Дата публикации: 2022-07-19.

Method of pushing video, electronic device and storage medium

Номер патента: US20240214637A1. Автор: Yuanyuan Hu,Tiantian HE. Владелец: Beijing Baidu Netcom Science And Technology Co Ltd. Дата публикации: 2024-06-27.

Graphical processing method for characteristic data and use thereof

Номер патента: US20210248794A1. Автор: Kareem Gouda,Haiyang Jackson Li. Владелец: SKF AB. Дата публикации: 2021-08-12.

Host controller, semiconductor device and method for setting sampling phase

Номер патента: US8495409B2. Автор: Masayoshi Murayama. Владелец: Toshiba Corp. Дата публикации: 2013-07-23.

OLED Backboard, Method of Manufacturing the same, Alignment System and Alignment Method thereof

Номер патента: US20160254321A1. Автор: Jinzhong Zhang. Владелец: Ordos Yuansheng Optoelectronics Co Ltd. Дата публикации: 2016-09-01.

OLED backboard, method of manufacturing the same, alignment system and alignment method thereof

Номер патента: US9620567B2. Автор: Jinzhong Zhang. Владелец: Ordos Yuansheng Optoelectronics Co Ltd. Дата публикации: 2017-04-11.

Design method of transformer

Номер патента: EP4002663A1. Автор: Liping Sun,Hongyang Wu,Jianjun Ni. Владелец: Delta Electronics Shanghai Co Ltd. Дата публикации: 2022-05-25.

Complementary optical phase shifting arrangement

Номер патента: EP3740817A1. Автор: Vipulkumar Patel,Sean P. Anderson. Владелец: Cisco Technology Inc. Дата публикации: 2020-11-25.

Methods of preserving a nuclear fuel element

Номер патента: US11295869B2. Автор: Aleksey Rezvoi. Владелец: Battelle Energy Alliance Llc. Дата публикации: 2022-04-05.

Complementary optical phase shifting arrangement

Номер патента: US20190219890A1. Автор: Vipulkumar Patel,Sean P. Anderson. Владелец: Cisco Technology Inc. Дата публикации: 2019-07-18.

Structure for and method of error compensation

Номер патента: US3974364A. Автор: Walter E. Meyer,David W. Sallberg. Владелец: Koehring Co. Дата публикации: 1976-08-10.

Diffuser master and method of manufacture

Номер патента: CA2341189C. Автор: Gajendra D. Savant,Kevin H. Yu,Andrew A. Kostrzewski. Владелец: Physical Optics Corp. Дата публикации: 2007-10-23.

Optical recording medium and method of producing same

Номер патента: AU4562196A. Автор: Toshiyuki Kashiwagi,Motohiro Furuki,Yoshitake Yanagisawa,Shoji Fukuchi. Владелец: Sony Disc Technology Inc. Дата публикации: 1996-09-05.

Ink jet nozzle structure and method of making

Номер патента: CA1059197A. Автор: Guido Galli,Charles Chiou,Karl H. Loeffler,Max R. Lorenz. Владелец: International Business Machines Corp. Дата публикации: 1979-07-24.

Method of fabricating organic memory device

Номер патента: US20090221113A1. Автор: Zing-Way Pei,Chia-Chieh Chang,Wen-Miao Lo. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2009-09-03.

Method of manufacturing bonding structural test block with defects

Номер патента: US11951725B2. Автор: Ting Zhang,Xiangqian Li,Aihua Huang. Владелец: AECC Commercial Aircraft Engine Co Ltd. Дата публикации: 2024-04-09.

Production method of gas cell, and gas cell

Номер патента: US20150377984A1. Автор: Kimio Nagasaka,Kazumichi Kikuhara. Владелец: Seiko Epson Corp. Дата публикации: 2015-12-31.

Method of fabricating organic memory device

Номер патента: US8105914B2. Автор: Zing-Way Pei,Chia-Chieh Chang,Wen-Miao Lo. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2012-01-31.

A method of manufacturing bonding structural test block with defects

Номер патента: US20220219442A1. Автор: Ting Zhang,Xiangqian Li,Aihua Huang. Владелец: AECC Commercial Aircraft Engine Co Ltd. Дата публикации: 2022-07-14.

Mitigation of laser power variation induced phase shift in heat assisted magnetic recording systems

Номер патента: US20170278538A1. Автор: Yaw-Shing Tang. Владелец: Western Digital Technologies Inc. Дата публикации: 2017-09-28.

Mitigation of laser power variation induced phase shift in heat assisted magnetic recording systems

Номер патента: US09934814B2. Автор: Yaw-Shing Tang. Владелец: Western Digital Technologies Inc. Дата публикации: 2018-04-03.

Ophthalmic lens with phase-shift structure and method

Номер патента: AU2021356283A1. Автор: Xin Hong. Владелец: Alcon Inc. Дата публикации: 2023-03-30.

Ophthalmic lens with phase-shift structure and method

Номер патента: EP4226208A1. Автор: Xin Hong. Владелец: Alcon Inc. Дата публикации: 2023-08-16.

Ophthalmic lens with phase-shift structure and method

Номер патента: US20220113557A1. Автор: Xin Hong. Владелец: Alcon Inc. Дата публикации: 2022-04-14.

Method of metabolic restoration

Номер патента: US20190086394A1. Автор: Hunter Michael Alan Carr,Scott Hepford,Carol Ann Wilson,Stanley Tories Lewis, JR.. Владелец: Diabetes Relief LLC. Дата публикации: 2019-03-21.

Method of manufacturing photodiode with waveguide structure and photodiode

Номер патента: US20130001643A1. Автор: Hideki Yagi. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2013-01-03.

Touch panel and method of fabricating same

Номер патента: US20200201486A1. Автор: Xiaoliang Feng. Владелец: Wuhan China Star Optoelectronics Semiconductor Display Technology Co Ltd. Дата публикации: 2020-06-25.

Optical touch system and using method thereof

Номер патента: US20160216843A1. Автор: Yu-Yen Chen,Ching-An Cho. Владелец: Wistron Corp. Дата публикации: 2016-07-28.

PHASE SHIFT DETECTOR PROCESS FOR MAKING AND USE OF SAME

Номер патента: US20160209275A1. Автор: Campbell Jason,CHEUNG KIN P.,RYAN JASON T.. Владелец: . Дата публикации: 2016-07-21.

Machining techniques for retroreflective cube corner article and method of manufacture

Номер патента: US6080340A. Автор: Kenneth L. Smith,Gerald M. Benson. Владелец: 3M Innovative Properties Co. Дата публикации: 2000-06-27.

Method of processing ion beam based on optical microscopy imaging

Номер патента: EP4345440A1. Автор: Ke Xiao,WEI Ji,Jing Lu,Tao Xu,Weixing Li. Владелец: Institute of Biophysics of CAS. Дата публикации: 2024-04-03.

Forming method of thin layer

Номер патента: US11780728B2. Автор: Yasushi Mizumachi,Kazunari Tada,Jinichi Kasuya. Владелец: KONICA MINOLTA INC. Дата публикации: 2023-10-10.

Robot arm system for making ice cream and method of controlling same

Номер патента: US20230364801A1. Автор: Sung Jae Hwang. Владелец: Xyz Inc. Дата публикации: 2023-11-16.

Robot arm system for making ice cream and method of controlling same

Номер патента: WO2022225128A1. Автор: Sung Jae Hwang. Владелец: Lounge’Lab Inc.. Дата публикации: 2022-10-27.

Method of eliminating home-in noise in optical disc drive by using variable step counter

Номер патента: US7453773B2. Автор: Jae-Bum Jang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-11-18.

Nuclear fuel elements and methods of preserving a nuclear fuel

Номер патента: US20200082951A1. Автор: Aleksey Rezvoi. Владелец: Battelle Energy Alliance Llc. Дата публикации: 2020-03-12.

Laser mask and crystallization method using the same and manufacture method of displayer

Номер патента: CN100507714C. Автор: 俞载成. Владелец: LG Display Co Ltd. Дата публикации: 2009-07-01.

A method of measuring blood loss based on the amount of gauze and saline solution, and a measuring instrument using the same

Номер патента: KR20220062440A. Автор: 한정현. Владелец: 한정현. Дата публикации: 2022-05-17.

Laser mask and crystallization method using the same

Номер патента: TWI307441B. Автор: You JaeSung. Владелец: LG Display Co Ltd. Дата публикации: 2009-03-11.

METHOD OF DESIGNING AND FORMING A CHANNEL OF FLOW-TYPE THIN-WALL DRIP IRRIGATION BELT

Номер патента: US20200364384A1. Автор: HOU Peng,Feng Ji,WANG Zhifu,Li Yunkai. Владелец: . Дата публикации: 2020-11-19.

Method of designing and forming a channel of flow-type thin-wall drip irrigation belt

Номер патента: US11449648B2. Автор: Ji Feng,PENG Hou,Yunkai Li,Zhifu WANG. Владелец: CHINA AGRICULTURAL UNIVERSITY. Дата публикации: 2022-09-20.

Method of designing and forming a sheet metal part

Номер патента: CN101574716A. Автор: 谢尔盖·法德勒威奇·高洛瓦申科. Владелец: FORD GLOBAL TECHNOLOGIES LLC. Дата публикации: 2009-11-11.

Method for Forming a Pixel Electrode of a Liquid Crystal Display Device and a LCD Device Using the Same

Номер патента: KR100655273B1. Автор: 김동규. Владелец: 삼성전자주식회사. Дата публикации: 2006-12-08.

Method of placing a tyre on a rim and a tyre placing appartus

Номер патента: WO1997007997A1. Автор: Marcel Cruyff,Robert Diederich Van Klaveren. Владелец: Holland Mechanics B.V.. Дата публикации: 1997-03-06.

Method of mounting a tire on a rim

Номер патента: US7063761B2. Автор: Gurdev Orjela,Claudio Villani,Alessandro Volpi,Guido Riva,Syed Khawja Mowdood. Владелец: Pirelli Pneumatici SpA. Дата публикации: 2006-06-20.

Quick Adjust Mask and Method for Using the Same

Номер патента: US20190351171A1. Автор: Steve Islava. Владелец: Individual. Дата публикации: 2019-11-21.

Method of mounting a tire on a rim

Номер патента: US20030159767A1. Автор: Gurdev Orjela,Claudio Villani,Alessandro Volpi,Guido Riva,Syed Mowdood. Владелец: Pirelli Pneumatici SpA. Дата публикации: 2003-08-28.

Method of forming semiconductor device

Номер патента: US20120064709A1. Автор: Kyung-yub Jeon,Je-woo Han,Jun-ho Yoon,Kyoung-sub Shin. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2012-03-15.

Semiconductor arrangement and method of manufacture

Номер патента: US20240258374A1. Автор: Cheng-Bo Shu,Tsung-Yu Yang,Yun-Chi Wu,Chien Hung Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-01.

Shallow trench isolation (STI) contact structures and methods of forming same

Номер патента: US12068368B2. Автор: Tai-Yuan Wang,Shu-Fang Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Method of Manufacturing a Semiconductor Device

Номер патента: US20130309831A1. Автор: Da YANG,Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-11-21.

Semiconductor device and method of manufacturing the same

Номер патента: US20190311902A1. Автор: Jongchan Shin. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-10-10.

Semiconductor device and method of fabricating the same

Номер патента: US20200144129A1. Автор: Young-hun Kim,Jaeseok Yang,Haewang LEE. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-05-07.

Method for fabricating semiconductor device

Номер патента: US20040048462A1. Автор: Masahiro Joei. Владелец: Individual. Дата публикации: 2004-03-11.

Method of manufacturing semiconductor device

Номер патента: US20130171814A1. Автор: Satoshi Torii. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2013-07-04.

Method for producing semiconductor device and semiconductor device

Номер патента: US09972722B2. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2018-05-15.

Method for producing semiconductor device and semiconductor device

Номер патента: US09502520B2. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2016-11-22.

Methods of forming contact holes using pillar masks and mask bridges

Номер патента: US09875932B2. Автор: Nam-Gun Kim,Chan-Mi Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-01-23.

Method of manufacturing a semiconductor device having a trench capacitor and a logic device

Номер патента: US20240322001A1. Автор: Jong Hyuk OH. Владелец: Sk Keyfoundry Inc. Дата публикации: 2024-09-26.

Method of fabricating semiconductor device

Номер патента: US09698048B2. Автор: Yung-Sung Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-04.

Aerogel fiber preparation method and uses

Номер патента: EP4435157A1. Автор: Xuetong Zhang,Zengwei Liu. Владелец: Suzhou Institute of Nano Tech and Nano Bionics of CAS. Дата публикации: 2024-09-25.

Semiconductor devices and methods of manufacturing the same

Номер патента: US09917174B2. Автор: Dong-Suk Shin,Sung-Uk Jang,Ho-Sung Son,Gi-gwan PARK. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-03-13.

Method of making a FinFET device

Номер патента: US09437497B2. Автор: Ming-Feng Shieh,Hung-Chang Hsieh,Tzung-Hua Lin,Weng-Hung Tseng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-06.

Manufacturing method for semiconductor device having a T-type gate electrode

Номер патента: US20060009032A1. Автор: So Suzuki. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2006-01-12.

Method for manufacturing a semiconductor device

Номер патента: US6680238B2. Автор: Woon-young Song. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-01-20.

Semiconductor device manufacturing method

Номер патента: US20040097074A1. Автор: So Suzuki. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2004-05-20.

Wound dressing comprising a combination of hydrogel and honey, method of preparation and uses thereof

Номер патента: EP4061433A1. Автор: Daniel Lev,Yulia HOLENBERG. Владелец: Sion Biotext Medical Ltd. Дата публикации: 2022-09-28.

Manufacturing method of TFT substrate structure

Номер патента: US09553115B1. Автор: Xiaowen LV. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2017-01-24.

Methods of making bulk metallic glass from powder and foils

Номер патента: US20180080109A1. Автор: Naoto Matsuyuki,Yoshihiko Yokoyama,Theodore A. Waniuk. Владелец: Apple Inc. Дата публикации: 2018-03-22.

Method of forming a junction field effect transistor

Номер патента: US20170062431A1. Автор: Geert Van Der Plas,Geert Hellings,Mirko Scholz. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2017-03-02.

Method of forming a junction field effect transistor

Номер патента: US09847336B2. Автор: Geert Van Der Plas,Geert Hellings,Mirko Scholz. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2017-12-19.

Method of making a local interconnect in an embedded memory

Номер патента: US20020098694A1. Автор: Chien-Li Kuo,Sun-Chieh Chien. Владелец: Individual. Дата публикации: 2002-07-25.

Lyophilized powder containing boron complex and method of forming the same

Номер патента: EP4431112A1. Автор: Chung-Shan Yu,Yu-hou YU. Владелец: Heron Neutron Medical Corp. Дата публикации: 2024-09-18.

Lyophilized powder containing boron complex and method of forming the same

Номер патента: US20240307421A1. Автор: Chung-Shan Yu,Yu-hou YU. Владелец: Heron Neutron Medical Corp. Дата публикации: 2024-09-19.

Semiconductor arrangement and method of manufacture

Номер патента: US11894425B2. Автор: Cheng-Bo Shu,Tsung-Yu Yang,Yun-Chi Wu,Chien Hung Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-06.

Semiconductor arrangement and method of manufacture

Номер патента: US20210066456A1. Автор: Cheng-Bo Shu,Tsung-Yu Yang,Yun-Chi Wu,Chien Hung Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-03-04.

Method of forming interconnect structures

Номер патента: WO2024040200A1. Автор: Jian Zhou,Vinod Purayath,Kenta Ohama. Владелец: Avient Corporation. Дата публикации: 2024-02-22.

Method of manufacturing a power MOS device

Номер патента: EP1659637A3. Автор: Giuseppe Ferla,Giuseppe Arena,Marco Camalleri. Владелец: SGS Thomson Microelectronics SRL. Дата публикации: 2006-07-19.

Methods of forming electronic devices using materials removable at different temperatures

Номер патента: US12069856B2. Автор: Kunal Shrotri,Chandra S. Tiwari. Владелец: Micron Technology Inc. Дата публикации: 2024-08-20.

Semiconductor device and forming method thereof

Номер патента: US20230275143A1. Автор: Cheng-Lung Hung,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-08-31.

Semiconductor device and forming method thereof

Номер патента: US20220384267A1. Автор: Cheng-Lung Hung,Hsin-Yi Lee,Chi-On CHUI,Kuan-Yu Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-12-01.

Semiconductor device and forming method thereof

Номер патента: US12002714B2. Автор: Cheng-Lung Hung,Hsin-Yi Lee,Chi-On CHUI,Kuan-Yu Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-04.

Method of forming a solar cell absorber layer

Номер патента: WO2010013056A2. Автор: Ian Forbes. Владелец: UNIVERSITY OF NORTHUMBRIA AT NEWCASTLE. Дата публикации: 2010-02-04.

Method of forming a solar cell absorber layer

Номер патента: WO2010013056A3. Автор: Ian Forbes. Владелец: UNIVERSITY OF NORTHUMBRIA AT NEWCASTLE. Дата публикации: 2014-09-25.

High-load agricultural formulations and methods of making same

Номер патента: AU2023258006A1. Автор: Duy Minh Le,Philip M. Mathew. Владелец: BASF Corp. Дата публикации: 2024-10-31.

Method for manufacturing a semiconductor device

Номер патента: US20240373621A1. Автор: Ilyoung Yoon,Sangjun Park,Kijong Park,Yongjin Lee,Seungmin SHIN,Younggeun SONG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-11-07.

Method of preparing a treated article and treated article formed therefrom

Номер патента: US09499943B2. Автор: Michael J. Sanchez,James L. Scott. Владелец: AGC Chemicals Americas Inc. Дата публикации: 2016-11-22.

Method for fabricating semiconductor device

Номер патента: US6777333B2. Автор: Masahiro Joei. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2004-08-17.

Method for forming well of semiconductor device

Номер патента: US5759884A. Автор: Kang-Sik Youn. Владелец: LG Semicon Co Ltd. Дата публикации: 1998-06-02.

Method of fabricating semiconductor device

Номер патента: US20120094497A1. Автор: Kensuke Taniguchi. Владелец: Renesas Electronics Corp. Дата публикации: 2012-04-19.

Method of fabricating semiconductor device

Номер патента: US20120276709A1. Автор: Kensuke Taniguchi. Владелец: Renesas Electronics Corp. Дата публикации: 2012-11-01.

Variable stator vane and method of fabricating variable stator vane

Номер патента: US20210254493A1. Автор: Christopher D. Jones,Robert C. Backhouse,Adam J. BISHOP. Владелец: Rolls Royce PLC. Дата публикации: 2021-08-19.

Semiconductor device manufacturing method

Номер патента: US20070082452A1. Автор: Izuo Ilda. Владелец: Sanyo Electric Co Ltd. Дата публикации: 2007-04-12.

Method for producing semiconductor device and semiconductor device

Номер патента: US20150325665A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2015-11-12.

Method of fabricating semiconductor device

Номер патента: US10068767B2. Автор: Hyun-Woo Lee,Seung-Heon Lee,Young-Sik Seo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-09-04.

Method for producing semiconductor device and semiconductor device

Номер патента: US20160380116A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2016-12-29.

Method for producing semiconductor device and semiconductor device

Номер патента: US20160380099A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2016-12-29.

Forming a retrograde well in a transistor to enhance performance of the transistor

Номер патента: US20050224874A1. Автор: Haowen Bu,Srinivasan Chakravarthi,Robert Bowen,Pr Chrdambaram. Владелец: Individual. Дата публикации: 2005-10-13.

Method of manufacturing electronic device

Номер патента: US20240074037A1. Автор: Ying-Jen Chen,Chih-Yung Hsieh,Yung-Chi Wang. Владелец: Innolux Corp. Дата публикации: 2024-02-29.

Methods of making composite of graphene oxide and nanostructures

Номер патента: US09917255B2. Автор: Jaemyung Kim,Jiaxing Huang,Vincent C. Tung. Владелец: Northwestern University. Дата публикации: 2018-03-13.

Method of forming controllably conductive oxide

Номер патента: US09461247B2. Автор: Seungmoo Choi,Matthew Buynoski,Christie Marrian,Dongxiang Liao,Chakravarthy Gopalan. Владелец: Cypress Semiconductor Corp. Дата публикации: 2016-10-04.

Method of Forming Backside Power Rails

Номер патента: US20230369418A1. Автор: Mei-Yun Wang,Po-Yu Huang,Fu-Kai Yang,Chen-Ming Lee,I-Wen Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-16.

Manufacturing method of semiconductor device

Номер патента: US20230411500A1. Автор: Shunpei Yamazaki,Toshiya Endo,Ryota Hodo. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2023-12-21.

Semiconductor device and method of fabricating semiconductor device using oxidation

Номер патента: US7365362B2. Автор: Kiyotaka Miyano. Владелец: Toshiba Corp. Дата публикации: 2008-04-29.

A cup, a blank for a cup and a method of forming a cup

Номер патента: GB2624490A. Автор: Drouet Cyril. Владелец: Choose Planet A Ltd. Дата публикации: 2024-05-22.

Method of making low-sugar caramels

Номер патента: EP4395552A1. Автор: Supapong Siris,Yvette Thibault PASCUA CUBIDES,Yumin You,James Edward BENNETT, Jr.,Chelsea Denise Hager. Владелец: Hershey Co. Дата публикации: 2024-07-10.

Semiconductor device and manufacturing method therefor

Номер патента: US20030057493A1. Автор: Atsuo Hirabayashi. Владелец: Fuji Electric Co Ltd. Дата публикации: 2003-03-27.

Semiconductor device and method of manufacturing the same

Номер патента: SG10201901761VA. Автор: Shin Jongchan. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-11-28.

Method of depositing an atomic layer

Номер патента: US09556520B2. Автор: In-Kyo Kim,Myung-Soo Huh,Suk-Won Jung,Choel-Min JANG,Sung-Hun KEY. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-01-31.

Method of manufacturing semiconductor device

Номер патента: US9224745B2. Автор: Satoshi Torii. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2015-12-29.

Paste for preparing mask patterns and manufacturing method of solar cell using the same

Номер патента: US09660128B2. Автор: Min-Seo Kim. Владелец: LG Chem Ltd. Дата публикации: 2017-05-23.

Method of manufacturing a semiconductor device

Номер патента: EP1579488B1. Автор: Marcus J. H. Van Dal,Jacob C. Hooker,Vincent C. Venezia,Charles J. J. Dachs. Владелец: NXP BV. Дата публикации: 2011-12-07.

Aromatization catalyst and methods of making and using same

Номер патента: EP3790658A1. Автор: Gabriela ALVEZ-MANOLI,Ryan SNELL. Владелец: Chevron Phillips Chemical Co LP. Дата публикации: 2021-03-17.

Contacts and method of manufacturing the same

Номер патента: US20190051654A1. Автор: Feng-Yi Chang,Fu-Che Lee. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2019-02-14.

Contact features of semiconductor devices

Номер патента: US11935786B2. Автор: Chien-Hung Chen,Tsui-Ling Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-19.

Contact features of semiconductor devices

Номер патента: US20240213096A1. Автор: Chien-Hung Chen,Tsui-Ling Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-27.

Method of producing dark heater

Номер патента: US4844942A. Автор: Terutoshi Ichihara,Sachio Koizumi. Владелец: Hitachi Nisshin Electronics Co Ltd. Дата публикации: 1989-07-04.

Body contact structures and methods of manufacturing the same

Номер патента: US20110284932A1. Автор: Arvind Kumar,Shreesh Narasimha,Anthony Chou. Владелец: International Business Machines Corp. Дата публикации: 2011-11-24.

Assembled passenger conveying system, method of assembling the same, and modularized device

Номер патента: EP4417563A1. Автор: Jiangxing Huang,Zhengguang ZHOU,Minglei FENG. Владелец: Otis Elevator Co. Дата публикации: 2024-08-21.

Method of manufacturing substrate for chip packages and method of manufacturing chip package

Номер патента: US09818714B2. Автор: Tea Hyuk Kang,Hong Il Kim. Владелец: LG Innotek Co Ltd. Дата публикации: 2017-11-14.

Combined plate for fire doors and method of its manufacturing

Номер патента: RU2373062C2. Автор: Харри МАЙЕР. Владелец: Басф Акциенгезельшафт. Дата публикации: 2009-11-20.

Method for manufacturing semiconductor device

Номер патента: US8003540B2. Автор: Cheol Kyu Bok. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-08-23.

Method for fabricating semiconductor device with recess gate

Номер патента: US20070105388A1. Автор: Ky-Hyun Han,Jung-Seock Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-05-10.

Method of manufacturing lead frame and semiconductor package using the lead frame

Номер патента: US20130239409A1. Автор: Wang-Lai Yang. Владелец: Ambit Microsystems Zhongshan Co Ltd. Дата публикации: 2013-09-19.

Method of making an ethylene-propylene copolymer

Номер патента: US20240228677A9. Автор: Mamdouh A. Al-Harthi,Farrukh SHEHZAD,Sung-Gil Hong,Hassam Mazhar. Владелец: S Oil Corp. Дата публикации: 2024-07-11.

Method of casting heterogeneous materials and a casting product manufactured thereby

Номер патента: US20200316681A1. Автор: Jinkwan Kim. Владелец: Kia Motors Corp. Дата публикации: 2020-10-08.

Methods of making gold-titanium alloys from sintered powders

Номер патента: US20240261854A1. Автор: Hyrum Lefler,Anastasios ARIMA. Владелец: Iperionx Ltd. Дата публикации: 2024-08-08.

Method of making an ethylene-propylene copolymer

Номер патента: US12071502B2. Автор: Mamdouh A. Al-Harthi,Farrukh SHEHZAD,Sung-Gil Hong,Hassam Mazhar. Владелец: S Oil Corp. Дата публикации: 2024-08-27.

Method of fabricating a dram cell with a plurality of vertical extensions

Номер патента: US5744390A. Автор: Fang-Ching Chao. Владелец: United Microelectronics Corp. Дата публикации: 1998-04-28.

Method for manufacturing a semiconductor device

Номер патента: US20030113978A1. Автор: Woon-young Song. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-06-19.

Method of fabricating semiconductor device and semiconductor device fabricated thereby

Номер патента: US20060226448A1. Автор: Je-min Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-10-12.

Method of fabricating semiconductor device and semiconductor device fabricated thereby

Номер патента: US20080157213A1. Автор: Je-min Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-07-03.

A rotor and a method of manufacture of a rotor

Номер патента: GB2625134A. Автор: Devara Prasad,Bhartia Veral,Ananthanarayan Karthik,Negi Ayush,Prasad Praveen. Владелец: Jaguar Land Rover Ltd. Дата публикации: 2024-06-12.

A catalytically active product, a method of producing such a product and a reactor comprising said product

Номер патента: US20240198323A1. Автор: Fredrik Silversand. Владелец: Catator Ab. Дата публикации: 2024-06-20.

Fire extinguishing composition and method of making

Номер патента: US20240269500A1. Автор: Theresa A. Hugener. Владелец: Kidde Fenwal LLC. Дата публикации: 2024-08-15.

Method of making and processing catholyte and anolyte for solid state batteries

Номер патента: US20230198016A1. Автор: Zhijun Gu,Rajesh Bashyam,Sai Nitin Yellamilli. Владелец: Hyzon Motors Usa Inc. Дата публикации: 2023-06-22.

A catalytically active product, a method of producing such a product and a reactor comprising said product

Номер патента: EP4355486A1. Автор: Fredrik Silversand. Владелец: Catator Ab. Дата публикации: 2024-04-24.

Method of manufacturing wheel disc

Номер патента: US09630279B2. Автор: Badrinarayanan Ramachandra Rao,Sundararajan Thiagarajan,Rajaram Balaseshan. Владелец: Wheels India Ltd. Дата публикации: 2017-04-25.

Method of manufacturing fabric with cool effect

Номер патента: US09469926B2. Автор: Jen-Huan Lu. Владелец: Grand Textile Co Ltd. Дата публикации: 2016-10-18.

Golf ball and method of coating a cover of the golf ball

Номер патента: US09446290B2. Автор: Thomas J. Kennedy, III. Владелец: Nike Inc. Дата публикации: 2016-09-20.

Semiconductor Device and Method

Номер патента: US20240021620A1. Автор: Yu-Rung Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-18.

Semiconductor device and method

Номер патента: US11887985B2. Автор: Yu-Rung Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-30.

Method for manufacturing semiconductor device

Номер патента: US20230307236A1. Автор: Tatsuo Shimizu. Владелец: Toshiba Corp. Дата публикации: 2023-09-28.

Method of manufacturing organic light emitting diode display

Номер патента: US8530341B2. Автор: Moo-Jin Kim,Kwang-Hae Kim. Владелец: Samsung Display Co Ltd. Дата публикации: 2013-09-10.

Method of manufacturing organic light emitting diode display

Номер патента: US20110117685A1. Автор: Moon-Jin Kim,Kwang-Hae Kim. Владелец: Samsung Mobile Display Co Ltd. Дата публикации: 2011-05-19.

Method of manufacturing capacitor array

Номер патента: US12034034B2. Автор: Yu-Shan Wu,Zhi-Xuan Shen. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-09.

Method of making solid oxide electrolyte membrane

Номер патента: EP4333147A1. Автор: Cheng-Ting Lin,Hong-zheng LAI,Tseng-Lung Chang,Jing-Kai Kao. Владелец: Solidedge Solution Inc. Дата публикации: 2024-03-06.

Method of making solid oxide electrolyte membrane

Номер патента: US20240072299A1. Автор: Cheng-Ting Lin,Hong-zheng LAI,Tseng-Lung Chang,Jing-Kai Kao. Владелец: Solidedge Solution Inc. Дата публикации: 2024-02-29.

Method of manufacturing capacitor array

Номер патента: US20230238424A1. Автор: Yu-Shan Wu,Zhi-Xuan Shen. Владелец: Nanya Technology Corp. Дата публикации: 2023-07-27.

Method of manufactuing an electrical connector

Номер патента: US8701284B2. Автор: Matthew Richard Mcalonis,Jeffrey Joe Brown. Владелец: Tyco Electronics Corp. Дата публикации: 2014-04-22.

A method of producing a road making material and to a road made therefrom

Номер патента: EP3408452A1. Автор: Toby Mccartney. Владелец: Macrebur Ltd. Дата публикации: 2018-12-05.

A method of producing a road making material and to a road made therefrom

Номер патента: WO2017129962A1. Автор: Toby Mccartney. Владелец: Toby Mccartney. Дата публикации: 2017-08-03.

Improved methods of tire retreading using preassembled treads

Номер патента: EP2981409A1. Автор: Metodi L. Ikonomov,E. Bruce Colby,Michael Widmyer. Владелец: Michelin Recherche et Technique SA Switzerland. Дата публикации: 2016-02-10.

Method of recovering active metal of lithium secndary battery

Номер патента: EP4434940A1. Автор: Se Eun PARK,Hyeon Hui Lee,Su Min Yoo. Владелец: SK Innovation Co Ltd. Дата публикации: 2024-09-25.

Memory devices and method of fabricating same

Номер патента: US09978603B2. Автор: Chia-Shiung Tsai,Shih-Chang Liu,Chang-Ming Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-22.

Solar modules and methods of forming solar modules

Номер патента: EP3629384A1. Автор: Lisong Zhou,Huaming Zhou. Владелец: Flex Ltd. Дата публикации: 2020-04-01.

Method for fabricating semiconductor device

Номер патента: US09941161B2. Автор: Yikun Chen,Duan Quan Liao,Ching Hwa Tey. Владелец: United Microelectronics Corp. Дата публикации: 2018-04-10.

Method of manufacturing a semiconductor integrated circuit device

Номер патента: CA2014399A1. Автор: Goro Sasaki. Владелец: Goro Sasaki. Дата публикации: 1990-10-12.

Semiconductor device and method

Номер патента: US11837515B2. Автор: Yu-Yun Peng,Yin-Jie Pan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-05.

Semiconductor device and manufacturing method thereof

Номер патента: US20130032887A1. Автор: Yonggen He,Jingang Wu,HaiBiao YAO. Владелец: Semiconductor Manufacturing International Beijing Corp. Дата публикации: 2013-02-07.

Method for manufacturing a semiconductor device

Номер патента: US8513075B2. Автор: Yonggen He,Jingang Wu,HaiBiao YAO. Владелец: Semiconductor Manufacturing International Corp. Дата публикации: 2013-08-20.

Semiconductor device and method

Номер патента: US20230386947A1. Автор: Yu-Yun Peng,Yin-Jie Pan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-30.

Manufacturing method of tft substrate and tft substrate

Номер патента: US20210336040A1. Автор: Xianwang WEI. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2021-10-28.

Method for forming a semiconductor device and a semiconductor device

Номер патента: US20220122895A1. Автор: Hans Mertens,Boon Teik CHAN. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2022-04-21.

Grinding paint of a decoration bulb and method of painting the decoration bulb

Номер патента: US20040126498A1. Автор: Cheng-Gui Lu. Владелец: Individual. Дата публикации: 2004-07-01.

Rotary steerable reamer lock and methods of use

Номер патента: US20190153785A1. Автор: Alben D'silva,Geoffrey Andrew Samuel. Владелец: Halliburton Energy Services Inc. Дата публикации: 2019-05-23.

Waterborne aluminum formulation and method of preparing the same

Номер патента: CA3237534A1. Автор: WEI Wei,Liming Song,Zhaozhe ZHANG. Владелец: PPG Coatings Tianjin Co Ltd. Дата публикации: 2023-06-01.

A method of printing onto a balloon blank

Номер патента: WO2012085510A3. Автор: Aamer Shams,Kazveen AAMER. Владелец: Aamer Shams. Дата публикации: 2012-09-27.

Method of thin strip casting

Номер патента: US20190210098A1. Автор: Mark Schlichting,Harold Bradley Rees,James M. Thomas,Volus MCKENNA,Alan DENO,Philippe Maurice FETTIG,Nick COREA,Michael Ponder. Владелец: Nucor Corp. Дата публикации: 2019-07-11.

Method of making high-voltage bipolar/CMOS/DMOS (BCD) devices

Номер патента: US20030068844A1. Автор: Luc Ouellet,Stephane Martel,Sebastien Michel,Yan Riopel. Владелец: Dalsa Semiconductor Inc. Дата публикации: 2003-04-10.

Method of providing polysilicon spacer for implantation

Номер патента: US20030008482A1. Автор: PR Chidambaram. Владелец: Individual. Дата публикации: 2003-01-09.

A method of printing onto a balloon blank

Номер патента: EP2655075A2. Автор: Aamer Shams,Kazveen AAMER. Владелец: Individual. Дата публикации: 2013-10-30.

A method of printing onto a balloon blank

Номер патента: GB201116672D0. Автор: . Владелец: SHAMS KAZVEEN. Дата публикации: 2011-11-09.

Waterborne aluminum formulation and method of preparing the same

Номер патента: EP4437052A1. Автор: WEI Wei,Liming Song,Zhaozhe ZHANG. Владелец: PPG Coatings Tianjin Co Ltd. Дата публикации: 2024-10-02.

Method of cleaning liquid discharge head

Номер патента: US09527290B2. Автор: Yuzuru Ishida,Akio Goto,Yoshinori Misumi,Maki Kato,Takahiro Matsui,Norihiro Yoshinari. Владелец: Canon Inc. Дата публикации: 2016-12-27.

Method for forming metal wire of semiconductor device

Номер патента: US5780356A. Автор: Jeong Tae Kim. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1998-07-14.

Method of processing layered structures

Номер патента: GB2582177A. Автор: Foley Thomas,CLARK Owain,TURNER Louise,RISBRIDGER Thomas,AGHDAEI Sara,Elliott Hayden Brian. Владелец: Ilika Technologies Ltd. Дата публикации: 2020-09-16.

A method of forming an aerogel material

Номер патента: WO2023200402A3. Автор: Mahesh Sachithanadam,Mark Christopher FEARNS,Elmira SOGHRATI,Kok Jan Edmund TOH. Владелец: Krosslinker Pte Ltd. Дата публикации: 2023-11-23.

Solid carbon products comprising compressed carbon nanotubes in a container and methods of forming same

Номер патента: US11951428B2. Автор: Dallas B. Noyes. Владелец: Seerstone LLC. Дата публикации: 2024-04-09.

Manufacturing method of organic thin film transistor

Номер патента: US20180337356A1. Автор: Wei Wang,BO Liang. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-11-22.

Solid Carbon Products Comprising Compressed Carbon Nanotubes in a Container and Methods of Forming Same

Номер патента: US20230372851A1. Автор: Dallas B. Noyes. Владелец: Seerstone LLC. Дата публикации: 2023-11-23.

High-load agricultural formulations and methods of making same

Номер патента: WO2023205602A1. Автор: Philip Mathew,Duy LE. Владелец: BASF CORPORATION. Дата публикации: 2023-10-26.

Semiconductor device with stressors and methods thereof

Номер патента: WO2008147608A1. Автор: Paul A. Grudowski,Veeraraghavan Dhandapani,Stefan Zollner. Владелец: Freescale Semiconductor Inc.. Дата публикации: 2008-12-04.

Methods of forming electronic devices using materials removable at different temperatures

Номер патента: US20210375898A1. Автор: Kunal Shrotri,Chandra S. Tiwari. Владелец: Micron Technology Inc. Дата публикации: 2021-12-02.

Methods of forming electronic devices using materials removable at different temperatures

Номер патента: US20230061820A1. Автор: Kunal Shrotri,Chandra S. Tiwari. Владелец: Micron Technology Inc. Дата публикации: 2023-03-02.

A method of forming an aerogel material

Номер патента: WO2023200402A2. Автор: Mahesh Sachithanadam,Mark Christopher FEARNS,Elmira SOGHRATI,Kok Jan Edmund TOH. Владелец: Krosslinker Pte Ltd. Дата публикации: 2023-10-19.

Ultrasmall superparamagnetic iron oxide nanoparticles and uses thereof

Номер патента: EP2396039A2. Автор: Malavosklish Bikram. Владелец: University of Houston. Дата публикации: 2011-12-21.

Recessed Lighting Fixture and Method of Installing LED Lamps Therein

Номер патента: US20190063731A1. Автор: Sang Pil Moon,Dai Sung Moon. Владелец: Individual. Дата публикации: 2019-02-28.

Liquid ejection head and method of manufacturing liquid ejection head

Номер патента: US12115787B2. Автор: Shogo Kawamura,Takuya Iwano. Владелец: Canon Inc. Дата публикации: 2024-10-15.

Variable capacity multiple-leg packed separation column system and method of operation

Номер патента: US09901868B2. Автор: Samusideen Adewale Salu,Talal A. ZAHRANI. Владелец: Saudi Arabian Oil Co. Дата публикации: 2018-02-27.

Method of extracting molecules formed during anaerobic fermentation from fermentation biomass

Номер патента: RU2692618C2. Автор: Режи НУЕЛЬ,Жереми ПЕССЬЕ. Владелец: Афирен. Дата публикации: 2019-06-25.

Integral waistband for a disposable absorbent article, an article and a method of forming said article

Номер патента: WO2008068646A1. Автор: Julia Hartono. Владелец: KIMBERLY-CLARK WORLDWIDE, INC.. Дата публикации: 2008-06-12.

Endless track construction and method of forming same

Номер патента: CA1134732A. Автор: Ronald M. Frank. Владелец: Individual. Дата публикации: 1982-11-02.

Method of self-aligned double patterning

Номер патента: US10734284B2. Автор: Chieh-Te Chen,Feng-Yi Chang,Fu-Che Lee,Ying-Chih Lin,Gang-Yi Lin,Yi-Ching Chang. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2020-08-04.

Method of forming a silicon carbide article - III

Номер патента: US4044110A. Автор: Hiroshi Sato,Jack E. Noakes,Leslie L. Terner. Владелец: Ford Motor Co. Дата публикации: 1977-08-23.

Manufacturing method for semiconductor device

Номер патента: US4321284A. Автор: Hisao Yakushiji. Владелец: VLSI Technology Research Association. Дата публикации: 1982-03-23.

Process of fabricating a semiconductor device having cobalt niobate gate electrode structure

Номер патента: US5930632A. Автор: Mark I. Gardner,Mark C. Gilmer. Владелец: Advanced Micro Devices Inc. Дата публикации: 1999-07-27.

Method of forming material within a recess

Номер патента: US20240060174A1. Автор: Makoto Igarashi,Shinya Yoshimoto,Jhoelle Roche Guhit,Ling Chi Hwang. Владелец: ASM IP Holding BV. Дата публикации: 2024-02-22.

Flexible ceramic coatings for metals and methods of making same

Номер патента: US20230250012A1. Автор: Cressie E. Holcombe, Jr.,William Brent Webb. Владелец: Zyp Coatings Inc. Дата публикации: 2023-08-10.

Method for fabricating semiconductor device

Номер патента: US11810786B2. Автор: Po-Wen Su,Cheng-Han LU. Владелец: United Microelectronics Corp. Дата публикации: 2023-11-07.

Method of analyzing the spectrum of a radio communication system transmission signal

Номер патента: US20010054889A1. Автор: Olivier Danet. Владелец: Alcatel SA. Дата публикации: 2001-12-27.

Method of forming a nanoporous dielectric film

Номер патента: EP1758953A1. Автор: Craig H. Silvis,John W. Lyons,Jerry L. Hahnfeld,Jason Q. Niu,James H. Sedon. Владелец: Dow Global Technologies LLC. Дата публикации: 2007-03-07.

Method and apparatus for producing solid foam, product and use

Номер патента: AU2021356186A9. Автор: Juha Koivisto,Mikko Alava,Antti PUISTO. Владелец: Woamy Oy. Дата публикации: 2024-08-01.

Coated magnetic material and method of producing coated magnetic material

Номер патента: US20240344203A1. Автор: Masahiro Abe,Satoshi Abe,Jun Akamatsu,Nobuyoshi Imaoka,Ryoya Okazaki. Владелец: Nichia Corp. Дата публикации: 2024-10-17.

Coated magnetic material and method of producing coated magnetic material

Номер патента: EP4447075A1. Автор: Masahiro Abe,Satoshi Abe,Jun Akamatsu,Nobuyoshi Imaoka,Ryoya Okazaki. Владелец: Nichia Corp. Дата публикации: 2024-10-16.

Semiconductor device having multiple thickness oxides

Номер патента: US09972540B2. Автор: Fei Liu,Kangguo Cheng,Qing Cao,Zhengwen Li. Владелец: International Business Machines Corp. Дата публикации: 2018-05-15.

Method of production of thermally bonded fiber

Номер патента: RU2139189C1. Автор: Дж.Эвайн Эрик. Владелец: Хаймонт Инкорпорейтед. Дата публикации: 1999-10-10.

Method of forming hollow body with flange

Номер патента: CA2693252C. Автор: Tom L. Bestard,Martin L. Bliss,Ghafoor Khodayari. Владелец: Vari Form Inc Canada. Дата публикации: 2017-05-02.

Phase shift apparatus

Номер патента: MY116560A. Автор: Paul Dean Filliman,Mark Francis Rumreich. Владелец: Thomson Consumer Electronics. Дата публикации: 2004-02-28.

Method of forming hollow body with flange

Номер патента: US9302307B2. Автор: Tom L. Bestard,Martin L. Bliss,Ghafoor Khodayari. Владелец: Vari Form Inc Canada. Дата публикации: 2016-04-05.

Manufacturing method of cage and the cage manufactured by the method

Номер патента: US11788162B2. Автор: Hyo Jin Kim,Jeong Lyul Park,Won Kew BAN. Владелец: Seohan Industry Co Ltd. Дата публикации: 2023-10-17.

Semiconductor device and method for manufacturing the same

Номер патента: US20170200703A1. Автор: Takafumi Fukushima,Mitsumasa Koyanagi,Kang-Wook Lee,Tetsu Tanaka. Владелец: Tohoku University NUC. Дата публикации: 2017-07-13.

Method for forming a semiconductor device

Номер патента: US20090124059A1. Автор: Chung-Lin Huang,Ching-Nan Hsiao,Hung-Ming Tsai. Владелец: Nanya Technology Corp. Дата публикации: 2009-05-14.

Method of manufacturing element substrate

Номер патента: US20160059562A1. Автор: Shinichiro Watanabe,Toshifumi Yoshioka,Toru Nakakubo. Владелец: Canon Inc. Дата публикации: 2016-03-03.

Apparatus and method for forming a cup with a reformed bottom

Номер патента: EP3212347A2. Автор: Jason M. Kaanta,Joseph D. Bulso,William J. Simmons,Richard L. LORD,Christine N. BUCKLER. Владелец: Ball Corp. Дата публикации: 2017-09-06.

Methods of fabricating an array capacitor

Номер патента: US20090288279A1. Автор: Sriram Dattaguru. Владелец: Intel Corp. Дата публикации: 2009-11-26.

Methods of fabricating an array capacitor

Номер патента: US8826503B2. Автор: Sriram Dattaguru. Владелец: Intel Corp. Дата публикации: 2014-09-09.

Methods of fabricating an array capacitor

Номер патента: US20120117771A1. Автор: Sriram Dattaguru. Владелец: Individual. Дата публикации: 2012-05-17.

Method of cleaning of gases and sulphur-bearing gas extraction

Номер патента: RU2524714C2. Автор: Йоханнес Менцель. Владелец: Тиссенкрупп Уде Гмбх. Дата публикации: 2014-08-10.

Amorphous devices and interconnect system and method of fabrication

Номер патента: US4471376A. Автор: William R. Morcom,Glenn M. Friedman. Владелец: HARRIS CORP. Дата публикации: 1984-09-11.

Method of fabricating self-aligned contact

Номер патента: US6107175A. Автор: Han Lin,Sun-Chieh Chien,Jengping Lin. Владелец: United Microelectronics Corp. Дата публикации: 2000-08-22.

Channel structures for semiconductor devices

Номер патента: US11942533B2. Автор: Ding-Kang SHIH,Pang-Yen Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-26.

Channel structures for semiconductor devices

Номер патента: US20230061755A1. Автор: Ding-Kang SHIH,Pang-Yen Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-03-02.

Channel Structures For Semiconductor Devices

Номер патента: US20230387262A1. Автор: Ding-Kang SHIH,Pang-Yen Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-30.

Method of making low-sugar caramels

Номер патента: AU2022338874A1. Автор: Supapong Siris,Yvette Thibault PASCUA CUBIDES,Yumin You,James Edward BENNETT, Jr.,Chelsea Denise Hager. Владелец: Hershey Co. Дата публикации: 2024-03-14.

Method of making low-sugar caramels

Номер патента: CA3227943A1. Автор: Supapong Siris,Yvette Thibault PASCUA CUBIDES,Yumin You,Chelsea Denise Hager,James Edward Bennett Jr.. Владелец: Hershey Co. Дата публикации: 2023-03-09.

Semiconductor devices comprising edge doped graphene and methods of making the same

Номер патента: WO2015005947A8. Автор: Kevin BRENNER,Romeil SANDHU. Владелец: Harper Laboratories, LLC. Дата публикации: 2015-02-26.

Safe case for protective masks and filters and method of its use

Номер патента: WO2023080850A2. Автор: Tea PIRNAT. Владелец: Tpredrzno D.O.O.. Дата публикации: 2023-05-11.

Methods of therapy comprising simultaneous administration of methotrexate and folic acid

Номер патента: WO2023144118A1. Автор: Rudiger Muller,Hubert MAROTTE,Elisa DALIX. Владелец: Chu De St Etienne. Дата публикации: 2023-08-03.

Composition Comprising Cannabis Extracts and Method of Manufacturing Same

Номер патента: US20220313766A1. Автор: Eric GAUDRAULT. Владелец: Mec11s Inc. Дата публикации: 2022-10-06.

Elastomeric intensifiers for part manufacture and methods of making elastomeric intensifiers

Номер патента: US20240343003A1. Автор: Abigail McGuckin,Michael Joseph Mikuszewski. Владелец: Boeing Co. Дата публикации: 2024-10-17.

Method of handling call in handover in wireless communication system and wireless communication device using the same

Номер патента: US09706445B2. Автор: Chih-Hsiang Wu. Владелец: HTC Corp. Дата публикации: 2017-07-11.

Emboli protection devices and related methods of use

Номер патента: US20020165574A1. Автор: Peter Keith,Dennis Wahr,Thomas Ressemann,Steven Hackett,Scott Olson,Andrew Dusbabek. Владелец: Velocimed LLC. Дата публикации: 2002-11-07.

Continuous strand weaving pentagon pin looms and methods of use

Номер патента: US11946174B2. Автор: Gabriele Van Tassell. Владелец: Bluebonnet Crafters LLC. Дата публикации: 2024-04-02.

Semiconductor device interconnection systems and methods

Номер патента: US11916039B2. Автор: Richard E. Bornfreund,Edward K. Huang. Владелец: Teledyne Flir Commercial Systems Inc. Дата публикации: 2024-02-27.

Method for forming etching mask and method for manufacturing semiconductor device

Номер патента: US11443947B2. Автор: Hironobu Sato. Владелец: Kioxia Corp. Дата публикации: 2022-09-13.

Method and system for forming a dental prosthesis

Номер патента: WO2010056603A1. Автор: Darnell Kaigler, Sr.. Владелец: Innovative Health Technologies, Llc. Дата публикации: 2010-05-20.

Method and system for forming a dental prosthesis

Номер патента: EP2349059A1. Автор: Darnell Kaigler, Sr.. Владелец: INNOVATIVE HEALTH TECHNOLOGIES LLC. Дата публикации: 2011-08-03.

Method for forming etching mask and method for manufacturing semiconductor device

Номер патента: US20210082699A1. Автор: Hironobu Sato. Владелец: Kioxia Corp. Дата публикации: 2021-03-18.

Cathode for proton batteries and method of manufacture

Номер патента: WO2024119235A1. Автор: Bjørn Winther-Jensen,Michael Masterman,Bartlomiej KOLODZIEJCZYK. Владелец: Proton Systems Pty Limited. Дата публикации: 2024-06-13.

Clamp and method for using the same

Номер патента: US11255361B1. Автор: Yixian YOU. Владелец: Yueqing Dongbo Electromechanical Co ltd. Дата публикации: 2022-02-22.

Method of sealing acid-doped membranes

Номер патента: US20240243321A1. Автор: Dorcelle Lotemo. Владелец: JTec Energy Inc. Дата публикации: 2024-07-18.

Method of sealing acid-doped membranes

Номер патента: WO2024152042A1. Автор: Dorcelle Lotemo. Владелец: Jtec Energy, Inc.. Дата публикации: 2024-07-18.

X-ray phase-shift contrast imaging method and system thereof

Номер патента: US09655576B2. Автор: Chia-Gee Wang. Владелец: NanoRay Biotech Co Ltd. Дата публикации: 2017-05-23.

Semiconductor devices

Номер патента: GB1281363A. Автор: . Владелец: Philips Electronic and Associated Industries Ltd. Дата публикации: 1972-07-12.

Method of manufacturing a porous filter for degassing

Номер патента: EP4308269A1. Автор: Geunwoo PARK. Владелец: CSK Inc. Дата публикации: 2024-01-24.

Method of Manufacturing a Lightweight Wheel With High Strength Flexible Spokes

Номер патента: US20190210404A1. Автор: Martin Connolly. Владелец: Spinergy Inc. Дата публикации: 2019-07-11.

Method of manufacturing a lightweight wheel with high strength flexible spokes

Номер патента: US20180001698A1. Автор: Martin Connolly. Владелец: Spinergy Inc. Дата публикации: 2018-01-04.

Semiconductor device manufacturing method and semiconductor device

Номер патента: US20110042730A1. Автор: Takayoshi Hashimoto,Hisashi Yonemoto,Masayuki Tajiri,Toyohiro Harazono. Владелец: Sharp Corp. Дата публикации: 2011-02-24.

Method for manufacturing a semiconductor device

Номер патента: US20140057418A1. Автор: Huaxiang Yin,Xiaolong Ma,Zuozhen Fu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2014-02-27.

Method for manufacturing semiconductor device

Номер патента: US20210287903A1. Автор: Junichi Hashimoto,Soichi Yamazaki,Takehiro Kondoh,Yuya Matsubara. Владелец: Kioxia Corp. Дата публикации: 2021-09-16.

Aromatization Catalyst and Methods of Making and Using Same

Номер патента: US20200338532A1. Автор: Ryan W. Snell,Gabriela ALVEZ-MANOLI. Владелец: Chevron Phillips Chemical Co LP. Дата публикации: 2020-10-29.

Method of fabricating a wooden perch

Номер патента: US09718210B1. Автор: Michael Sazhin. Владелец: Parrot Wizard Inc. Дата публикации: 2017-08-01.

Stainless shoulder and method of its manufacture

Номер патента: RU2666263C2. Автор: Фумиаки ВАТАНАБЕ. Владелец: АйЭйчАй КОРПОРЕЙШН. Дата публикации: 2018-09-06.

Method of producing flexible heat-insulating sheet product and corresponding device

Номер патента: RU2592792C2. Автор: Даниель БАРУ. Владелец: Блх Текнолоджис Инк.. Дата публикации: 2016-07-27.

3,3'-(2,6-pyridinediyl-5-isoxazole carboxylic acids, salts, and esters, compositions and methods of use thereof

Номер патента: US4066769A. Автор: John B. Wright. Владелец: Upjohn Co. Дата публикации: 1978-01-03.

Endothelial and smooth muscle like tissue produced from urine cells and uses related thereto

Номер патента: AU2020350442A1. Автор: Sang-Ho Lee,Young-Sup Yoon,Young-Doug Sohn. Владелец: EMORY UNIVERSITY. Дата публикации: 2022-03-31.

Endothelial and smooth muscle like tissue produced from urine cells and uses related thereto

Номер патента: WO2021055081A1. Автор: Sang-Ho Lee,Young-Sup Yoon,Young-Doug Sohn. Владелец: EMORY UNIVERSITY. Дата публикации: 2021-03-25.

Endothelial and smooth muscle like tissue produced from urine cells and uses related thereto

Номер патента: CA3154458A1. Автор: Sang-Ho Lee,Young-Sup Yoon,Young-Doug Sohn. Владелец: EMORY UNIVERSITY. Дата публикации: 2021-03-25.

Method of delaminating a graphite structure with a coating agent in a supercritical fluid

Номер патента: EP1648821A1. Автор: Esin Gulari,Gulayk Serhatkulu. Владелец: WAYNE STATE UNIVERSITY. Дата публикации: 2006-04-26.

Sunshade and method of preparing a canopy

Номер патента: US20150374084A1. Автор: Yen-Lin Tsai. Владелец: Individual. Дата публикации: 2015-12-31.

Method of hydrogenation of phenol

Номер патента: WO2018057289A1. Автор: Alfred K. Schultz,Jose Antonio Trejo O'Reilly. Владелец: Rohm and Haas Company. Дата публикации: 2018-03-29.

Phase shifting arrangement

Номер патента: EP1206839A1. Автор: James Digby Yarlet Collier,Justin David John Penfold. Владелец: Cambridge Silicon Radio Ltd. Дата публикации: 2002-05-22.

Phase shifting arrangement

Номер патента: WO2001010029A1. Автор: James Digby Yarlet Collier,Justin David John Penfold. Владелец: Cambridge Silicon Radio Ltd.. Дата публикации: 2001-02-08.

Recombinant adeno-associated viruses with enhanced liver tropism and uses thereof

Номер патента: AU2021376797A9. Автор: Chao Wang,Tingting ZHANG. Владелец: Beijing Solobio Genetechnology Co Ltd. Дата публикации: 2024-10-03.

Photovoltaic modules comprising light directing mediums and methods of making the same

Номер патента: US09972734B2. Автор: Daniel T. Chen,Jiaying Ma. Владелец: 3M Innovative Properties Co. Дата публикации: 2018-05-15.

Sunshade and method of preparing a canopy

Номер патента: US09717313B2. Автор: Yen-Lin Tsai. Владелец: Individual. Дата публикации: 2017-08-01.

Method of fabricating a vacuum insulated appliance structure

Номер патента: US10030905B2. Автор: Diptesh Mukherjee,Paul B. Allard,Hua Liu,Berhanu Allo,Lakshya J. Deka. Владелец: Whirlpool Corp. Дата публикации: 2018-07-24.

Phase-shifting device

Номер патента: RU2724979C1. Автор: Валентин Николаевич Морозов. Владелец: Валентин Николаевич Морозов. Дата публикации: 2020-06-29.

Fur yarn, method of manufacturing the same and fur fabrics woven thereof

Номер патента: US5167113A. Автор: Yukio Yoshioka. Владелец: Individual. Дата публикации: 1992-12-01.

Method of manufacturing a composite filter media

Номер патента: GB2462192A. Автор: Hyun Sung Lim,David Charles Jones,Alan Smithies,Jack T Clements. Владелец: BHA Group Inc. Дата публикации: 2010-02-03.

Method of manufacturing a composite filter media

Номер патента: US20080315464A1. Автор: Hyun Sung Lim,Jack T. Clements,David Charles Jones,Alan Smithies. Владелец: Individual. Дата публикации: 2008-12-25.

Method of adapting a pavement

Номер патента: GB2461808A. Автор: George Lyall Walker. Владелец: Individual. Дата публикации: 2010-01-20.

Fabrication method of a double-gate carbon nanotube transistor

Номер патента: US20230380257A1. Автор: Jin Cai,Sheng-Kai Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

Fabrication method of a double-gate carbon nanotube transistor

Номер патента: US11930696B2. Автор: Jin Cai,Sheng-Kai Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-12.

Method for Forming a Patterned Thick Metallization atop a Power Semiconductor Chip

Номер патента: US20100181617A1. Автор: Il Kwan Lee. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2010-07-22.

Method for Forming a Patterned Thick Metallization atop a Power Semiconductor Chip

Номер патента: US20120034775A1. Автор: Il Kwan Lee. Владелец: Individual. Дата публикации: 2012-02-09.

Method for forming a patterned thick metallization atop a power semiconductor chip

Номер патента: US8288273B2. Автор: Il Kwan Lee. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2012-10-16.

Method of making an ethylene-propylene copolymer

Номер патента: US20240132635A1. Автор: Mamdouh A. Al-Harthi,Farrukh SHEHZAD,Sung-Gil Hong,Hassam Mazhar. Владелец: S Oil Corp. Дата публикации: 2024-04-25.

Method of manufacturing electronic module, electronic module, and electronic device

Номер патента: US11632886B2. Автор: Mitsutoshi Hasegawa. Владелец: Canon Inc. Дата публикации: 2023-04-18.

Book with an image and methods of production

Номер патента: EP4284654A1. Автор: Alice Vermeulin,Anthony ROUCH-PAULIN. Владелец: Toppan Leefung Pte Ltd. Дата публикации: 2023-12-06.

Graphene oxide-nanoparticle composite membranes, preparation and uses thereof

Номер патента: CA3180764A1. Автор: Easan Sivaniah,Behnam GHALEI,Guoji Huang. Владелец: Individual. Дата публикации: 2021-12-09.

Graphene oxide-nanoparticle composite membranes, preparation and uses thereof

Номер патента: EP4142923A1. Автор: Easan Sivaniah,Behnam GHALEI,Guoji Huang. Владелец: Ooyoo Ltd. Дата публикации: 2023-03-08.

Graphene Oxide-Nanoparticle Composite Membranes, Preparation and Uses Thereof

Номер патента: US20230241558A1. Автор: Easan Sivaniah,Behnam GHALEI,Guoji Huang. Владелец: Ooyoo Ltd. Дата публикации: 2023-08-03.

Application of a continuously tuned phase shift to a periodic signal

Номер патента: US20040174232A1. Автор: Seppo Rosnell. Владелец: Nokia Oyj. Дата публикации: 2004-09-09.

Process for forming a thermally and chemically inert multilayer film

Номер патента: US20170290958A1. Автор: Edin Balic. Владелец: Swiss Medical Coatings Sarl. Дата публикации: 2017-10-12.

Method of making large particle size, high purity dense silica

Номер патента: US4940571A. Автор: Sophia R. Su,Leo F. Fitzpatrick,Sheryl A. Robillard. Владелец: GTE Laboratories Inc. Дата публикации: 1990-07-10.

Headrest with double hardness and method of its manufacture

Номер патента: RU2636836C2. Автор: Джереми САТТОН. Владелец: Форд Глобал Технолоджис, ЛЛК. Дата публикации: 2017-11-28.

Suspension bolt and method of making same

Номер патента: GB1473610A. Автор: . Владелец: Individual. Дата публикации: 1977-05-18.

Methods of manufacturing electrically conductive coils

Номер патента: GB740543A. Автор: WILLIAM SIMM MELVILLE. Владелец: British Thomson Houston Co Ltd. Дата публикации: 1955-11-16.

Method of making large particle size, high purity dense silica

Номер патента: CA1318770C. Автор: Sophia R. Su,Leo F. Fitzpatrick,Sheryl A. Robillard. Владелец: GTE Products Corp. Дата публикации: 1993-06-08.

Dried marshmallow and methods of preparation

Номер патента: CA2334948C. Автор: Philip K. Zietlow,Gerald L. Wilson,Michael A. Helser. Владелец: General Mills Inc. Дата публикации: 2007-11-20.

Barrier sheet and method of manufacturing same

Номер патента: US4383876A. Автор: John S. Shackleton. Владелец: United Tire and Rubber Co Ltd. Дата публикации: 1983-05-17.

A method of fabricating a composite material turbine engine vane with incorporated platforms

Номер патента: CA2857453C. Автор: Elric Fremont,Romain Nunez,Max Massot. Владелец: Herakles SA. Дата публикации: 2019-07-02.

Curette sharpening hone and method of making

Номер патента: US4838899A. Автор: Edward J. Bifuk. Владелец: Individual. Дата публикации: 1989-06-13.

Polyureide-formaldehyde resins and processes for making and using same

Номер патента: US3772225A. Автор: R Avis. Владелец: Scott Paper Co. Дата публикации: 1973-11-13.

Method of bonding thermoplastic resin and metal

Номер патента: US11826964B2. Автор: Kiminori Washika,Tatsumi KAWAFUCHI. Владелец: Hirotec Corp. Дата публикации: 2023-11-28.

Fire extinguishing composition and method of making

Номер патента: US11964178B2. Автор: Theresa A. Hugener. Владелец: Carrier Corp. Дата публикации: 2024-04-23.

Method of producing surface-treated steel sheet and surface-treated steel sheet

Номер патента: US11926921B2. Автор: Takashi Ueno,Yoichiro Yamanaka,Mikito Suto. Владелец: JFE Steel Corp. Дата публикации: 2024-03-12.

Antimicrobial sanitizer compositions and methods of making the same

Номер патента: US9775345B2. Автор: Joseph Giovanniello. Владелец: SANIT TECHNOLOGIES LLC. Дата публикации: 2017-10-03.

Method and apparatus for producing solid foam, product and use

Номер патента: EP4225551A1. Автор: Juha Koivisto,Mikko Alava,Antti PUISTO. Владелец: Woamy Oy. Дата публикации: 2023-08-16.

Modular valve assembly and methods of making the same

Номер патента: WO2023172553A1. Автор: Frank Kruppe,Cody Hambly,Krzysztof Chelminski. Владелец: Cyrus Shank Corporation. Дата публикации: 2023-09-14.

Method and apparatus for producing solid foam, product and use

Номер патента: US20230356435A1. Автор: Juha Koivisto,Mikko Alava,Antti PUISTO. Владелец: Woamy Oy. Дата публикации: 2023-11-09.

Method of manufacturing display device and display device manufactured thereby

Номер патента: US20240049586A1. Автор: Woongsik Kim,Jin-Su Byun,Donghwan BAE,Sikwang Kim. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-02-08.

Antimicrobial sanitizer compositions and methods of making the same

Номер патента: US20170360034A1. Автор: Joseph Giovanniello. Владелец: SANIT TECHNOLOGIES LLC. Дата публикации: 2017-12-21.

Antimicrobial sanitizer compositions and methods of making the same

Номер патента: US20160192648A1. Автор: Joseph Giovanniello. Владелец: SANIT TECHNOLOGIES LLC. Дата публикации: 2016-07-07.

Antimicrobial sanitizer compositions and methods of making the same

Номер патента: EP3019009A1. Автор: Joseph Giovanniello. Владелец: SANIT TECHNOLOGIES LLC. Дата публикации: 2016-05-18.

Antimicrobial sanitizer compositions and methods of making the same

Номер патента: US10058094B2. Автор: Joseph Giovanniello. Владелец: SANIT TECHNOLOGIES LLC. Дата публикации: 2018-08-28.

Antimicrobial sanitizer compositions and methods of making the same

Номер патента: WO2015021301A1. Автор: Joseph Giovanniello. Владелец: Giovanniello Joseph. Дата публикации: 2015-02-12.

Method of manufacturing a permanent magnet

Номер патента: US20230268118A1. Автор: Richard B. Booth. Владелец: EOS of North America Inc. Дата публикации: 2023-08-24.

Method of producing a fouling-proof structure

Номер патента: US20210040349A1. Автор: Chun-Chieh Wang,Ching-Hsiang Chang,Kuo-Hsing Yeh. Владелец: Jantec Corp. Дата публикации: 2021-02-11.

Method of manufacturing organic el panel, organic el panel, and electronic apparatus

Номер патента: US20090253336A1. Автор: Shotaro Watanabe,Masaki Ito. Владелец: Seiko Epson Corp. Дата публикации: 2009-10-08.

Layered polymer nanocomposite and method of manufacture thereof

Номер патента: WO2021255661A1. Автор: Paul Hanson. Владелец: Paul Hanson. Дата публикации: 2021-12-23.

Method and apparatus for producing solid foam, product and use

Номер патента: FI20215230A1. Автор: Juha Koivisto,Mikko Alava,Antti PUISTO. Владелец: Aalto Korkeakoulusaeaetioe Sr. Дата публикации: 2022-04-06.

Method of producing tapered or pointed cannula

Номер патента: EP1773520A1. Автор: M. Ishaq Haider,Frank E. Martin,Marcelino Munoz. Владелец: Becton Dickinson and Co. Дата публикации: 2007-04-18.

Method of separating components of a gas

Номер патента: US20200018545A1. Автор: Larry Baxter,Kyler Stitt,Jacom Chamberlain,Chris Hoeger. Владелец: Newvistas Capital LLC. Дата публикации: 2020-01-16.

Method of manufacturing an edged porous pipe device for implementing it pipe obtained by the method and use of such a pipe for irrigation

Номер патента: IL125966A0. Автор: . Владелец: ITEP INT. Дата публикации: 1999-04-11.

Apparatus and method for forming a string of pocket springs

Номер патента: WO2014198816A1. Автор: Rudolf Fassler,Heinz Buchhold. Владелец: Spühl Gmbh. Дата публикации: 2014-12-18.

Graphene reinforced materials and related methods of manufacture

Номер патента: US10093072B2. Автор: Panagiotis G. Datskos,Ivan V. Vlassiouk,Ilia N. Ivanov. Владелец: UT Battelle LLC. Дата публикации: 2018-10-09.

Method of attaching a fastening element to a panel

Номер патента: US5251370A. Автор: Jiri Babej,Rudolf R. M. Muller. Владелец: Profil Verbindungstechnik GmbH and Co KG. Дата публикации: 1993-10-12.

Method of preparing dentition for the taking of a dental impression

Номер патента: US10835351B2. Автор: Alan Ara BOGHOSIAN. Владелец: Individual. Дата публикации: 2020-11-17.

Fabricated valve and method of making the same

Номер патента: US3567180A. Автор: Hubert L Williams. Владелец: Crane Co. Дата публикации: 1971-03-02.

Method of surgical planning

Номер патента: CA2873856C. Автор: Andrew Charles Davison. Владелец: DePuy Synthes Products Inc. Дата публикации: 2020-03-24.

Method of producing a coated fiber-containing composite

Номер патента: US4915760A. Автор: Raj N. Singh,Achuta R. Gaddipati. Владелец: General Electric Co. Дата публикации: 1990-04-10.

Television panel and method of manufacture

Номер патента: US4549928A. Автор: Robert V. VanDewoestine,Ronald E. Johnson,Wendell S. Blanding. Владелец: Corning Glass Works. Дата публикации: 1985-10-29.

Modular Valve Assembly and Methods of Making the Same

Номер патента: US20230278149A1. Автор: Frank Kruppe,Cody Hambly,Krzysztof Chelminski. Владелец: Cyrus Shank Corp. Дата публикации: 2023-09-07.

Method of producing alloy strip laminate and apparatus for producing alloy strip laminate

Номер патента: US20220298593A1. Автор: Yasuo Kuriyama. Владелец: Hitachi Metals Ltd. Дата публикации: 2022-09-22.

NOSA MD composition and method of manufacture

Номер патента: US11883705B1. Автор: Larry Todd Banner. Владелец: Swamp Fox Innovations LLC. Дата публикации: 2024-01-30.

Method for fabricating semiconductor device

Номер патента: US20170040179A1. Автор: Yikun Chen,Duan Quan Liao,Ching Hwa Tey. Владелец: United Microelectronics Corp. Дата публикации: 2017-02-09.

Frame member fastening device and method of manufacture

Номер патента: US20100077694A1. Автор: Michael Bittner,Mark Quintile,Dale Maynard. Владелец: Individual. Дата публикации: 2010-04-01.

Method of improving flavor of soybean protein-glucomannan jelly

Номер патента: US20090017182A1. Автор: Michihide Akita. Владелец: STC System Japan Co Ltd. Дата публикации: 2009-01-15.

Non-isocyanate polyurethane foam composition and method of making the same

Номер патента: US11920033B2. Автор: Mark Ashton ZELLER,Patrick Lewis Carter. Владелец: 62911255. Дата публикации: 2024-03-05.

Ethanol-Resistant Yeast Gene, and Use Thereof

Номер патента: US20130137181A1. Автор: Won Ja Choi,Wan Kee Kim. Владелец: Industry Collaboration Foundation of Ewha University. Дата публикации: 2013-05-30.

method of of forming interconnection lines in a semiconductor memory device

Номер патента: KR100558493B1. Автор: 나영섭. Владелец: 삼성전자주식회사. Дата публикации: 2006-03-07.

Method of making a decorative photo mirror

Номер патента: US4517040A. Автор: Linda J. Whitted. Владелец: Individual. Дата публикации: 1985-05-14.

Method of fabricating a carbon - polytetrafluoroethylene electrode - support

Номер патента: US3935029A. Автор: Martin Klein,Bernard Baker. Владелец: Energy Research Corp. Дата публикации: 1976-01-27.

Method of reducing friction losses in flowing liquids

Номер патента: US4751937A. Автор: Toshihiko Shinomura. Владелец: Nippon Oil Corp. Дата публикации: 1988-06-21.

Reinforced flexible hose and method of manufacturing same

Номер патента: US3776794A. Автор: K Ingham. Владелец: Winster Hose Ltd. Дата публикации: 1973-12-04.

Method of preparing snow and ice control compositions

Номер патента: US4374743A. Автор: Richard F. Stockel. Владелец: Individual. Дата публикации: 1983-02-22.

Method of mounting a tyre on a rim and device for carrying out a step of this method

Номер патента: EP1008467A1. Автор: Ludovic Schmitt. Владелец: Michelin Recherche et Technique SA Switzerland. Дата публикации: 2000-06-14.

Oxazolidinedione derivatives, their production and use

Номер патента: US5972970A. Автор: Hitoshi Ikeda,Yu Momose,Takashi Sohda,Sachiko Imai. Владелец: Takeda Chemical Industries Ltd. Дата публикации: 1999-10-26.

Variable capacity multiple-leg packed separation column system and method of operation

Номер патента: US20160332112A1. Автор: Samusideen Adewale Salu,Talal A. ZAHRANI. Владелец: Saudi Arabian Oil Co. Дата публикации: 2016-11-17.

Method of assembling an oral care implement

Номер патента: US11771212B2. Автор: Hallena Strotman,Leighton Davies-Smith,Erin Speicher. Владелец: Colgate Palmolive Co. Дата публикации: 2023-10-03.

Phase-shift circuit and antenna device

Номер патента: US9520629B2. Автор: Tomoyuki Ogawa,Nobuaki Kitano,Naoki Iso,Yoshiaki Ishigami,Masahisa Kaneta. Владелец: Hitachi Metals Ltd. Дата публикации: 2016-12-13.

Phase-Shift Circuit and Antenna Device

Номер патента: US20150357696A1. Автор: Tomoyuki Ogawa,Nobuaki Kitano,Naoki Iso,Yoshiaki Ishigami,Masahisa Kaneta. Владелец: Hitachi Metals Ltd. Дата публикации: 2015-12-10.

Method of segmenting substrate with metal film

Номер патента: US20200361120A1. Автор: Kenji Murakami. Владелец: Mitsuboshi Diamond Industrial Co Ltd. Дата публикации: 2020-11-19.

Method of Obtaining a High Quality Coke from Low Rank Coal Liquefaction

Номер патента: US20150083570A1. Автор: Alfred H. Stiller,Peter G. Stansberry. Владелец: WEST VIRGINIA UNIVERSITY. Дата публикации: 2015-03-26.

Semiconductor device and manufacturing method thereof

Номер патента: US20210313168A1. Автор: Miin-Jang Chen,Tse-An CHEN,Chun-Yi Chou,Po-Hsien Cheng. Владелец: National Taiwan University NTU. Дата публикации: 2021-10-07.

Method of fabricating a protected construction panel

Номер патента: EP3565716A1. Автор: Howard M MORRIS. Владелец: TRADE FABRICATION SYSTEMS Ltd. Дата публикации: 2019-11-13.

Method of fabricating a protected construction panel

Номер патента: WO2018127698A1. Автор: Howard M MORRIS. Владелец: TRADE FABRICATION SYSTEMS Ltd. Дата публикации: 2018-07-12.

Manufacturing method of golf club head

Номер патента: US20100287760A1. Автор: Takashi Nakano. Владелец: SRI Sports Ltd. Дата публикации: 2010-11-18.

Method of cleaning liquid discharge head

Номер патента: US20160001560A1. Автор: Yuzuru Ishida,Akio Goto,Yoshinori Misumi,Maki Kato,Takahiro Matsui,Norihiro Yoshinari. Владелец: Canon Inc. Дата публикации: 2016-01-07.

Article and method of making an article by chemical vapor infiltration

Номер патента: US20240189951A1. Автор: David A. Litton,Robert A. White, III,Timothy J. Harding. Владелец: RTX Corp. Дата публикации: 2024-06-13.

Phase-shifted full-bridge converter, control method and device thereof, and medium

Номер патента: US20240146204A1. Автор: Yuhao Luo,Yongchun Yang,Biaojie Qi,Yunjie MO. Владелец: Altenergy Power System Inc. Дата публикации: 2024-05-02.

Nucleic acid-conjugated polymeric nanoparticles and methods of use

Номер патента: EP4340888A1. Автор: Natalie Artzi,Pere DOSTA PONS,Alexander M. CRYER. Владелец: Brigham and Womens Hospital Inc. Дата публикации: 2024-03-27.

Elastomeric pavement repair composition for pavement failure and a method of making the same

Номер патента: US3891585A. Автор: Charles H Mcdonald. Владелец: Charles H Mcdonald. Дата публикации: 1975-06-24.

Method of mounting a tyre on a rim

Номер патента: DE3570401D1. Автор: Jean-Pierre Pompier. Владелец: Compagnie Generale des Etablissements Michelin SCA. Дата публикации: 1989-06-29.

Method of mounting a tyre on a rim

Номер патента: EP0174421B1. Автор: Jean-Pierre Pompier. Владелец: Compagnie Generale des Etablissements Michelin SCA. Дата публикации: 1989-05-24.

Method of electric-arc welding of reservoirs for agressive mediums

Номер патента: GB2104428A. Автор: Aleksandr Nikolaevich Babaev. Владелец: Le I Korable Str. Дата публикации: 1983-03-09.

Metal gasket and method of producing the same

Номер патента: US5130203A. Автор: Hiroyuki Nakano,Yoshiharu Takada,Tsunehiko Abe,Kazuaki Shiotani. Владелец: Sanshin Kogyo KK. Дата публикации: 1992-07-14.

Method of coating a component

Номер патента: CA2620696A1. Автор: Volker Abetz,Bobby Kannan Mathan,Wolfgang Dietzel,Dominique De Figueired0 Gomes. Владелец: GKSS Forshungszentrum Geesthacht GmbH. Дата публикации: 2008-08-14.

Phase shifting arrangement

Номер патента: GB2426635A. Автор: Christopher Davies. Владелец: Alan Dick and Co Ltd. Дата публикации: 2006-11-29.

Method of manufacturing integrated circuit device

Номер патента: US20230320061A1. Автор: Yonghwan Kim,Jungpyo Hong,Sangwuk PARK,Yangdoo KIM,Minkyu Suh,Geonyeop LEE,Dokeun LEE. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-10-05.

Decoration glass and manufacturing method of the same

Номер патента: WO2009028785A1. Автор: Ki Su Yoo. Владелец: Samsung Gwangju Electronics Co., Ltd.. Дата публикации: 2009-03-05.

Liquid ejection head and method of manufacturing liquid ejection head

Номер патента: US20230001703A1. Автор: Shogo Kawamura,Takuya Iwano. Владелец: Canon Inc. Дата публикации: 2023-01-05.

Methods of preparing polymorphic form a of bazedoxifene acetate

Номер патента: IL207504A0. Автор: . Владелец: WYETH LLC. Дата публикации: 2010-12-30.

Immunoglobulin compositions and methods of producing same

Номер патента: WO2009107129A1. Автор: Itai Benhar,Rachel Hakim. Владелец: RAMOT AT TEL AVIV UNIVERSITY LTD.. Дата публикации: 2009-09-03.

Digital differential phase-shift keyed decoder

Номер патента: CA2001341A1. Автор: Mark L. Peischl,Relan N. Vinluan. Владелец: Plessey Electronic Systems Corp. Дата публикации: 1990-05-17.

Methods of recovering bitumen from oil sands

Номер патента: US9840669B2. Автор: Oleg A. Mazyar,Valery N. Khabashesku,Oleksandr V. KUZNETSOV,Devesh Kumar Agrawal. Владелец: Baker Hughes Inc. Дата публикации: 2017-12-12.

Method and apparatus for forming a heat exchange system in the earth

Номер патента: US4501513A. Автор: Bert J. Warner,Joe F. Warner. Владелец: Individual. Дата публикации: 1985-02-26.

Method of making and forming a first surface optical disk

Номер патента: TWI265514B. Автор: Brian S Medower,David L Blankenbeckler. Владелец: DataPlay Inc. Дата публикации: 2006-11-01.

Method of providing and forming a gravel pack about well screens in wells

Номер патента: US2076489A. Автор: Howard O Williams. Владелец: EDWARD E JOHNSON Inc. Дата публикации: 1937-04-06.

A structural slab and method of manufacture

Номер патента: GB2619012A. Автор: Robert Coward Andrew. Владелец: Net Zero Projects Ltd. Дата публикации: 2023-11-29.

Method and System for Forming a Multilayer Composite Structure

Номер патента: US20190066879A1. Автор: Horst Jakob Adams. Владелец: Ultra Conductive Copper Company Inc. Дата публикации: 2019-02-28.

Semi-automatic tool for changing conveyor belts in a machine for treating sheet products, and method of use

Номер патента: WO2022023992A1. Автор: Antonio Polato. Владелец: OFFICINE DI CARTIGLIANO SPA. Дата публикации: 2022-02-03.

Semi-automatic tool for changing conveyor belts in a machine for treating sheet products, and method of use

Номер патента: EP4185717A1. Автор: Antonio Polato. Владелец: OFFICINE DI CARTIGLIANO SPA. Дата публикации: 2023-05-31.

A method and system for forming a multilayer composite structure

Номер патента: EP3676415A1. Автор: Horst Jakob Adams. Владелец: Ultra Conductive Copper Company Inc. Дата публикации: 2020-07-08.

Method of manufacturing surface light source device and apparatus for the same

Номер патента: US20070155275A1. Автор: Dong Woo Kim,Kyeong Taek Jung,Hyung Bin Youn. Владелец: Samsung Corning Co Ltd. Дата публикации: 2007-07-05.

Processing method of preparing a carbohydrate enriched composition

Номер патента: WO2018096181A1. Автор: Karin Fackler,Erwin Malzner,Roland FEINER. Владелец: Lenzing AG. Дата публикации: 2018-05-31.

Method of mounting capacitor array

Номер патента: US20110047794A1. Автор: Masaaki Togashi. Владелец: TDK Corp. Дата публикации: 2011-03-03.

Recombinant adeno-associated viruses with enhanced liver tropism and uses thereof

Номер патента: ZA202304877B. Автор: Chao Wang,Tingting ZHANG. Владелец: Beijing Solobio Genetechnology Co Ltd. Дата публикации: 2023-12-20.

Fletching Sleeve System and Method of Application and Manufacture

Номер патента: US20130072332A1. Автор: Ben D. Blosser,Sean E. Gordon. Владелец: Individual. Дата публикации: 2013-03-21.

Method of preparing dialysed extract of fenugreek seeds

Номер патента: WO2007138609A1. Автор: Manoj Kumar Bhat,Meleppillil Vavachen Vijayakumar. Владелец: National Centre For Cell Sciences. Дата публикации: 2007-12-06.

Method of manufacturing capacitor connecting line of memory

Номер патента: US12004343B2. Автор: Yang Chen. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-06-04.

Recombinant adeno-associated viruses with enhanced liver tropism and uses thereof

Номер патента: US20240024514A1. Автор: Chao Wang,Tingting ZHANG. Владелец: Beijing Solobio Genetechnology Co Ltd. Дата публикации: 2024-01-25.

Method of conjugating double bonds in organic compounds

Номер патента: MY128935A. Автор: Ronald T Sleeter. Владелец: Archer Daniels Midland Co. Дата публикации: 2007-03-30.

Stackable battery assemblies and methods of use

Номер патента: US12010805B2. Автор: Raj Sohmshetty,Scott Mayberry. Владелец: FORD GLOBAL TECHNOLOGIES LLC. Дата публикации: 2024-06-11.

Method of preparing dialysed extract of fenugreek seeds

Номер патента: EP2029151A1. Автор: Manoj Kumar Bhat,Meleppillil Vavachen Vijayakumar. Владелец: National Center for Cell Sciences. Дата публикации: 2009-03-04.

Apparatus and methods of cooling and condensing exhaust steam from a power plant

Номер патента: US4449368A. Автор: Timothy J. Haynie. Владелец: Chicago Bridge and Iron Co. Дата публикации: 1984-05-22.

Manufacturing method of whole grain rice noodle

Номер патента: AU2020314815A1. Автор: Yang Liu,Hong Zhu,Cuiping YI. Владелец: CHANGSHA UNIVERSITY OF SCIENCE AND TECHNOLOGY. Дата публикации: 2021-02-25.

Safe case for protective masks and filters and method of its use

Номер патента: WO2023080850A3. Автор: Tea PIRNAT. Владелец: Tpredrzno D.O.O.. Дата публикации: 2023-06-15.

Method for laminating and forming a composite laminate in a single operation

Номер патента: EP1401647A2. Автор: David D'arcy Clifford. Владелец: Dofasco Inc. Дата публикации: 2004-03-31.

Sports drink and methods of making same

Номер патента: US20230263192A1. Автор: Babak Taheri. Владелец: Amba Beverage Corp. Дата публикации: 2023-08-24.

Sports drink and methods of making same

Номер патента: WO2023159027A1. Автор: Babak Taheri. Владелец: AMBA Beverage Corporation. Дата публикации: 2023-08-24.

Method of preparing fatty acid alkyl ester sulfonate using coffee oil

Номер патента: US20240199539A1. Автор: Kyung On Cha,Gug In Jeong,Chae Hoon WON. Владелец: Bjbiochem Co Ltd. Дата публикации: 2024-06-20.

Method of screening for cDNA encoding novel secreted mammalian proteins in yeast

Номер патента: US5536637A. Автор: Kenneth Jacobs. Владелец: Genetics Institute LLC. Дата публикации: 1996-07-16.

Novel organic semiconductor compound, manufacturing mentod thereof and use using the same

Номер патента: KR101294332B1. Автор: 이성기,손홍래. Владелец: 유경희. Дата публикации: 2013-08-09.

Novel organic semiconductor compound, manufacturing mentod thereof and use using the same

Номер патента: KR20120021448A. Автор: 이성기,손홍래. Владелец: 손홍래. Дата публикации: 2012-03-09.

Manufacturing method of the feed additive from deep-ocean water and deep sea rock floor water and method to use the same

Номер патента: KR100958848B1. Автор: 서희동. Владелец: 서희동. Дата публикации: 2010-05-24.

A manufacturing method of the bamboo charcoal that a mineral content is high using deep-ocean water, and a method using the same

Номер патента: KR100951538B1. Автор: 서희동. Владелец: 서희동. Дата публикации: 2010-04-09.

Method of start up at least a part of a wind power plant, wind power plant and use of the wind power plant

Номер патента: EP1993184A1. Автор: Kaj Skov Nielsen. Владелец: SIEMENS AG. Дата публикации: 2008-11-19.

Purification method of ibrutinib crystal form A

Номер патента: CN113214261A. Автор: 王亚平,竺伟. Владелец: SYNCOZYMES (SHANGHAI) CO Ltd. Дата публикации: 2021-08-06.

Preparation method of palbociclib crystal form A

Номер патента: CN106317053A. Автор: 刘鹏,易崇勤,黄琪,冀蕾,李学义. Владелец: PKUCare Pharmaceutical R&D Center. Дата публикации: 2017-01-11.

Preparation method of febuxostat crystal form A

Номер патента: CN112390766B. Автор: 王臻,黄福良,朱国荣,屠勇军,彭灵超. Владелец: Zhejiang Tianyu Pharmaceutical Co Ltd. Дата публикации: 2022-09-06.

Preparation method of febuxostat crystal form A

Номер патента: CN109776448B. Автор: 胡建强,胡明明,刘培强,刘景宝,鲍明波,贺俊华. Владелец: Shandong Langnuo Pharmaceutical Co ltd. Дата публикации: 2023-03-14.

Method of consolidating/simultaneously forming a plurality of composites

Номер патента: EP0909825B1. Автор: Peter Nagy,Harlan L. Woods,Richard C. Lewis,Stephen A. Kraus. Владелец: Textron Systems Corp. Дата публикации: 2001-11-28.

DEVICE AND METHOD FOR FORMING A SHEET FOR LIMITING THE ELASTIC RETURN OF SHEET AFTER FORMING AND USE

Номер патента: FR2803230B1. Автор: Francois Maire. Владелец: PEUGEOT CITROEN AUTOMOBILES SA. Дата публикации: 2002-04-05.

Method of molding and forming a switch device

Номер патента: US3252206A. Автор: Robert G Stevens. Владелец: Molding Engineers Inc. Дата публикации: 1966-05-24.

Method of manufacturing and forming a cushion body

Номер патента: US20110068498A1. Автор: Shinji Sugiyama,Mika Ito. Владелец: TS Tech Co Ltd. Дата публикации: 2011-03-24.

Cold-spray device and method of cold-spray forming a part

Номер патента: EP3817829B1. Автор: Alexander Pai-chung TENG,John Russell BUCKENLL. Владелец: Divergent Technologies Inc. Дата публикации: 2024-04-17.

MEDICAL ORAL TREATMENT FACE MASK AND METHOD FOR USING THE SAME

Номер патента: US20140076334A1. Автор: CHENG Yung-Chu,CHENG Kyle,CHENG Yu-Liang. Владелец: . Дата публикации: 2014-03-20.

DEPOSITION MASK AND DEPOSITION APPARATUS USING THE SAME

Номер патента: US20180287063A1. Автор: KIM Jongheon,PARK Hyunsook,CHANG SeokRak. Владелец: LG DISPLAY CO., LTD.. Дата публикации: 2018-10-04.

DEPOSITION DEVICE INCLUDING LASER MASK AND DEPOSITION METHOD USING THE SAME

Номер патента: US20160301036A1. Автор: Han Jeongwon. Владелец: . Дата публикации: 2016-10-13.

Quick Adjust Mask and Method for Using the Same

Номер патента: US20190351171A1. Автор: Islava Steve. Владелец: . Дата публикации: 2019-11-21.

Filter for mask and mask formed using the same

Номер патента: CN101534905B. Автор: 竹内直人,岩本拓也,石神信,寺冈裕美. Владелец: Unicharm Corp. Дата публикации: 2013-06-05.

LASER MASK AND CRYSTALLIZATION METHOD USING THE MASK

Номер патента: FR2879345B1. Автор: Jaesung You. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2007-05-11.

Mask and deposition apparatus using the same

Номер патента: KR100836471B1. Автор: 김태승. Владелец: 삼성에스디아이 주식회사. Дата публикации: 2008-06-09.

Facial mask and beauty method using the same

Номер патента: JP5042284B2. Автор: 寛 福井,美恵子 那須,卓也 才脇. Владелец: Shiseido Co Ltd. Дата публикации: 2012-10-03.

Mask and deposition apparatus using the same

Номер патента: EP1916726A2. Автор: Tae-Seung Kim. Владелец: Samsung SDI Co Ltd. Дата публикации: 2008-04-30.

Producing system for cosmetic mask and producing method using the same

Номер патента: KR101126191B1. Автор: 신기태. Владелец: 아이팩(주). Дата публикации: 2012-03-23.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001331A1. Автор: . Владелец: KABUSHI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE MANUFACTURE METHOD AND SEMICONDUCTOR DEVICE

Номер патента: US20120001344A1. Автор: IDANI Naoki,TAKESAKO Satoshi. Владелец: FUJITSU SEMICONDUCTOR LIMITED. Дата публикации: 2012-01-05.

SEMICONDUCTOR MEMORY DEVICE AND METHOD OF FORMING THE SAME

Номер патента: US20120003828A1. Автор: Chang Sung-Il,Choe Byeong-In,KANG Changseok. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Method for Forming the Same

Номер патента: US20120001229A1. Автор: Zhu Huilong,Liang Qingqing. Владелец: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES. Дата публикации: 2012-01-05.

MEMORY DEVICE AND METHOD OF FABRICATING THEREOF

Номер патента: US20120001246A1. Автор: . Владелец: Micron Technology Inc.. Дата публикации: 2012-01-05.

STAMPER, METHOD OF MANUFACTURING THE STAMPER, AND MAGNETIC RECORDING MEDIUM MANUFACTURING METHOD USING THE STAMPER

Номер патента: US20120000885A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

METHOD OF MAKING A FUEL CELL DEVICE

Номер патента: US20120003570A1. Автор: Devoe Alan,Devoe Lambert. Владелец: . Дата публикации: 2012-01-05.

METHOD OF MAKING A FUEL CELL DEVICE

Номер патента: US20120003571A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Polymer and Method of Forming a Polymer

Номер патента: US20120004338A1. Автор: Hywel-Evans Duncan. Владелец: Adbruf Ltd.. Дата публикации: 2012-01-05.

LIGNIN/POLYACRYLONITRILE-CONTAINING DOPES, FIBERS, AND METHODS OF MAKING SAME

Номер патента: US20120003471A1. Автор: . Владелец: WEYERHAEUSER NR COMPANY. Дата публикации: 2012-01-05.

Aromatic amine derivative and organic electroluminescence device using the same

Номер патента: US20120001154A1. Автор: Kato Tomoki. Владелец: . Дата публикации: 2012-01-05.

ULTRASOUND IMAGING SYSTEM AND METHODS OF IMAGING USING THE SAME

Номер патента: US20120004539A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

An Anti-Hyperglycaemic Beverage and Method of Producing Thereof

Номер патента: MY195359A. Автор: Utra@ Sapina Abdullah Uthumporn,Ahmad Rosma,Wee Yin Koh. Владелец: Univ Sains Malaysia. Дата публикации: 2023-01-16.

Method of production of decorative article

Номер патента: RU2533358C1. Автор: Николай Юрьевич Барулин. Владелец: Гудым Светлана Александровна. Дата публикации: 2014-11-20.

Phase shift mask blank, method for manufacturing the same, and method for manufacturing phase shift mask

Номер патента: JP6661262B2. Автор: 誠治 坪井,典之 酒屋. Владелец: Hoya Corp. Дата публикации: 2020-03-11.

Collapsible display carrier and method of making

Номер патента: CA2028739C. Автор: Robert G. Carver. Владелец: Coburn Inc. Дата публикации: 1995-04-11.

An Awning Assembly And Method Of Use

Номер патента: AU2015101636B4. Автор: Jason Diprose,Yvette Kordick. Владелец: Heka Hoods Ip Pty Ltd. Дата публикации: 2020-04-16.

Forming a Bridging Feature Using Chromeless Phase-Shift Lithography

Номер патента: US20130089752A1. Автор: Kim Sangho,Sullivan Daniel B.. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2013-04-11.

Forming a Bridging Feature Using Chromeless Phase-Shift Lithography

Номер патента: US20130089753A1. Автор: Kim Sangho,Sullivan Daniel B.. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2013-04-11.

Forming a Bridging Feature Using Chromeless Phase-Shift Lithography

Номер патента: US20130089813A1. Автор: Kim Sangho,Sullivan Daniel B.. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2013-04-11.

Correction method and system for pattern on mask and storage medium using the method

Номер патента: TW200807161A. Автор: Li-Tung Hsiao,Yung-Ching Mai. Владелец: Powerchip Semiconductor Corp. Дата публикации: 2008-02-01.

METHODS OF MANUFACTURING PROTON CONDUCTIVE SOLID OXIDE FUEL CELL AND PROTON CONDUCTIVE SOLID OXIDE FUEL CELLS MANUFACTURED BY USING THE METHODS

Номер патента: US20120009501A1. Автор: . Владелец: . Дата публикации: 2012-01-12.

DEPOSITION MASK AND DEPOSITION DEVICE USING THE SAME

Номер патента: US20130174780A1. Автор: You Suk-Beom,Lee Joo-Hwa,Kwon Oh-Seob,Moon Seung-Jun. Владелец: . Дата публикации: 2013-07-11.