• Главная
  • Nanometer-scale lithography using extreme ultraviolet/soft x-ray laser interferometry

Nanometer-scale lithography using extreme ultraviolet/soft x-ray laser interferometry

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Lithography using quantum entangled particles

Номер патента: US20010053608A1. Автор: Colin Williams,Jonathan Dowling. Владелец: California Institute of Technology CalTech. Дата публикации: 2001-12-20.

Lithography using quantum entangled particles

Номер патента: US6630290B2. Автор: Colin Williams,Giovanni Della Rossa,Jonathan Dowling. Владелец: California Institute of Technology CalTech. Дата публикации: 2003-10-07.

Lithography using controlled polarization

Номер патента: US7084960B2. Автор: Richard Schenker,Kevin Huggins. Владелец: Intel Corp. Дата публикации: 2006-08-01.

Method for laser interference lithography using diffraction grating

Номер патента: WO2009051366A1. Автор: Jae-Jin Kim,Tae-Su Kim,Hyun-Woo Shin. Владелец: LG CHEM, LTD.. Дата публикации: 2009-04-23.

Lithography using quantum entangled particles

Номер патента: EP1203265A1. Автор: Colin Williams,Jonathan Dowling,Giovanni Della Rosa. Владелец: California Institute of Technology CalTech. Дата публикации: 2002-05-08.

Lithography using quantum entangled particles

Номер патента: WO2000075730A1. Автор: Colin Williams,Jonathan Dowling,Giovanni Della Rosa. Владелец: California Institute of Technology. Дата публикации: 2000-12-14.

Optical lithography using both photomask surfaces

Номер патента: EP1599762A4. Автор: Mark C Peterman. Владелец: Leland Stanford Junior University. Дата публикации: 2006-08-09.

Optical lithography using both photomask surfaces

Номер патента: EP1599762A2. Автор: Mark C. Peterman. Владелец: Leland Stanford Junior University. Дата публикации: 2005-11-30.

Optical lithography using both photomask surfaces

Номер патента: US20040223206A1. Автор: Mark Peterman. Владелец: Leland Stanford Junior University. Дата публикации: 2004-11-11.

Method for exposure using extreme ultra violet with tungsten halogen lamp

Номер патента: KR20090113715A. Автор: 김용대. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-11-02.

Automatic defect analyzer for nanoimprint lithography using image analysis

Номер патента: US20200201190A1. Автор: Teresa Perez Estrada,Kathryn Brenda Bean. Владелец: Canon Inc. Дата публикации: 2020-06-25.

Software-controlled maskless optical lithography using fluorescence feedback

Номер патента: WO2009005808A1. Автор: Ioannis Kymissis. Владелец: Ioannis Kymissis. Дата публикации: 2009-01-08.

Multiple patterning lithography using spacer and self-aligned assist patterns

Номер патента: TW201232185A. Автор: Duan-Fu Stephen Hsu,Xiaoyang Li. Владелец: ASML Netherlands BV. Дата публикации: 2012-08-01.

Near-field photo-lithography using nano light emitting diodes

Номер патента: US20070078613A1. Автор: Juanita Kurtin. Владелец: Intel Corp. Дата публикации: 2007-04-05.

Euv lithography using polymer crystal based reticle

Номер патента: EP4419967A1. Автор: Thomas John Farrell Wallin,Sandeep Rekhi,Pradip Sairam Pichumani. Владелец: Meta Platforms Technologies LLC. Дата публикации: 2024-08-28.

Method and system for immersion lithography using high pH immersion fluid

Номер патента: TW200606593A. Автор: Horng-Huei Tseng,Chao-Hsiung Wang. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2006-02-16.

System and method for parallel two-photon lithography using a metalens array

Номер патента: US12130407B2. Автор: Eyal FEIGENBAUM,Xiaoxing XIA. Владелец: Lawrence Livermore National Security LLC. Дата публикации: 2024-10-29.

Free form fracturing method for electronic or optical lithography using resist threshold control

Номер патента: US09891519B2. Автор: Luc Martin,Serdar Manakli. Владелец: Aselta Nanographics SA. Дата публикации: 2018-02-13.

Lithography using a phase-shifting reticle with reduced transmittance

Номер патента: US5354632A. Автор: Giang T. Dao,Eng T. Gaw,Kenny K. H. Toh,Rajeev R. Singh. Владелец: Intel Corp. Дата публикации: 1994-10-11.

Software-controlled maskless optical lithography using fluorescence feedback

Номер патента: US7955766B2. Автор: Ioannis Kymissis. Владелец: Columbia University in the City of New York. Дата публикации: 2011-06-07.

Software-controlled maskless optical lithography using fluorescence feedback

Номер патента: US20100248099A1. Автор: Ioannis Kymissis. Владелец: Columbia University in the City of New York. Дата публикации: 2010-09-30.

Reticle gripper barrier system for lithography use

Номер патента: US20060023196A1. Автор: Stephen Roux,Richard Lenox. Владелец: Asml Holding Nv. Дата публикации: 2006-02-02.

AUTOMATIC DEFECT ANALYZER FOR NANOIMPRINT LITHOGRAPHY USING IMAGE ANALYSIS

Номер патента: US20200201190A1. Автор: Estrada Teresa Perez,Bean Kathryn Brenda. Владелец: . Дата публикации: 2020-06-25.

FREE FORM FRACTURING METHOD FOR ELECTRONIC OR OPTICAL LITHOGRAPHY USING RESIST THRESHOLD CONTROL

Номер патента: US20160252807A1. Автор: Manakli Serdar,MARTIN Luc. Владелец: . Дата публикации: 2016-09-01.

Cleanup method for optics in immersion lithography using object on wafer holder in place of wafer

Номер патента: US9958786B2. Автор: Douglas C. Watson,Hidemi Kawai. Владелец: Nikon Corp. Дата публикации: 2018-05-01.

Lithography using controlled polarization

Номер патента: US20050213072A1. Автор: Richard Schenker,Kevin Huggins. Владелец: Intel Corp. Дата публикации: 2005-09-29.

Cleanup method for optics in immersion lithography using sonic device

Номер патента: US8085381B2. Автор: Hidemi Kawai,Douglas C Watson,W Thomas Novak. Владелец: Nikon Corp. Дата публикации: 2011-12-27.

Cleanup method for optics in immersion lithography using bubbles

Номер патента: US8670103B2. Автор: Hidemi Kawai. Владелец: Nikon Corp. Дата публикации: 2014-03-11.

Method of manufacturing Pellicle for EUV Lithography using Photosensitive glass

Номер патента: KR101860987B1. Автор: 이승민,김정환,안진호. Владелец: 한양대학교 산학협력단. Дата публикации: 2018-05-29.

Projection lithography using a phase shift aperture

Номер патента: DE60141602D1. Автор: Uwe Paul Schroeder,Tobias Mono. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2010-04-29.

Apparatus of electron lithography using patterned emitter

Номер патента: KR100493162B1. Автор: 김동욱,유인경,문창욱. Владелец: 삼성전자주식회사. Дата публикации: 2005-06-02.

TiO2-containing silica glass and optical member for EUV lithography using the same

Номер патента: CN101959812A. Автор: 小池章夫,岩桥康臣,菊川信也,桔优子. Владелец: Asahi Glass Co Ltd. Дата публикации: 2011-01-26.

Method of optical lithography using phase shift masking

Номер патента: CN1115876A. Автор: 克里斯托弗·A·斯彭斯. Владелец: Advanced Micro Devices Inc. Дата публикации: 1996-01-31.

Gray-tone lithography using optical diffusers

Номер патента: US8372578B2. Автор: Marc Christophersen,Bernard Phlips. Владелец: US Department of Navy. Дата публикации: 2013-02-12.

Projection lithography using a servo control

Номер патента: EP1014198A3. Автор: Reinder Teun Plug,Harry Henrikus Herman Marie Cox. Владелец: ASML Netherlands BV. Дата публикации: 2002-10-16.

Method of lithography using vacuum ultraviolet radiation

Номер патента: US6369398B1. Автор: Barry Gelernt. Владелец: Barry Gelernt. Дата публикации: 2002-04-09.

Gray-tone lithography using optical diffusers

Номер патента: US20090092934A1. Автор: Marc Christophersen,Bernard Phlips. Владелец: US Department of Navy. Дата публикации: 2009-04-09.

Free form fracturing method for electronic or optical lithography using resist threshold control

Номер патента: WO2015063006A1. Автор: Luc Martin,Serdar Manakli. Владелец: ASELTA NANOGRAPHICS. Дата публикации: 2015-05-07.

System and method for parallel two-photon lithography using a metalens array

Номер патента: WO2022169811A1. Автор: Eyal FEIGENBAUM,Xiaoxing XIA. Владелец: Lawrence Livermore National Security, LLC. Дата публикации: 2022-08-11.

Interference lithography using holey fibers

Номер патента: WO2002069051A2. Автор: Daniel P. Resler,Adam F. Kelsey,Mark A. Leclerc. Владелец: Optical Switch Corporation. Дата публикации: 2002-09-06.

Determining position accuracy of double exposure lithography using optical metrology

Номер патента: TW200821570A. Автор: you-xian Wen,shi-fang Li. Владелец: Tokyo Electron Ltd. Дата публикации: 2008-05-16.

Extreme ultraviolet soft x-ray projection lithographic method and mask devices

Номер патента: EP1218796A1. Автор: Robert Sabia,Claude L. Davis,Kenneth E. Hrdina. Владелец: Corning Inc. Дата публикации: 2002-07-03.

Extreme ultraviolet soft x-ray projection lithographic method and mask devices

Номер патента: US20030017402A1. Автор: Robert Sabia,Claude Davis,Kenneth Hrdina,Harrie Stevens. Владелец: Individual. Дата публикации: 2003-01-23.

Extreme ultraviolet soft x-ray projection lithographic method system and lithography elements

Номер патента: EP1214718A4. Автор: Kenneth E Hrdina,Claude L Davis Jr. Владелец: Corning Inc. Дата публикации: 2006-08-23.

Method for Patterning a Substrate Using Extreme Ultraviolet Lithography

Номер патента: US20170090290A1. Автор: Anton J. deVilliers. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-03-30.

一种用于mSAP高精度产品的DI曝光对位制程

Номер патента: CN114710880. Автор: 李齐良. Владелец: Baicheng Nantong Microelectronics Technology Co ltd. Дата публикации: 2022-07-05.

一种用于mSAP高精度产品的DI曝光对位制程

Номер патента: CN114710880A. Автор: 李齐良. Владелец: Baicheng Nantong Microelectronics Technology Co ltd. Дата публикации: 2022-07-05.

X-ray laser microscopy system and method

Номер патента: US20160329119A1. Автор: Michael Chang,Roger Kim,Zhuotong Xian,Katherine Han,Yiying Cao. Владелец: Rising Star Pathway A California Corp. Дата публикации: 2016-11-10.

X-ray laser microscopy system and method

Номер патента: US09943272B2. Автор: Vincent Huang,Yiming Wang,Hanjie Zou,Eileen Guo,Ruibo Wu,Zhuotong Xian. Владелец: Rising Star Pathway A California Corp. Дата публикации: 2018-04-17.

X-ray laser microscopy system and method

Номер патента: US09583229B2. Автор: Michael Chang,Roger Kim,Zhuotong Xian,Katherine Han,Yiying Cao. Владелец: Rising Star Pathway A California Corp. Дата публикации: 2017-02-28.

Method and apparatus for X-ray laser interrogation

Номер патента: US09440289B1. Автор: Kalin Spariosu,James A. Wurzbach. Владелец: Raytheon Co. Дата публикации: 2016-09-13.

Method and apparatus for exposing photosensitive material

Номер патента: WO1984002781A1. Автор: James Jones Cowan. Владелец: Polaroid Corp. Дата публикации: 1984-07-19.

Method for Patterning a Substrate Using Extreme Ultraviolet Lithography

Номер патента: US20170090290A1. Автор: deVilliers Anton J.. Владелец: . Дата публикации: 2017-03-30.

Manufacturing method of semiconductor element by using extreme ultra violet

Номер патента: US20230411155A1. Автор: Jaehong Lim,Sanghyun Lim,Seok Heo,Youngho HWANG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-12-21.

Method for multicolor lithography using mechanical force

Номер патента: WO2023192962A1. Автор: Maxwell J. Robb,Anna OVERHOLTS. Владелец: California Institute of Technology. Дата публикации: 2023-10-05.

Method for Multicolor Lithography Using Mechanical Force

Номер патента: US20230312779A1. Автор: Maxwell J. Robb,Anna OVERHOLTS. Владелец: California Institute of Technology CalTech. Дата публикации: 2023-10-05.

Lithography using photoresist with photoinitiator and photoinhibitor

Номер патента: US09599895B2. Автор: Seth Adrian Miller. Владелец: EMPIRE TECHNOLOGY DEVELOPMENT LLC. Дата публикации: 2017-03-21.

Resist composition used for lithography using electron beam, X-ray or EUV light

Номер патента: JPWO2008044741A1. Автор: 崇 佐々木,佐々木 崇,修 横小路. Владелец: Asahi Glass Co Ltd. Дата публикации: 2010-02-18.

Immersion lithography using hafnium-based nanoparticles

Номер патента: EP2263121A2. Автор: Paul A. Zimmerman,Carita Simons. Владелец: Sematech Inc. Дата публикации: 2010-12-22.

Immersion lithography using hafnium-based nanoparticles

Номер патента: WO2009114253A2. Автор: Paul A. Zimmerman,Jeffrey Byers (Deceased). Владелец: SEMATECH, INC.. Дата публикации: 2009-09-17.

Optical lithography using graphene contrast enhancement layer

Номер патента: US20120263921A1. Автор: Thomas A. Yager,Seth Adrian Miller. Владелец: Individual. Дата публикации: 2012-10-18.

OPTICAL LITHOGRAPHY USING GRAPHENE CONTRAST ENHANCEMENT LAYER

Номер патента: US20130309462A1. Автор: Yager Thomas A.,Miller Seth Adrian. Владелец: Empire Technology Development, LLC. Дата публикации: 2013-11-21.

LITHOGRAPHY USING PHOTORESIST WITH PHOTOINITIATOR AND PHOTOINHIBITOR

Номер патента: US20140038103A1. Автор: Miller Seth Adrian. Владелец: Empire Technology Development, LLC. Дата публикации: 2014-02-06.

Mold structure and method of imprint lithography using the same

Номер патента: US20140166615A1. Автор: Hokyun Ahn,Zin Sig Kim. Владелец: Electronics and Telecommunications Research Institute ETRI. Дата публикации: 2014-06-19.

PLASMONIC LITHOGRAPHY USING PHASE MASK

Номер патента: US20150177619A1. Автор: Kobrin Boris,Barnard Edward. Владелец: . Дата публикации: 2015-06-25.

Mold structure and method of imprint lithography using the same

Номер патента: KR20140076947A. Автор: 김진식,안호균. Владелец: 한국전자통신연구원. Дата публикации: 2014-06-23.

Electron projection lithography using secondary electron

Номер патента: KR100513720B1. Автор: 김동욱,유인경,문창욱. Владелец: 삼성전자주식회사. Дата публикации: 2005-09-07.

Direct imprint lithography using laser

Номер патента: JP4276087B2. Автор: チョー,スティーヴン,ワイ.. Владелец: プリンストン ユニヴァーシティ. Дата публикации: 2009-06-10.

Lithography using self-assembled polymers

Номер патента: WO2012031818A3. Автор: Emiel Peeters,Johan Dijksman,Sander Wuister,Roelof Koole. Владелец: ASML Netherlands B.V.. Дата публикации: 2012-06-07.

Pattern transfer method of nanoimprint lithography using shadow evaportation and nanotransfer printing

Номер патента: KR101022506B1. Автор: 이재종,이승우. Владелец: 한국기계연구원. Дата публикации: 2011-03-16.

Direct imprint lithography using laser

Номер патента: JP2005521243A. Автор: チョー,スティーヴン,ワイ.. Владелец: プリンストン ユニヴァーシティ. Дата публикации: 2005-07-14.

Immersion lithography using hafnium-based nanoparticles

Номер патента: WO2009114253A3. Автор: Jeffrey Byers,Paul A. Zimmerman,Carita Simons. Владелец: SEMATECH, INC.. Дата публикации: 2009-12-10.

Optical lithography using graphene contrast enhancement layer

Номер патента: US20130309462A1. Автор: Thomas A. Yager,Seth Adrian Miller. Владелец: EMPIRE TECHNOLOGY DEVELOPMENT LLC. Дата публикации: 2013-11-21.

Optical lithography using graphene contrast enhancement layer

Номер патента: US8773636B2. Автор: Thomas A. Yager,Seth Adrian Miller. Владелец: EMPIRE TECHNOLOGY DEVELOPMENT LLC. Дата публикации: 2014-07-08.

Method for lithography using middle layer with porous top surface

Номер патента: US20230314949A1. Автор: Ching-Yu Chang,Yuan Chih LO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-05.

Imprinting lithography using the liquid/solid transition of metals and their alloys

Номер патента: EP1756669A2. Автор: Yong Chen. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2007-02-28.

Lithography using photoresist with photoinitiator and photoinhibitor

Номер патента: US20120107743A1. Автор: Seth Miller. Владелец: EMPIRE TECHNOLOGY DEVELOPMENT LLC. Дата публикации: 2012-05-03.

Imprinting lithography using the liquid/solid transition of metals and their alloys

Номер патента: US20050282388A1. Автор: Yong Chen. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2005-12-22.

Capillary discharge x-ray laser

Номер патента: WO2006127147A2. Автор: Jorge J. Rocca. Владелец: COLORADO STATE UNIVERSITY RESEARCH FOUNDATION. Дата публикации: 2006-11-30.

X-ray laser microscopy system and method

Номер патента: US20180020996A1. Автор: Vincent Huang,Yiming Wang,Hanjie Zou,Eileen Guo,Ruibo Wu,Zhuotong Xian. Владелец: Rising Star Pathway A California Corp. Дата публикации: 2018-01-25.

X-RAY LASER MICROSCOPY SAMPLE ANALYSIS SYSTEM AND METHOD

Номер патента: US20170169910A1. Автор: Wang Yuhao,Xian Zhuotong,ZHANG Jingyi,Jia Allison Sihan,Liu Muzhi,You Kevin Shaokang. Владелец: . Дата публикации: 2017-06-15.

X-RAY LASER MICROSCOPY SYSTEM AND METHOD

Номер патента: US20160329119A1. Автор: Chang Michael,Xian Zhuotong,Kim Roger,Han Katherine,Cao Yiying. Владелец: . Дата публикации: 2016-11-10.

PLASMA X-RAY LASER

Номер патента: FR2466119A2. Автор: Georges Robert Pierre Marie. Владелец: France Etat. Дата публикации: 1981-03-27.

Plasma x-ray laser

Номер патента: JPS56153787A. Автор: Robaato Pieeru Mari Jiyooji. Владелец: ETAT FRANCAIS. Дата публикации: 1981-11-27.

EXTREME ULTRAVIOLET/SOFT X-RAY LASER NANO-SCALE PATTERNING USING THE DEMAGNIFIED TALBOT EFFECT

Номер патента: US20150251441A1. Автор: Isoyan Artak,Marconi Mario C.,Rocca Jorge J.,Urbanski Lukasz. Владелец: . Дата публикации: 2015-09-10.

Apparatus for and method of generating X-ray laser

Номер патента: US5832007A. Автор: Toshio Sata,Tamio Hara,Naohiro Yamaguchi. Владелец: Toyota School Foundation. Дата публикации: 1998-11-03.

Resonantly photo-pumped nickel-like erbium X-ray laser

Номер патента: US4977572A. Автор: Joseph Nilsen. Владелец: US Department of Energy. Дата публикации: 1990-12-11.

X-ray laser

Номер патента: US3823325A. Автор: L Wood. Владелец: US Atomic Energy Commission (AEC). Дата публикации: 1974-07-09.

X-ray Laser打码一体机

Номер патента: CN115610120. Автор: 谢欢. Владелец: Suzhou Noc Intelligent Technology Co ltd. Дата публикации: 2023-01-17.

X-ray Laser打码一体机

Номер патента: CN115610120A. Автор: 谢欢. Владелец: Suzhou Noc Intelligent Technology Co ltd. Дата публикации: 2023-01-17.

Soft X-ray laser using pumping of 3P and 4P levels of He-like and H-like ions

Номер патента: US4660203A. Автор: Peter L. Hagelstein. Владелец: US Department of Energy. Дата публикации: 1987-04-21.

X-ray laser with enhanced x-ray gain through photodepopulation

Номер патента: US4942580A. Автор: Raymond C. Elton. Владелец: US Department of Navy. Дата публикации: 1990-07-17.

Pellicle for extreme ultraviolet lithography

Номер патента: EP4075195A1. Автор: Hyeong Keun Kim,Seul Gi Kim,Hyun Mi Kim,Jin Woo Cho,Ki Hun Seong. Владелец: KOREA ELECTRONICS TECHNOLOGY INSTITUTE. Дата публикации: 2022-10-19.

Optical pattern generator or repeating projector or the like

Номер патента: US3722996A. Автор: W Fox. Владелец: ELECTROMASK Inc. Дата публикации: 1973-03-27.

Long sweep length DUV microlithographic beam scanning acousto-optical deflector and optics design

Номер патента: US11947241B2. Автор: Andrzej KARAWAJCZYK. Владелец: Mycronic AB. Дата публикации: 2024-04-02.

Long sweep length duv microlithographic beam scanning acousto-optical deflector and optics design

Номер патента: US20220197108A1. Автор: Andrzej KARAWAJCZYK. Владелец: Mycronic AB. Дата публикации: 2022-06-23.

Mask for high resolution optical lithography

Номер патента: EP1116071A1. Автор: Juan R. Maldonado. Владелец: Etec Systems Inc. Дата публикации: 2001-07-18.

Mask for high resolution optical lithography

Номер патента: WO2001007968A1. Автор: Juan R. Maldonado. Владелец: Etec Systems, Inc.. Дата публикации: 2001-02-01.

Method for forming pattern

Номер патента: US7883834B2. Автор: Takuya Hagiwara. Владелец: Renesas Electronics Corp. Дата публикации: 2011-02-08.

Method for forming pattern

Номер патента: US20090092932A1. Автор: Takuya Hagiwara. Владелец: Renesas Technology Corp. Дата публикации: 2009-04-09.

Resist composition and patterning process

Номер патента: US20230367211A1. Автор: Masaki Ohashi,Seiichiro Tachibana,Shun Kikuchi. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2023-11-16.

Programmable nanolithography mask

Номер патента: US11868051B2. Автор: Geoffrey S. D. Beach,Aik Jun Tan,Mantao Huang. Владелец: Massachusetts Institute of Technology. Дата публикации: 2024-01-09.

Anti-slippery stamp landing ring

Номер патента: EP4281832A1. Автор: JING Jiang,Amita Joshi,Vivian HSU,Suraj Yadav. Владелец: Applied Materials Inc. Дата публикации: 2023-11-29.

Anti-slippery stamp landing ring

Номер патента: US20220229370A1. Автор: JING Jiang,Amita Joshi,Vivian HSU,Suraj Yadav. Владелец: Applied Materials Inc. Дата публикации: 2022-07-21.

Method for measuring a substrate for semiconductor lithography

Номер патента: US11880145B2. Автор: Sven Martin,Oliver Jaeckel. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2024-01-23.

Nano-lithography using squeezed atomic and molecular states

Номер патента: US6891152B2. Автор: Eliyahu Averbukh. Владелец: Yeda Research and Development Co Ltd. Дата публикации: 2005-05-10.

Atomic lithography using squeezed atomic states

Номер патента: IL143538A0. Автор: . Владелец: Yeda Res & Dev. Дата публикации: 2002-04-21.

Methods and apparatus for producing soft x-ray laser in a capillary discharge plasma

Номер патента: US4937832A. Автор: Jorge J. Rocca. Владелец: COLORADO STATE UNIVERSITY RESEARCH FOUNDATION. Дата публикации: 1990-06-26.

Device and method for synchronous starting of soft x-ray laser

Номер патента: HU1000368D0. Автор: . Владелец: PÉCSI TUDOMÁNYEGYETEM. Дата публикации: 2010-09-28.

METHOD AND APPARATUS FOR SYNCHRONIZED STARTING OF SOFT X-RAY LASERS

Номер патента: US20130215913A1. Автор: Almási Gábor,Kiss Mátyás,Kuhlevszkij Szergej,Sánta Imre,Szatmári Sándor,Szász János. Владелец: . Дата публикации: 2013-08-22.

Linear x-ray laser generator

Номер патента: EP1933430A4. Автор: Hironari Yamada. Владелец: PHOTON PRODUCTION LAB Ltd. Дата публикации: 2009-11-11.

Linear x-ray laser generator

Номер патента: EP1933430A1. Автор: Hironari Yamada. Владелец: PHOTON PRODUCTION LABORATORY Ltd. Дата публикации: 2008-06-18.

X-ray laser

Номер патента: US5107508A. Автор: Melvin A. Piestrup. Владелец: Adelphi Technology Inc. Дата публикации: 1992-04-21.

Resonator for an x-ray laser

Номер патента: CA921615A. Автор: Karube Norio,Yamaka Eizo. Владелец: Eizo Yamaka. Дата публикации: 1973-02-20.

Device and method for detecting radiographic object using extremal data

Номер патента: EP4383207A1. Автор: Jung Min Lee,June Sung Seo,Gung Hul PARK,Seong Sik KO. Владелец: Doosan Enerbility Co Ltd. Дата публикации: 2024-06-12.

Device and method for detecting radiographic object using extremal data

Номер патента: US20240169683A1. Автор: Jung Min Lee,June Sung Seo,Gung Hul PARK,Seong Sik KO. Владелец: Doosan Enerbility Co Ltd. Дата публикации: 2024-05-23.

METHOD FOR IMAGING LASER INTERFEROMETRY.

Номер патента: DE3779362D1. Автор: Joachim Pelka,Hans Betz,Hermann Mader. Владелец: Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV. Дата публикации: 1992-07-02.

Laser interferometry system and method

Номер патента: CN114521227A. Автор: J·J·达波雷. Владелец: NDC Technologies Inc. Дата публикации: 2022-05-20.

Laser noise reduction for laser interferometry

Номер патента: US11960042B2. Автор: Ezra Ip,Yue-Kai Huang,Fatih Yaman. Владелец: NEC Corp. Дата публикации: 2024-04-16.

Determination of an outlier score using extreme value theory (evt)

Номер патента: WO2024076438A1. Автор: Kiran Rama,Laurent BOUÉ. Владелец: Microsoft Technology Licensing, LLC. Дата публикации: 2024-04-11.

Determination of an outlier score using extreme value theory (evt)

Номер патента: US20240112053A1. Автор: Kiran Rama,Laurent BOUÉ. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2024-04-04.

Phase-compensated cube corner in laser interferometry

Номер патента: US20050128589A1. Автор: Lawrence Lee,John Bockman. Владелец: AGILENT TECHNOLOGIES INC. Дата публикации: 2005-06-16.

METHOD AND SYSTEM FOR BURIED LAND MINE DETECTION THROUGH DERIVATIVE ANALYSIS OF LASER INTERFEROMETRY

Номер патента: US20160054270A1. Автор: LOUCHARD Eric M.. Владелец: . Дата публикации: 2016-02-25.

LASER INTERFEROMETRY SYSTEMS AND METHODS

Номер патента: US20210088320A1. Автор: DAPORE Jerome Joseph. Владелец: NDC Technologies Inc.. Дата публикации: 2021-03-25.

DETERMINATION OF A TUBE PRESSURE BY MEANS OF LASER INTERFEROMETRY AND APPARATUS HEREFOR

Номер патента: US20220299311A1. Автор: LINDEMANN Robert,HELLHUND Jonas,HANNES David. Владелец: . Дата публикации: 2022-09-22.

Laser interferometry measurement device.

Номер патента: FR2712691A1. Автор: Fondeur Bernard. Владелец: Fondeur Bernard. Дата публикации: 1995-05-24.

LASER INTERFEROMETRY MEASURING DEVICE

Номер патента: FR2612628B1. Автор: . Владелец: Fondeur Bernard. Дата публикации: 1993-09-10.

common path movement distance measuring apparatus using laser interferometry

Номер патента: KR101441109B1. Автор: 이석순. Владелец: 경상대학교산학협력단. Дата публикации: 2014-10-30.

LASER INTERFEROMETRY MEASURING DEVICE

Номер патента: DE3808779A1. Автор: Bernard Fondeur. Владелец: Dea Digital Electronic Automation SpA Moncalieri It. Дата публикации: 1988-10-06.

Device for measuring physical quantity using pulsed laser interferometry

Номер патента: US6168310B1. Автор: Shuzo Fujimura,Jun Kikuchi,Ryo Kurosaki,Haruhiko Serizawa. Владелец: Fujitsu Ltd. Дата публикации: 2001-01-02.

Phase-compensated cube corner in laser interferometry

Номер патента: US7165850B2. Автор: John J. Bockman,Lawrence Hakchu Lee. Владелец: AGILENT TECHNOLOGIES INC. Дата публикации: 2007-01-23.

Laser noise reduction for laser interferometry

Номер патента: WO2021231339A1. Автор: Ezra Ip,Yue-Kai Huang,Fatih Yaman. Владелец: NEC Laboratories America, Inc.. Дата публикации: 2021-11-18.

Providing method of diagnostic information on alzheimer's disease using extreme learning machine

Номер патента: KR102486723B1. Автор: 권구락. Владелец: 조선대학교산학협력단. Дата публикации: 2023-01-09.

Providing method of diagnostic information on alzheimer's disease using extreme learning machine

Номер патента: KR20220160261A. Автор: 권구락. Владелец: 조선대학교산학협력단. Дата публикации: 2022-12-06.

EXTRACTION OF IMAGING PARAMETERS FOR COMPUTATIONAL LITHOGRAPHY USING A DATA WEIGHTING ALGORITHM

Номер патента: US20130254724A1. Автор: PARIKH ASHESH. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2013-09-26.

EXTRACTION OF IMAGING PARAMETERS FOR COMPUTATIONAL LITHOGRAPHY USING A DATA WEIGHTING ALGORITHM

Номер патента: US20130254725A1. Автор: PARIKH ASHESH. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2013-09-26.

COMPOUND, RESIN, COMPOSITION, AND FILM FORMING MATERIAL FOR LITHOGRAPHY USING THE SAME

Номер патента: US20210003921A1. Автор: ECHIGO Masatoshi,MAKINOSHIMA Takashi. Владелец: . Дата публикации: 2021-01-07.

Calcium flouride crystal, optical article and exposure apparatus for photo-lithography using the same

Номер патента: US20010008540A1. Автор: Toshio Ichizaki,Tomoru Oba. Владелец: Individual. Дата публикации: 2001-07-19.

Imprint lithography using multi-layer coating architecture

Номер патента: US20240045216A1. Автор: Frank Y. Xu,Vikramjit Singh,Marlon Edward Menezes. Владелец: Magic Leap Inc. Дата публикации: 2024-02-08.

Compound, resin, composition and film-forming material for lithography using same

Номер патента: EP3760611A1. Автор: Masatoshi Echigo,Takashi Makinoshima. Владелец: Mitsubishi Gas Chemical Co Inc. Дата публикации: 2021-01-06.

Imprint lithography using multi-layer coating architecture

Номер патента: EP4327142A1. Автор: Frank Y. Xu,Vikramjit Singh,Marlon Edward Menezes. Владелец: Magic Leap Inc. Дата публикации: 2024-02-28.

Method and apparatus for disease diagnosis and screening using extremely low frequency electromagnetic fields

Номер патента: CA2771260C. Автор: Mark L. Faupel. Владелец: Individual. Дата публикации: 2017-04-25.

Composition and Method of Manufacturing and Using Extremely Rheopectic Sulfonate-Based Greases

Номер патента: US20230340354A1. Автор: J. Andrew WAYNICK,Alisha Farrington,Deon Copeland. Владелец: NCH Corp. Дата публикации: 2023-10-26.

Endpoint detection using laser interferometry

Номер патента: US20050087515A1. Автор: Deana Delp. Владелец: Tokyo Electron Ltd. Дата публикации: 2005-04-28.

COMPUTING DEVICES USING EXTREMELY HIGH FREQUENCY (EHF) ELECTROMAGNETIC COMMUNICATION

Номер патента: US20190182179A1. Автор: ISAAC Roger Dwain,Pak Edward T.. Владелец: . Дата публикации: 2019-06-13.

Composition and method of manufacturing and using extremely rheopectic sulfonate-based greases

Номер патента: WO2021163185A8. Автор: Andrew J. Waynick. Владелец: NCH Corporation. Дата публикации: 2021-09-30.

INTEGRATED CIRCUITS (ICS) MADE USING EXTREME ULTRAVIOLET (EUV) PATTERNING AND METHODS FOR FABRICATING SUCH ICS

Номер патента: US20200006122A1. Автор: RIM Kern,BADAROGLU Mustafa. Владелец: . Дата публикации: 2020-01-02.

Composition and Method of Manufacturing and Using Extremely Rheopectic Sulfonate-Based Greases

Номер патента: US20210246393A1. Автор: Waynick J. Andrew. Владелец: . Дата публикации: 2021-08-12.

HIGH EFFICIENCY BIOFUEL PRODUCTION USING EXTREMELY THERMOPHILIC BACTERIA

Номер патента: US20140342425A1. Автор: Curvers Simon,Svetlichnyi Vitaly. Владелец: . Дата публикации: 2014-11-20.

COMPUTING DEVICES USING EXTREMELY HIGH FREQUENCY (EHF) ELECTROMAGNETIC COMMUNICATION

Номер патента: US20200252349A1. Автор: ISAAC Roger Dwain,Pak Edward T.. Владелец: . Дата публикации: 2020-08-06.

Method and apparatus for disease diagnosis and screening using extremely low frequency electromagnetic fields

Номер патента: CA2771260A1. Автор: Mark L. Faupel. Владелец: Mark L. Faupel. Дата публикации: 2010-02-25.

ENGINE AND MINIMUM HEIGHT ASSEMBLY USING EXTREME ALUMINUM SHIELDS

Номер патента: MX166454B. Автор: John Garrett Lewis. Владелец: EMERSON ELECTRIC CO. Дата публикации: 1993-01-11.

Method and Apparatus for Disease Diagnosis and Screening Using Extremely Low Frequency Electromagnetic Fields

Номер патента: US20100049078A1. Автор: Mark L. Faupel. Владелец: Faupel Mark L. Дата публикации: 2010-02-25.

Composition and method of manufacturing and using extremely rheopectic sulfonate-based greases

Номер патента: WO2021163185A1. Автор: Waynick J. Andrew. Владелец: NCH Corporation. Дата публикации: 2021-08-19.

By the cell device of electronic cigarette and the associated device assembled using extremity piece

Номер патента: CN107743363A. Автор: 蒂埃里·卡伊. Владелец: Individual. Дата публикации: 2018-02-27.

Method and system for lithography using phase-change material

Номер патента: US20040110094A1. Автор: Chih-Yuan Lu,Yi-Chou Chen,Hsiang-Lan Lung,Li-Hsin Chuang. Владелец: Macronix International Co Ltd. Дата публикации: 2004-06-10.

Tio2-containing silica glass and optical member for lithography using the same

Номер патента: EP2247544A1. Автор: Yasutomi Iwahashi,Akio Koike,Shinya Kikugawa. Владелец: Asahi Glass Co Ltd. Дата публикации: 2010-11-10.

Apparatus and methods for electron beam lithography using array cathode

Номер патента: US09715995B1. Автор: Keith Standiford,Alan D. Brodie,Paul F. Petric. Владелец: KLA Tencor Corp. Дата публикации: 2017-07-25.

Lithography using interface reaction

Номер патента: US09564326B2. Автор: Kangguo Cheng,Pouya Hashemi,Alexander Reznicek,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2017-02-07.

LITHOGRAPHY USING SELF-ASSEMBLED POLYMERS

Номер патента: US20130140272A1. Автор: DIJKSMAN Johan Frederik,Wuister Sander Frederik,Koole Roelof,Peeters Emiel. Владелец: . Дата публикации: 2013-06-06.

METHODS AND APPARATUS FOR LITHOGRAPHY USING A RESIST ARRAY

Номер патента: US20130200498A1. Автор: Mangan Shmuel. Владелец: Applied Materials, Inc.. Дата публикации: 2013-08-08.

LITHOGRAPHY USING INTERFACE REACTION

Номер патента: US20160020098A1. Автор: Cheng Kangguo,Reznicek Alexander,Khakifirooz Ali,Hashemi Pouya. Владелец: . Дата публикации: 2016-01-21.

Lithography using Multilayer Spacer for Reduced Spacer Footing

Номер патента: US20160027658A1. Автор: SHUE Shau-Lin,Peng Chao-Hsien,Lee Hsiang-Huan. Владелец: . Дата публикации: 2016-01-28.

Parallelized 3D Lithography Using Multi-Beam, Multi-Color Light-Induced Polymerization

Номер патента: US20220055290A1. Автор: MULLER Patrick,Hahn Vincent,Blasco Eva,Wegener Martin. Владелец: . Дата публикации: 2022-02-24.

APPARATUS AND METHOD FOR LIQUID TRANSFER IMPRINT LITHOGRAPHY USING A ROLL STAMP

Номер патента: US20150266234A1. Автор: LIM Hyung-jun,Lee Jae-Jong,Choi Kee-Bong,Kim Gee-Hong. Владелец: . Дата публикации: 2015-09-24.

Method and Apparatus for Emission Lithography using Patterned Emitter

Номер патента: KR100397605B1. Автор: 유인경. Владелец: 버지니아 테크 인터렉추얼 프라퍼티스, 인크.. Дата публикации: 2003-09-13.

Method for electron beam lithography using photo-enhanced electron emission

Номер патента: KR100438806B1. Автор: 김성훈,이내성,한인택. Владелец: 삼성전자주식회사. Дата публикации: 2004-07-16.

Reflection lithography using rotating platter

Номер патента: US7566882B1. Автор: Harald F. Hess. Владелец: KLA Tencor Technologies Corp. Дата публикации: 2009-07-28.

Lithography using multilayer spacer for reduced spacer footing

Номер патента: US9892933B2. Автор: Shau-Lin Shue,Chao-Hsien Peng,Hsiang-Huan Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-13.

Reflection electron beam projection lithography using an ExB separator

Номер патента: US9355818B2. Автор: Paul F. Petric. Владелец: KLA Tencor Corp. Дата публикации: 2016-05-31.

TiO2-containing silica glass and optical member for lithography using the same

Номер патента: CN101959818A. Автор: 小池章夫,岩桥康臣,菊川信也. Владелец: Asahi Glass Co Ltd. Дата публикации: 2011-01-26.

Electron lithography using a photocathode

Номер патента: US5395738A. Автор: George R. Brandes,Philip M. Platzman. Владелец: American Telephone and Telegraph Co Inc. Дата публикации: 1995-03-07.

Reflection electron beam projection lithography using an exb separator

Номер патента: TWI459430B. Автор: Paul F Petric. Владелец: KLA Tencor Corp. Дата публикации: 2014-11-01.

Simplified lithography using ink and water admixtures

Номер патента: AU2008688A. Автор: Thomas A. Fadner,Frank J. Doyle,Eugene Merrill Van Kanegan. Владелец: Rockwell International Corp. Дата публикации: 1989-04-06.

Process for the preparation of octahedral silver chloride-containing emulsions

Номер патента: CA1331303C. Автор: Thomas Peter Tufano. Владелец: EI Du Pont de Nemours and Co. Дата публикации: 1994-08-09.

Reflective photomask blank and reflective photomask

Номер патента: EP3650936A1. Автор: Toru Komizo,Norihito Fukugami. Владелец: Toppan Printing Co Ltd. Дата публикации: 2020-05-13.

Reflective photomask blank and reflective photomask

Номер патента: US20200159106A1. Автор: Toru Komizo,Norihito Fukugami. Владелец: Toppan Printing Co Ltd. Дата публикации: 2020-05-21.

Low roughness EUV lithography

Номер патента: US09922839B2. Автор: Nader Shamma,Richard Wise. Владелец: Lam Research Corp. Дата публикации: 2018-03-20.

Reflective photomask blank and reflective photomask

Номер патента: US20200218143A1. Автор: Toru Komizo,Norihito Fukugami. Владелец: Toppan Printing Co Ltd. Дата публикации: 2020-07-09.

Patterned organometallic photoresists and methods of patterning

Номер патента: WO2020081483A1. Автор: Michael Kocsis,Michael Greer,Shu-Hao Chang,Peter De Schepper. Владелец: Inpria Corporation. Дата публикации: 2020-04-23.

Patterned organometallic photoresists and methods of patterning

Номер патента: US20200124970A1. Автор: Michael Kocsis,Michael Greer,Shu-Hao Chang,Peter De Schepper. Владелец: Inpria Corp. Дата публикации: 2020-04-23.

Reflective photomask blank and reflective photomask

Номер патента: EP3650935A1. Автор: Toru Komizo,Norihito Fukugami. Владелец: Toppan Printing Co Ltd. Дата публикации: 2020-05-13.

Hybrid optical and euv lithography

Номер патента: US20200159105A1. Автор: Lei Sun,Guillaume Bouche,Geng Han,Jia ZENG. Владелец: Globalfoundries Inc. Дата публикации: 2020-05-21.

Polymer, chemically amplified resist composition and patterning process

Номер патента: US12032289B2. Автор: Masahiro Fukushima,Emiko Ono,Masayoshi Sagehashi. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-07-09.

Reflective mask blank, method of manufacturing thereof, and reflective mask

Номер патента: US12050396B2. Автор: Takuro Yamamoto,Shohei Mimura,Yukio Inazuki,Takuro Kosaka. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-07-30.

Fast nanoimprinting methods using deformable mold

Номер патента: US09533445B2. Автор: Wei Zhang,Lin Hu,Hua Tan,Stephen Y. Chou. Владелец: Nanonex Corp. Дата публикации: 2017-01-03.

Method for optical proximity correction, design and manufacturing of a reticle using variable shaped beam lithography

Номер патента: EP2321840A2. Автор: Akira Fujimura,Lance Glasser. Владелец: D2S Inc. Дата публикации: 2011-05-18.

Combining cut mask lithography and conventional lithography to achieve sub-threshold pattern features

Номер патента: US20140312500A1. Автор: Yang Da,Zhongze Wang,John J. Zhu. Владелец: Qualcomm Inc. Дата публикации: 2014-10-23.

Combining cut mask lithography and conventional lithography to achieve sub-threshold pattern features

Номер патента: EP2987034A1. Автор: Yang Da,Zhongze Wang,John J. Zhu. Владелец: Qualcomm Inc. Дата публикации: 2016-02-24.

Onium salt monomer, polymer, chemically amplified resist composition and patterning process

Номер патента: US20240329527A1. Автор: Masahiro Fukushima. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-10-03.

Polymer, chemically amplified resist composition and patterning process

Номер патента: US20210096465A1. Автор: Masahiro Fukushima,Emiko Ono,Masayoshi Sagehashi. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2021-04-01.

Reflective mask blank, and method for manufacturing reflective mask

Номер патента: EP4105718A3. Автор: Hideo Kaneko,Yukio Inazuki,Takuro Kosaka,Taiga OGOSE. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2022-12-28.

Molecular resist composition and patterning process

Номер патента: US11953827B2. Автор: Kazuhiro Katayama,Masaki Ohashi,Masahiro Fukushima. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-04-09.

X-ray device having a co-axial laser aiming system in an opposed configuration

Номер патента: US5661775A. Автор: Li Yuan,Steven Lee Cramer. Владелец: OEC Inc. Дата публикации: 1997-08-26.

Method for determining an eye position

Номер патента: US20240180421A1. Автор: Johannes Meyer,Thomas Alexander Schlebusch,Andreas Petersen,Stefan Gering,Alexander Zimmer. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2024-06-06.

Verfahren zur bestimmung einer augenposition

Номер патента: WO2023285032A1. Автор: Johannes Meyer,Thomas Alexander Schlebusch,Andreas Petersen,Stefan Gering,Alexander Zimmer. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2023-01-19.

Bar-code reading apparatus and bar-code reading method

Номер патента: US20080277471A1. Автор: Tomomi Mashiko. Владелец: Olympus Corp. Дата публикации: 2008-11-13.

Extreme Ultraviolet Radiation in Genomic Sequencing and Other Applications

Номер патента: US20200140941A1. Автор: Supriya JAISWAL. Владелец: Individual. Дата публикации: 2020-05-07.

Tracking type laser interferometer for objects with rotational degrees of freedom

Номер патента: US20130329231A1. Автор: Mirko Holler,Jörg Raabe. Владелец: Scherrer Paul Institut. Дата публикации: 2013-12-12.

Extreme ultraviolet radiation in genomic sequencing and other applications

Номер патента: EP3411692A1. Автор: Supriya JAISWAL. Владелец: Individual. Дата публикации: 2018-12-12.

Extreme ultraviolet radiation in genomic sequencing and other applications

Номер патента: US11718871B2. Автор: Supriya JAISWAL. Владелец: Individual. Дата публикации: 2023-08-08.

Tracking type laser interferometer for objects with rotational degrees of freedom

Номер патента: EP2652519A1. Автор: Mirko Holler,Jörg Raabe. Владелец: Scherrer Paul Institut. Дата публикации: 2013-10-23.

Uniform thin films produced by magnetorheological finishing

Номер патента: WO2004013656A2. Автор: William Kordonski,Marc Tricard. Владелец: Qed Technologies, Inc.. Дата публикации: 2004-02-12.

Method and means for assembly of high precision ultra- high speed crystal scanning heads

Номер патента: US20090223634A1. Автор: Matthew David MOORE,Joanna Aleksandra Szydio-Moore. Владелец: Individual. Дата публикации: 2009-09-10.

Tracking type laser interferometer for objects with rotational degrees of freedom

Номер патента: US09417050B2. Автор: Mirko Holler,Jörg Raabe. Владелец: Scherrer Paul Institut. Дата публикации: 2016-08-16.

Systems and methods for displaying and using discrete micro- location identifiers

Номер патента: WO2022125596A1. Автор: S. Lee Hancock,Jordan T. Hastings. Владелец: WGRS Licensing Company, LLC. Дата публикации: 2022-06-16.

Extreme ultraviolet radiation in genomic sequencing and other applications

Номер патента: CA3012825C. Автор: Supriya JAISWAL. Владелец: Individual. Дата публикации: 2024-02-13.

Polarization change detection

Номер патента: US20200088583A1. Автор: Michael J. DeWeert,Andrew N. Acker. Владелец: BAE Systems Information and Electronic Systems Integration Inc. Дата публикации: 2020-03-19.

Laser measurement system for rapid calibration of machine tools

Номер патента: US5900938A. Автор: Peisen S. Huang. Владелец: Research Foundation of State University of New York. Дата публикации: 1999-05-04.

Polarization change detection

Номер патента: US10620051B2. Автор: Michael J. DeWeert,Andrew N. Acker. Владелец: BAE Systems Information and Electronic Systems Integration Inc. Дата публикации: 2020-04-14.

Extremely randomized bootstrap aggregation systems and methods

Номер патента: US20240070528A1. Автор: Michael Langford. Владелец: Capital One Services LLC. Дата публикации: 2024-02-29.

Extreme Temperature Robust Optical Sensor Designs And Fault-Tolerant Signal Processing

Номер патента: US20090296776A1. Автор: Frank Perez,Nabeel Agha Riza. Владелец: Individual. Дата публикации: 2009-12-03.

Blind source separation of signals having low signal-to-noise ratio

Номер патента: US09991908B2. Автор: Gary A. Ray. Владелец: Boeing Co. Дата публикации: 2018-06-05.

Transparent communication devices

Номер патента: US09615054B1. Автор: Steve H. McNelley,Jeffrey Machtig. Владелец: Individual. Дата публикации: 2017-04-04.

Optical fiber ribbon imaging guidewire and methods

Номер патента: US20160018593A1. Автор: Michael J. Eberle,Howard Neil Rourke,Diana Margaret Tasker. Владелец: Vascular Imaging Corp. Дата публикации: 2016-01-21.

Optical fiber ribbon imaging guidewire and methods

Номер патента: US20210223470A1. Автор: Michael J. Eberle,Howard Neil Rourke,Diana Margaret Tasker. Владелец: Phyzhon Health Inc. Дата публикации: 2021-07-22.

Wave form filter pulse detector and method for modulated signal

Номер патента: US4867571A. Автор: Michael Pawlowski,Rex McCarthy,Gene Frick. Владелец: Sensormedics Corp. Дата публикации: 1989-09-19.

Method of Construction Quality Control Monitoring and Reporting

Номер патента: US20190228366A1. Автор: Christopher J. Nash. Владелец: Individual. Дата публикации: 2019-07-25.

Optical fiber ribbon imaging guidewire and methods

Номер патента: EP2972540A2. Автор: Michael J. Eberle,Howard Neil Rourke,Diana Margaret Tasker. Владелец: Vascular Imaging Corp. Дата публикации: 2016-01-20.

Method for Imprinting and Erasing Amorphous Metal Alloys

Номер патента: US20140186648A1. Автор: Hongxing Tang,Jan Schroers,Golden Kumar. Владелец: YALE UNIVERSITY. Дата публикации: 2014-07-03.

Conductive polymer composition, coated article, patterning process and substrate

Номер патента: US09778570B2. Автор: Jun Hatakeyama,Takayuki Nagasawa. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2017-10-03.

Formation of a hybrid integrated circuit device

Номер патента: WO2009085374A3. Автор: Bernard J. New,Steven P. Young,James Karp,Patrick J. Crotty,Scott S. Nance. Владелец: XILINX, INC.. Дата публикации: 2009-11-19.

Formation of a hybrid integrated circuit device

Номер патента: EP2220682A2. Автор: Bernard J. New,Steven P. Young,James Karp,Patrick J. Crotty,Scott S. Nance. Владелец: Xilinx Inc. Дата публикации: 2010-08-25.

Processing scheme for domain expansion rom media

Номер патента: WO2004040565A3. Автор: Gavin N Phillips. Владелец: Gavin N Phillips. Дата публикации: 2004-12-02.

Method for imprinting and erasing amorphous metal alloys

Номер патента: EP2121992A1. Автор: Hongxing Tang,Jan Schroers,Golden Kumar. Владелец: YALE UNIVERSITY. Дата публикации: 2009-11-25.

Processing scheme for domain expansion rom media

Номер патента: WO2004040566A3. Автор: Gavin N Phillips. Владелец: Gavin N Phillips. Дата публикации: 2004-12-02.

Processing scheme for domain expansion rom media

Номер патента: WO2004040566A2. Автор: Gavin N. Phillips. Владелец: KONINKLIJKE PHILIPS ELECTRONICS N.V.. Дата публикации: 2004-05-13.

Processing scheme for domain expansion rom media

Номер патента: EP1561214A2. Автор: Gavin N. Phillips. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2005-08-10.

Processing scheme for domain expansion rom media

Номер патента: EP1563495A2. Автор: Gavin N. Phillips. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2005-08-17.

Rotational actuator or motor based on carbon nanotubes

Номер патента: WO2005008708A3. Автор: Alexander K Zettl,Adam M Fennimore,Thomas D Yuzvinsky. Владелец: Thomas D Yuzvinsky. Дата публикации: 2005-09-29.

Extreme ultraviolet light and plasma combined atomic-scale processing method

Номер патента: EP4197966A1. Автор: Fengzhou Fang. Владелец: TIANJIN UNIVERSITY. Дата публикации: 2023-06-21.

Sudac, user equipment, base station and sudac system

Номер патента: MY197364A. Автор: Frank Burkhardt,Christian Rohde,Marco Breiling,Wing Kwan Ng,Robert Schober. Владелец: Fraunhofer Ges Forschung. Дата публикации: 2023-06-14.

3d sound analysis system

Номер патента: EP4420362A1. Автор: Ian Symons. Владелец: 3ds Mike Pty Ltd. Дата публикации: 2024-08-28.

3d sound analysis system

Номер патента: WO2023097377A1. Автор: Ian Symons. Владелец: 3ds Mike Pty Ltd. Дата публикации: 2023-06-08.

3d sound analysis system

Номер патента: CA3238515A1. Автор: Ian Symons. Владелец: 3ds Mike Pty Ltd. Дата публикации: 2023-06-08.

Systems and methods for projecting adjustable interference fringes

Номер патента: WO2023215268A1. Автор: Goldie Lynne GOLDSTEIN,Daniel Gene Smith,Takuto TAKEMOTO. Владелец: NIKON CORPORATION. Дата публикации: 2023-11-09.

Field emission backplate

Номер патента: EP1417695A1. Автор: John Shannon,Mervyn John Rose,Ravi Silva. Владелец: UNIVERSITY OF DUNDEE. Дата публикации: 2004-05-12.

3d sound analysis system

Номер патента: AU2022401059A1. Автор: Ian Symons. Владелец: 3ds Mike Pty Ltd. Дата публикации: 2024-05-30.

Portable electro-mechanical cryosurgical device

Номер патента: EP3709917A1. Автор: R. Sam Niedbala,Lincoln C. Young,Philip Michael Formica. Владелец: Cryoconcepts LP. Дата публикации: 2020-09-23.

Portable electro-mechanical cryosurgical device

Номер патента: US20200360070A1. Автор: R. Sam Niedbala,Lincoln C. Young,Philip Michael Formica. Владелец: Cryoconcepts LP. Дата публикации: 2020-11-19.

Floating equipment for recovering supernatant oily products

Номер патента: AU6919998A. Автор: Giancarlo Abo. Владелец: ARON Srl. Дата публикации: 1998-09-22.

Methods for producing carbon-based chemicals by algal biomass processing

Номер патента: US09920337B2. Автор: Klaudija Milos,Marco KRÄMER. Владелец: DIREVO INDUSTRIAL BIOTECHNOLOGY GmbH. Дата публикации: 2018-03-20.

Method of synthesizing 25-hydroxy cholesterol

Номер патента: US09802977B2. Автор: Bin Sun,Ziqiang Wang,Jiangang Liu,Can JIN,Wenhao XU,Weike Su. Владелец: Hangzhou Xiasha Biochemical Tech Co ltd. Дата публикации: 2017-10-31.

Electromagnetic platform motor (EPM) (EPM-1) (EPM-2)

Номер патента: US09641045B2. Автор: Bill Lewis, SR.. Владелец: Individual. Дата публикации: 2017-05-02.

Forming air gap

Номер патента: US20180076082A1. Автор: Naftali E. Lustig,Elbert E. Huang,Griselda Bonilla,Andrew H. Simon,Ronald G. Filippi,Samuel S. Choi. Владелец: Globalfoundries Inc. Дата публикации: 2018-03-15.

Norbornyl dimer ester and polyester additives for lubricants and fuels

Номер патента: AU7709787A. Автор: Richard Michael Lange. Владелец: Lubrizol Corp. Дата публикации: 1988-02-10.

Dna valency sorting chromatography

Номер патента: WO2023055998A9. Автор: Haw Yang,Nyssa EMERSON. Владелец: THE TRUSTEES OF PRINCETON UNIVERSITY. Дата публикации: 2023-08-10.

Pressure-resistant mixer

Номер патента: AU5844586A. Автор: Hubert Eirich,Paul Eirich,Walter Eirich. Владелец: Individual. Дата публикации: 1986-12-11.

Cover-gasket assembly for hard disk device

Номер патента: GB2265904A. Автор: Shinichi Sato,Hirofumi Kishita,Kouichi Yamaguchi,Kazuhiko Tomaru,Noburu Shimamoto. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 1993-10-13.

Portable electro-mechanical cryosurgical device

Номер патента: WO2019099878A1. Автор: R. Sam Niedbala,Lincoln C. Young,Philip Michael Formica. Владелец: Cryoconcepts LP. Дата публикации: 2019-05-23.

Pressure-resistant mixer

Номер патента: CA1263376A. Автор: Hubert Eirich,Paul Eirich,Walter Eirich. Владелец: Individual. Дата публикации: 1989-11-28.

Portable ion generator and dust collector

Номер патента: US20030147784A1. Автор: Constantinos Joannou. Владелец: Joannou Constantinos J.. Дата публикации: 2003-08-07.

Amorphous form of tetracyclic compound

Номер патента: US20230339885A1. Автор: Koji Shiraki,Tadanobu Nakayama,Tomoaki Ota. Владелец: Chugai Pharmaceutical Co Ltd. Дата публикации: 2023-10-26.

Cryogenic removal of carbon dioxide from the atmosphere

Номер патента: US20230314070A1. Автор: Eric Clarence Peterson,Ruslan NAGIMOV,Benjamin Franklin Cutler. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2023-10-05.

Cryogenic removal of carbon dioxide from the atmosphere

Номер патента: WO2023191963A1. Автор: Eric Clarence Peterson,Ruslan NAGIMOV,Benjamin Franklin Cutler. Владелец: Microsoft Technology Licensing, LLC. Дата публикации: 2023-10-05.

Dna valency sorting chromatography

Номер патента: WO2023055998A3. Автор: Haw Yang,Nyssa EMERSON. Владелец: THE TRUSTEES OF PRINCETON UNIVERSITY. Дата публикации: 2023-09-14.

Norbornyl dimer ester and polyester additives for lubricants and fuels

Номер патента: WO1988000589A3. Автор: Richard Michael Lange. Владелец: Lubrizol Corp. Дата публикации: 1988-02-25.

Nobornyl dimer ester and polyester additives for lubricants and fuels

Номер патента: SG18992G. Автор: . Владелец: Lubrizol Corp. Дата публикации: 1992-04-16.

Dna valency sorting chromatography

Номер патента: WO2023055998A2. Автор: Haw Yang,Nyssa EMERSON. Владелец: THE TRUSTEES OF PRINCETON UNIVERSITY. Дата публикации: 2023-04-06.

Method for forming a capacitor in a memory cell in a dynamic random access memory device

Номер патента: US5897983A. Автор: Toshiyuki Hirota,Kazuki Yokota,Masanobu Zenke,Tomomi Kurokawa. Владелец: NEC Corp. Дата публикации: 1999-04-27.

Method of fabricating a TFT with reduced channel length

Номер патента: US5532180A. Автор: Willem den Boer,Tieer Gu. Владелец: OIS Optical Imaging Systems Inc. Дата публикации: 1996-07-02.

Target device and X-ray laser device

Номер патента: JP3490770B2. Автор: 順 佐々部,正 北原,勝吉 藤田. Владелец: Hamamatsu Photonics KK. Дата публикации: 2004-01-26.

Wavelength-variable x-ray laser generating apparatus

Номер патента: JPH1022588A. Автор: Tamio Hara,Toshio Sada,Naohiro Yamaguchi,民夫 原,登志夫 佐田,山口  直洋. Владелец: Toyota Gauken. Дата публикации: 1998-01-23.

Improved x-ray laser

Номер патента: GB8700189D0. Автор: . Владелец: CONTROL LASER Ltd. Дата публикации: 1987-04-15.

Product and process for acting on biological organisms using extremely low frequencies

Номер патента: AU2023204283A1. Автор: Luzo DANTAS JUNIOR. Владелец: Dantas Junior Luzo Mr. Дата публикации: 2023-07-27.

Calibration method suitable for oblique laser interferometry lens imaging distortion

Номер патента: CN109887037B. Автор: 杨鹏程,张蒙蒙,肖渊,杨社强,戴雨志. Владелец: Xian Polytechnic University. Дата публикации: 2023-03-14.

Eye tonometer using laser interferometry and ultrasound

Номер патента: ES2178920A1. Автор: Egana Fco Javier Carreras. Владелец: Egana Fco Javier Carreras. Дата публикации: 2003-01-01.

Double-frequency laser interferometry apparatus

Номер патента: CN101413783B. Автор: 王霁. Владелец: Avic I Beijing Changcheng Institute Of Metrology & Measurement (cimm). Дата публикации: 2012-06-27.

Method of manufacturing printed board using extremely thin copper foil

Номер патента: JPS5446377A. Автор: Kenji Yamamoto,Hitoshi Nakamura,Mitsuo Yamashita,Shinji Umemoto. Владелец: Fujitsu Ltd. Дата публикации: 1979-04-12.

SEMICONDUCTOR EXPOSURE DEVICE USING EXTREME ULTRA VIOLET RADIATION

Номер патента: US20120256105A1. Автор: MORIYA Masato,Wakabayashi Osamu,Soumagne Georg. Владелец: Komatsu Ltd./Gigaphoton, Inc.. Дата публикации: 2012-10-11.

Knowledge acquiring system using extreme-value history

Номер патента: JPH01123327A. Автор: Shinichi Hashimoto,信一 橋本. Владелец: Denso Corp. Дата публикации: 1989-05-16.

LITHOGRAPHY USING PHOTORESIST WITH PHOTOINITIATOR AND PHOTOINHIBITOR

Номер патента: US20120107743A1. Автор: Miller Seth. Владелец: EMPIRE TECHNOLOGY DEVELOPMENT LLC. Дата публикации: 2012-05-03.

Scanning Lithography using point source imaging arrays

Номер патента: US20130065185A1. Автор: Flagello Donis G.. Владелец: . Дата публикации: 2013-03-14.

Room temperature nano-imprint-lithography using SOG

Номер патента: JP4208447B2. Автор: 真二 松井. Владелец: National Institute of Japan Science and Technology Agency. Дата публикации: 2009-01-14.

Antireflective Coatings for Via Fill and Photolithography Applications and Methods of Preparation Thereof

Номер патента: US20120001135A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

HIGH TEMPERATURE HEAP BIOLEACHING PROCESS

Номер патента: US20120000318A1. Автор: KOHR William J.,SHRADER Vandy,JOHANSSON Chris. Владелец: . Дата публикации: 2012-01-05.

WIND TURBINE TOWER

Номер патента: US20120000158A1. Автор: Laursen Christian. Владелец: . Дата публикации: 2012-01-05.

PULSE SIGNAL GENERATION DEVICE

Номер патента: US20120002388A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001170A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

Golf Ball Incorporating Thermoplastic Polyurethane

Номер патента: US20120004050A1. Автор: . Владелец: Nike, Inc.. Дата публикации: 2012-01-05.

Laser System

Номер патента: US20120002687A1. Автор: . Владелец: CYMER, INC.. Дата публикации: 2012-01-05.

ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, AND RESIST FILM AND PATTERN FORMING METHOD USING THE SAME

Номер патента: US20120003590A1. Автор: . Владелец: FUJIFILM Corporation. Дата публикации: 2012-01-05.

Ammonium Fluoroalkanesulfonates and a Synthesis Method Therefor

Номер патента: US20120004447A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

PHOTORESIST AND PATTERNING PROCESS

Номер патента: US20120003582A1. Автор: Wang Chien-Wei,Huang Chun-Ching. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-01-05.