Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 1728. Отображено 198.
24-08-2017 дата публикации

СПОСОБ ПОЛУЧЕНИЯ СИЛИЦИДОВ ТИТАНА

Номер: RU2629121C1

Изобретение относится к области химической технологии неорганических веществ и может быть использовано, в частности, для синтеза тугоплавких соединений. Способ получения силицидов титана включает смешение газообразных галогенидов титана и кремния, взятых в мольном отношении от 5:3 до 1:2 при температуре от 450 до 1100°C в атмосфере инертного газа при нормальном давлении, синтез силицидов титана восстановлением смеси галогенидов титана и кремния в атмосфере инертного газа при нормальном давлении расплавленным цинком при температуре от 450 до 900°C или парами цинка при температуре от 900 до 1100°C, очистку силицидов титана отгонкой галогенидов цинка и металлического цинка в атмосфере инертного газа при температуре от 900 до 1100°C при нормальном давлении или в вакууме при температуре от 700 до 900°C. Обеспечивается простой, экономичный и безопасный способ получения силицидов титана при расширении ассортимента исходного сырья за счет использования хлоридов, бромидов и иодидов титана и кремния ...

Подробнее
15-04-1977 дата публикации

MIT EINEM UBERZUG VERSEHENER METALLISCHER GEBRAUCHSGEGENSTAND

Номер: ATA647174A
Автор:
Принадлежит:

Подробнее
25-01-1974 дата публикации

Procedure for the hardening and for the corrosion protection of the surface of a piece of steel

Номер: AT0000313018B
Автор:
Принадлежит:

Подробнее
19-04-2018 дата публикации

Polymer substrate with hardcoat layer, and manufacturing method for same

Номер: AU2016328952A1
Принадлежит: FB Rice Pty Ltd

The present invention provides a polymer substrate with a hardcoat layer exhibiting excellent environmental resistance and wear resistance. A polymer substrate (60) is 1-20mm thick and a hardcoat layer (70, 80) on the surface thereof comprises: an underlayer cured layer (70) with a thickness of 1-20μm, and including 10-90 parts by weight of a multifunctional acrylate, and 90-10 parts by weight of inorganic oxide fine particles and/or a silicon compound hydrolytic condensate; and a silicon oxide layer (80) which is in direct contract with the underlayer cured layer, is formed by PE-CVD with an organosilicon compound as the starter material, and satisfies all of the following conditions (a)-(c): (a) the film thickness of the silicon oxide layer is 3.5-9.0μm; (b) the maximum indentation depth of the surface of the silicon oxide layer by nanoindentation measurement at a maximum load of 1mN is 150nm or less; and (c) the limit compression ratio K of the silicon oxide layer is at most 0.975 in ...

Подробнее
28-08-1979 дата публикации

PIECE OF JEWELLERY, FOR EXAMPLE A TIME-PIECE CASE, HAVING DIFFERENT URED SURFACE AREAS

Номер: CA1061195A

Objects including jewellery, for example watch-cases, having variously coloured surface areas formed by hard materials of different compositions. The hard materials are mainly carbides, carbo-nitrides, nitrides, silicides or borides of metals of groups III to VI of the periodic system. The coloured objects of this invention are more scratch and abrasion-proof than known objects produced by coating a base metal with a metal such as titanium and oxidizing in zones under different conditions.

Подробнее
29-11-2007 дата публикации

WEAR RESISTANT VAPOR DEPOSITED COATING METHOD OF COATING DEPOSITION AND APPLICATIONS THEREFOR

Номер: CA0002652586A1
Принадлежит:

A low friction top coat (74) over a multilayer metal /ceramic bondcoat (7 0) provides a conductive substrate (68), such as a rotary tool, with wear re sistance and corrosion resistance. The top coat further provides low frictio n and anti- stickiness as well as high compressive stress. The high compress ive stress provided by the top coat protects against degradation of the tool due to abrasion and torsional and cyclic fatigue. Substrate temperature is strictly controlled during the coating process to preserve the bulk properti es of the substrate and the coating. The described coating process is partic ularly useful when applied to shape memory alloys.

Подробнее
24-11-2015 дата публикации

GAS-BARRIER PLASTIC MOLDED PRODUCT AND MANUFACTURING PROCESS THEREFOR

Номер: CA0002822599C
Принадлежит: KIRIN BEER KABUSHIKI KAISHA, KIRIN BREWERY

The purpose of the present invention is to provide a gas-barrier plastic molded product which exhibits high gas-barrier properties. This gas-barrier plastic molded product is equipped with a plastic molded product and a gas-barrier thin film provided on the surface of the plastic molded product, said gas-barrier thin film comprising silicon (Si), carbon (C), oxygen (O) and hydrogen (H) as constituent elements and including an Si-containing layer that has an Si content of 40.1% or more as defined by numerical formula (1): Si content [%] = {(Si content[atomic %])/(total content [atomic %] of Si, O and C)} × 100 [wherein the contents of Si, O and C are proportions thereof among the three elements].

Подробнее
15-07-1977 дата публикации

Номер: CH0000589520A5
Автор:

Подробнее
15-06-1978 дата публикации

Номер: CH0000600407B5

Подробнее
28-02-1978 дата публикации

Номер: CH0000595794A5

Подробнее
15-08-1977 дата публикации

Номер: CH0001466870A4
Автор:
Принадлежит:

Подробнее
31-01-1968 дата публикации

Номер: CH0000926966A4
Автор:
Принадлежит:

Подробнее
21-04-2020 дата публикации

Vapor phase growth device and gas phase growth method

Номер: CN0111052308A
Автор:
Принадлежит:

Подробнее
23-09-1988 дата публикации

PROCESS OF METAL SILICIDE DEPOSIT BY CHEMICAL VAPOR DEPOSIT, EXALTE BY PLASMA

Номер: FR0002554132B1
Принадлежит:

Подробнее
03-04-1956 дата публикации

Tools for shaping

Номер: FR0001113670A
Автор:
Принадлежит:

Подробнее
15-01-2007 дата публикации

Ohmic layer and method for forming the same, semiconductor device having the ohmic layer and method for manufacturing the same

Номер: KR0100669141B1
Автор:
Принадлежит:

Подробнее
30-09-2019 дата публикации

Номер: KR0102026756B1
Автор:
Принадлежит:

Подробнее
26-06-2020 дата публикации

SUBSTRATE MOUNTING METHOD, FILM FORMATION METHOD, FILM FORMATION DEVICE, AND MANUFACTURING SYSTEM OF ORGANIC EL PANEL

Номер: KR1020200075747A
Автор:
Принадлежит:

Подробнее
12-02-2018 дата публикации

NMOS 금속 게이트 물질들, 그 제조 방법들, 및 금속계 전구체들을 사용하는 CVD 및 ALD 프로세스들 장비

Номер: KR1020180015305A
Принадлежит:

... 본 발명의 실시예들은 일반적으로 금속-함유 물질들을 증착하기 위한 방법들과 그 조성들을 제공한다. 상기 방법들은, 열분해, CVD, 펄스-CVD 또는 ALD를 포함하는 기상 증착 프로세스에 의해, 금속, 금속 탄화물, 금속 규화물, 금속 질화물 및 금속 탄화물 유도체들을 형성하는 증착 프로세스들을 포함한다. 일 실시예에서, 10 초과의 유전상수를 가진 유전체 물질을 증착하는 단계, 유전체 물질에 내에 피쳐 데피니션을 형성하는 단계, 피쳐 데피니션의 측벽들과 바닥에 컨포멀하게 일함수 물질을 증착하는 단계, 및 피쳐 데피니션을 충진하도록 일함수 물질 상에 금속 게이트 충진 물질을 증착하는 단계를 포함하고, 일함수 물질은 화학식(MXY)을 가진 적어도 하나의 금속-할로겐화물 전구체를 반응시킴으로써 증착되며, 여기서, M은 탄탈륨, 하프늄, 티타늄 및 란타늄이고, X는 불소, 염소, 브롬 또는 요오드로 이루어진 군으로부터 선택된 할로겐화물이며, y는 3 내지 5인, 기판 프로세싱 방법이 제공된다.

Подробнее
04-09-2004 дата публикации

PRECURSOR SOLUTION USED FOR MOCVD PROCESS AND METHOD FOR CONTROLLING THE COMPOSITION OF MOCVD DEPOSITED PCMO

Номер: KR20040077557A
Принадлежит:

PURPOSE: A precursor solution and a method for controlling the composition of MOCVD deposited PCMO are provided, thereby controlling the composition of the PCMO thin film materials, the ratio of the metals with respect to each other, fine tuning the deposition ratios, and determining the affects of changes in vaporizer temperature or substrate temperature on the deposition rates of different precursors. CONSTITUTION: The single solution MOCVD precursor for depositing PCMO comprises a Pr(tmhd)3 precursor, a Mn(tmhd)3 precursor and a calcium precursor dissolved in an organic solvent, wherein the calcium precursor is Ca(tmhd)2 or Ca(hfac)2; the organic solvent comprises buytlether and tetraglyme in a volumetric ratio of between 2:1 and 5:1, preferably 3:1; the organic solvent comprises a solvent selected from the group consisting of octane, THF, butyl acetate, and iso-propanol; and the Pr(tmhd)3 precursor, the Mn(tmhd)3 precursor and the calcium precursor provide between 0.05 and 0.5 M/L of ...

Подробнее
01-03-2008 дата публикации

Plasma CVD method, method for forming silicon nitride film and method for manufacturing semiconductor device

Номер: TW0200811925A
Принадлежит:

A plasma processing apparatus generates plasma by introducing microwaves into a processing chamber by using a planar antenna having a plurality of slots. By using the plasma processing apparatus, a nitrogen containing gas and a silicon containing gas introduced into the processing chamber are brought into the plasma state, and at the time of depositing by using the plasma a silicon nitride film on the surface of a substrate to be processed, stress to the silicon nitride film to be formed is controlled by the combination of the type and the processing pressure of the nitrogen containing gas.

Подробнее
21-04-2009 дата публикации

In-situ thin-film deposition method

Номер: TWI308935B
Принадлежит: IPS LTD, IPS LTD.

Подробнее
07-12-1999 дата публикации

Substrate having uniform tungsten silicide film and method of manufacture

Номер: US0005997950A1
Принадлежит: Applied Materials, Inc.

A tungsten silicide film is deposited on a substrate from a premixed deposition gas mixture comprising: (i) silicon source gas, such as SiCl2 H2 and (ii) tungsten source gas, such as WF6. A seeding gas, such as silane, is used during the initial deposition stages to deposit a substantially uniform interfacial WSix layer on the substrate, so that the tungsten to silicon ratio of the WSix layer is substantially uniform through the thickness of the WSix film. An apparatus for performing the process is also described.

Подробнее
06-10-1998 дата публикации

Utilization of SiH4 soak and purge in deposition processes

Номер: US0005817576A1
Принадлежит: Applied Materials, Inc.

A method of processing a substrate, such as a semiconductor wafer, in a vacuum processing chamber includes the steps of depositing a material on a surface of the substrate using a gas mixture, and purging the chamber of residual gases by flowing SiH4 into the chamber. Preferably, WSix is deposited on a semiconductor wafer using a mixture comprising WF6, dichlorosilane and a noble gas, and the chamber is subsequently purged of residual WF6 and dichlorosilane by flowing SiH4 into the chamber. A further method of processing a substrate in a vacuum processing chamber includes the step of conditioning the chamber by flowing SiH4 into the chamber prior to depositing a material on the surface of the substrate. Semiconductor wafers processed according to the inventive method are characterized by more uniform sheet resistance values and reduced film stress. A vacuum processing apparatus is also provided for practicing methods of the invention and includes a chamber, means for depositing a material ...

Подробнее
18-09-2003 дата публикации

Method and device for depositing thin layers via ALD/CVD processes in combination with rapid thermal processes

Номер: US20030175423A1
Принадлежит:

The ALD process chamber has heating radiation sources and the process sequence includes rapid temperature changes on a substrate surface of a substrate arranged in the ALD process chamber. The temperature changes are controlled and the ALD and CVD processes are optimized by in situ temperature steps, for example in order to produce nanolaminates.

Подробнее
03-12-2020 дата публикации

POLYMER SUBSTRATE WITH HARD COAT LAYER

Номер: US20200377682A1
Принадлежит: TEIJIN LIMITED, TSUKISHIMA KIKAI CO., LTD.

The present invention is a polymer substrate with a hard coat layer, which is obtained by directly laminating a polymer substrate, a base cured layer and a silicon oxide layer, wherein the base cured layer has a thickness of 1-20 μm and contains 10-90 parts by weight of a polyfunctional acrylate and 90-10 parts by weight of inorganic oxide fine particles and/or a hydrolytic condensation product of a silicon compound or contains a hydrolytic condensation product of an organic silicon compound as a primary component, and the silicon oxide layer satisfies requirement (a1) below at a position 0.04 μm in the thickness direction from the interface between the base cured layer and the silicon oxide layer and satisfies requirement (a3) below at the surface of the silicon oxide layer on the opposite side from the interface, Requirement (a1): when the chemical composition is represented by SiOxCyHz, x falls within the range 1.93-1.98, y falls within the range 0.04-0.15 and z falls within the range ...

Подробнее
01-04-2014 дата публикации

Heteroleptic pyrrolecarbaldimine precursors

Номер: US0008686138B2

Disclosed are precursors having a pyrrolecarbaldiminates ligand and methods of synthesizing the same. The pyrrolecarbaldiminates ligand may be substituted.

Подробнее
09-07-2014 дата публикации

FUNCTIONAL FILM MANUFACTURING METHOD AND FUNCTIONAL FILM

Номер: EP2752251A1
Автор: IWASE, Eijiro
Принадлежит:

The present invention relates to a high-performance functional film, such as a gas barrier film with a high gas barrier performance, which uses an inexpensive support and is manufactured at a low cost and a high productivity. According to the functional film of the present invention which is formed by laminating a coating-based organic layer and an inorganic layer based on a vapor phase deposition method, a glass transition temperature of the organic layer is at least 100°C, and a thickness thereof is between 0.05 µm and 3 µm. The organic layer is coated with at least 5 cc/m2 of a coating material, and formed for a viscosity in a falling-rate drying state to be at least 20 cP and for a surface tension to be 34 dyn/cm or less. The inorganic layer is formed at a surface of the organic layer by a vapor phase film formation method for plasma generation.

Подробнее
03-06-2009 дата публикации

Metal organic compounds containing an amidinate ligand and their use for vapour phase deposition of metal containing thin films

Номер: EP2065390A1
Принадлежит:

Compound of the formula (I): (R1NC(R2)NR3)M(=NR4)y[(NR5R6)z1(NR7R8)z2] wherein, y is an integer, y ≥ 1, z1 and z2 are integers, z1 ≥ 0 and z2 ≥ 0, and, 2y + z1 + z2 = 4; M is a group V metal selected from Tantalum (Ta), Niobium (Nb) and Vanadium (V), R1, R2, R3, R4, R5, R6, R7, and R8 which may be identical or different, are independently chosen from Hydrogen atom, linear or branched alkyl groups having from one to six carbon atoms, linear or branched alkoxy groups having from one to six carbon atoms, linear or branched alkylamide groups having from one to six carbon atoms, linear or branched alkylsilylamide groups having from one to six carbon atoms, linear or branched alkylsilyl groups having from one to six carbon atoms.

Подробнее
05-10-1983 дата публикации

Process for the selective deposition of layered structures consisting of silicides of high melting metals on substrates essentially consisting of silicon, and their use

Номер: EP0000090319A1
Принадлежит:

Structured layers composed of high melting point metal silicides, such as tantalum silicide, are selectively deposited on substrates having at least some silicon and some non-silicon regions, such as are used in thin-film and semiconductor technology, by thermal decomposition of gaseous silicon and halogen compounds containing a high melting point metal in a reaction gas and depositing the metal silicide onto the silicon regions of the substrates while providing a gaseous hydrogen halide, such as hydrogen chloride, to the reaction gas and adjusting the substrate deposition temperature and the composition of the reaction gas to values at which a silicide nucleation in substrate regions, other than silicon regions, is suppressed during deposition of the metal silicide from the gaseous phase due to the presence of the hydrogen halide. The invention is useful for producing contact track levels in VLSI circuits.

Подробнее
21-11-2018 дата публикации

СТРУКТУРА ПОДЛОЖКИ И СПОСОБ ЕЕ ИЗГОТОВЛЕНИЯ

Номер: RU2672962C2

Изобретение относится к подложке, содержащей гибридные тонкие пленки, и к способу ее изготовления и может быть использовано для покрытия излучателей света, экранов, элементов солнечных батарей, а также может применяться в различных областях, включая наноструктурирование для изготовления полупроводников и электронных устройств, химических датчиков и биосенсоров, в сфере разработок нанотрибологии, поверхностных модификаций, наноэлектронных машинных систем (NEMS), микроэлектронных машинных систем (MEMS) и энергонезависимых запоминающих устройств. Подложка содержит гибридные тонкие пленки, каждая из которых содержит неорганические и органические слои, расположенные один на другом на подложке и представленные следующей структурной формулой 1: [структурная формула 1] -[M-X-R1-Y-]m-, при этом m является 1 или более, R1 представляет собой замещенный или незамещенный Салкил, Сциклоалкил или арил, или гетероарил, которые имеют количество атомов в ядрах, составляющее 5-60, М выбирают из группы, состоящей из Zn, Sn, In, Cd, Ga, Al, Ti, Si, V, Mn, Fe, Co, Cu, Zr, Ru, Mo, Nb и W, при этом X и Y каждый выбирают из группы, состоящей из О, S, N, NH и СО, и один из X или Y представляет собой S. Обеспечивается подложка, имеющая очень стабильную на воздухе органическую-неорганическую гибридную многослойную молекулярную пленку. 2 н. и 15 з.п. ф-лы, 25 ил., 1 табл., 5 пр. РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) (13) 2 672 962 C2 (51) МПК C23C 16/42 (2006.01) C23C 16/448 (2006.01) B32B 7/02 (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ (12) ОПИСАНИЕ ИЗОБРЕТЕНИЯ К ПАТЕНТУ (52) СПК C23C 16/42 (2006.01); C23C 16/448 (2006.01); B32B 7/02 (2006.01) (21)(22) Заявка: 2016111694, 04.11.2013 (24) Дата начала отсчета срока действия патента: 21.11.2018 Приоритет(ы): (30) Конвенционный приоритет: 30.08.2013 KR 10-2013-0104463 (43) Дата публикации заявки: 05.10.2017 Бюл. № 28 2462793 C2, 27.09.2012. RU 94018051 A1, 10.04.1996. KR 1020120128113 A, 26.11.2012. US 2005/0153077 A1, 14.07.2005. ...

Подробнее
11-05-2016 дата публикации

Oxidation resistant coating and methods of manufacturing thereof

Номер: GB0201605361D0
Автор:
Принадлежит:

Подробнее
07-11-1985 дата публикации

PLASMA SPRAYED/SPUTTERED REFRACTORY COATING

Номер: AU0004184785A
Принадлежит:

Подробнее
05-07-1988 дата публикации

METHOD FOR THE MANUFACTURE OF METAL SILICIDE LAYERS BY MEANS OF REDUCED PRESSURE GAS PHASE DEPOSITION

Номер: CA1238822A
Принадлежит: SIEMENS AG, SIEMENS AKTIENGESELLSCHAFT

A method for the deposition of a silicide layer of a high melting metal onto a substrate of silicon or silicon dioxide wherein reaction gases consisting of a decomposable siliconcontaining compound, a hydrogen halide and a metal halide are pyrolytically decomposed in a reaction zone to form a reaction mixture from which a metal silicide is deposited on the substrate at reduced pressures. During the decomposition of the gases and deposition of the metal silicide, the gas pressure in the reaction zone is maintained between 1.3 x 10-3 to 5 x 10-2 mbar. This type of pressure is most conveniently maintained by means of a turbomolecular pump.

Подробнее
23-06-1992 дата публикации

SILICON-CONTAINING COATINGS AND A METHOD FOR THEIR PREPARATION

Номер: CA0001303915C
Принадлежит: VARAPRATH SUDARSANAN, VARAPRATH, SUDARSANAN

SILICON-CONTAINING COATINGS AND A METHOD FOR THEIR PREPARATION The invention relates to the formation of siliconcontaining coatings from the vapor phase thermal decomposition of halosilanes, polyhalodisilanes, polyhalosilanes or mixtures thereof in the presence of a metal catalyst. The instant invention also relates to the formation of silicon- and carbon-containing coatings and silicon- and titanium-containing coatings from the vapor phase thermal decomposition of alkyl halides and titanium halides, respectively, in the presence of a halosilane, polyhalosilane or halodisilane, and a metal catalyst.

Подробнее
14-11-1995 дата публикации

REFRACTORY METAL SILICIDE DEPOSIT PROCESS FOR THE PRODUCTIONOF INTEGRATED CIRCUITS

Номер: CA0001337547C

Procédé de dépôt de siliciure de métal réfractaire par la technique de dépôt chimique en phase vapeur à partir de précurseur de métal et du précurseur de silicium pour la fabrication de circuits intégrés, caractérisé en ce que le précurseur de métal réfractaire est mélangé sous forme gazeuse à des sous-fluorures de silicium juste avant le dépôt.

Подробнее
31-05-2017 дата публикации

Semiconductor device and method for manufacturing same

Номер: CN0106796959A
Принадлежит:

Подробнее
16-02-2018 дата публикации

Laminated film, organic electroluminescent device, the photoelectric conversion device and liquid crystal display

Номер: CN0105848881B
Автор:
Принадлежит:

Подробнее
14-06-1974 дата публикации

CUTTING TOOL MATERIALS

Номер: FR0002045776B1
Автор:
Принадлежит:

Подробнее
26-01-1979 дата публикации

PROCEDE DE TRAITEMENT DE PRODUITS EN ACIERS SPECIAUX ET ALLIAGES AU NICKEL

Номер: FR0002396092A
Автор:
Принадлежит:

Procédé de traitement de produits en aciers spéciaux et alliages au nickel. On traite les produits, soit individuellement soit en plus grande quantité, dans une enceinte réactionnelle à température de 700 à 1 100 oC, de préférence 910 à 1 030 oC, avec un mélange gazeux d'hydrogène et d'azote et avec des agents de durcissement à base d'hydrocarbures et/ou d'hydrocarbures chlorés, ou d'hydrocarbures et/ou d'hydrocarbures chlorés et d'halogénures de titane, de composés à base de silicium ou de bore, sous une pression de 0,5 à 1,5 atmosphère jusqu'à ce que le durcissement nécessaire soit atteint, puis on laisse refroidir dans l'hydrogène ou dans un mélange d'hydrogène et d'azote et/ou de gaz rares. Application à la réalisation d'alliages pour produits soumis à des conditions d'usure par frottement.

Подробнее
02-06-1972 дата публикации

STEEL PIECE HAVING HARD AND ANTIICORROSIVE SURFACE

Номер: FR0002110202A1
Автор:
Принадлежит:

Подробнее
19-03-1976 дата публикации

OBJECTS OF USE AND ORNAMENT OBJECTS WITH COATING

Номер: FR0002282483A1
Принадлежит:

Подробнее
19-07-2013 дата публикации

CHEMICAL PLATING IN VAPOUR PHASE OF PTSI FROM ORGANOMETALLIC PT COMPLEXES.

Номер: FR0002985740A1

La présente invention concerne l'utilisation, à titre de précurseur pour le dépôt chimique en phase vapeur de PtSi en surface d'un support, d'au moins un complexe organométallique de Pt comportant au moins : - un ligand à structure cyclique comprenant au moins deux doubles liaisons C=C non adjacentes, ou deux ligands à structure cyclique comprenant chacun une double liaison C=C ; et - un ligand choisi parmi *O-Si(R)3 et *N-(Si(R')3)2, avec : les motifs R étant choisis, indépendamment les uns des autres, parmi des groupements (C1-C4)alcoxy ; les motifs R' étant choisis, indépendamment les uns des autres, parmi des groupements (C1-C4)alkyl et (C3-C4)cycloalkyl ; et * représentant la coordination du ligand au platine.

Подробнее
14-04-1972 дата публикации

Hardened compression moulds - with a surface layer of a hard metallic compound eg titanium carbide

Номер: FR0002103432A1
Автор:
Принадлежит:

Подробнее
17-01-1975 дата публикации

Decorative metallic articles with differently colored surface zones

Номер: FR0002234144A1
Автор:
Принадлежит:

Подробнее
17-07-2020 дата публикации

Coating system for mask frame with structure of standing road and ion beam

Номер: KR0102135219B1
Автор:
Принадлежит:

Подробнее
06-07-2009 дата публикации

METHOD FOR FORMING HIGH DIELECTRIC FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE

Номер: KR1020090074218A
Принадлежит:

Disclosed is a method for forming a high dielectric film, which comprises a step for forming a high dielectric film on a substrate by ALD or CVD using an organic metal raw material at a temperature not more than 350°C, and a step for eliminating hydrogen in the high dielectric film by irradiating the film with ultraviolet light in an oxygen-containing atmosphere at a low pressure.Also disclosed is a method for manufacturing a semiconductor device, which comprises a step for forming a high dielectric film as a gate insulating film on a semiconductor substrate by ALD or CVD using an organic metal raw material at a temperature not more than 350°C, a step for eliminating hydrogen in the high dielectric film by irradiating the film with ultraviolet light in an oxygen-containing atmosphere at a low pressure, and a step for forming a gate electrode on the high dielectric film. © KIPO & WIPO 2009 ...

Подробнее
16-07-2019 дата публикации

Номер: KR1020190084279A
Автор:
Принадлежит:

Подробнее
07-07-2014 дата публикации

NICKEL BIS DIAZABUTADIENE PRECURSORS, THEIR SYNTHESIS, AND THEIR USE FOR NICKEL CONTAINING FILM DEPOSITIONS

Номер: KR1020140085461A
Автор:
Принадлежит:

Подробнее
20-01-2017 дата публикации

가스 배리어 필름 및 그 제조 방법

Номер: KR1020170007797A
Принадлежит:

... 본 발명의 과제는, 가스 배리어성의 면 내의 변동이 적고, 절곡을 반복했을 때의 가스 배리어성의 열화를 억제한 가스 배리어 필름 및 그 제조 방법을 제공하는 것이다. 본 발명의 가스 배리어 필름은, 기재 필름 상에 가스 배리어층이 롤 투 롤로 형성된 가스 배리어 필름이며, 상기 가스 배리어 필름으로부터 시료를 복수 채취하여 평가 시료로 하고, 수분과 반응하여 부식되는 부식성 금속층을 갖는 수증기 투과도 평가 셀을 사용하여, 특정한 평가 방법에 의해 평가한 수증기 투과도(WVTR)의 표준 편차(σ)가 하기 식 (I)을 만족시키는 것을 특징으로 한다. 식 (I) 0.01≤σ≤0.40 ...

Подробнее
19-08-2020 дата публикации

Mask frame assembly and deposition apparatus using the same and manufacturing methods thereof

Номер: KR1020200097370A
Автор:
Принадлежит:

Подробнее
04-08-2020 дата публикации

Mask frame assembly for thin film deposition

Номер: KR1020200092524A
Автор:
Принадлежит:

Подробнее
18-09-2019 дата публикации

Номер: KR1020190106733A
Автор:
Принадлежит:

Подробнее
14-03-2025 дата публикации

실리콘-함유 막의 형성 방법, 이에 사용되는 조성물 및 실리콘 전구체 화합물

Номер: KR20250036790A
Принадлежит:

... 화학식 1로 표시되는 실리콘 전구체 화합물을 포함하는 실리콘-함유 막 형성용 조성물을 이용하여 600℃ 이상의 고온에서 실리콘-함유 산화막 또는 실리콘-함유 복합 금속 산화막을 포함하는 실리콘-함유 막을 효율적으로 형성할 수 있으며, 목적하는 막의 두께 및 조성으로 제어할 수 있고, 복잡한 형상의 기판에서도 우수한 피복성 및 균일한 실리콘-함유 막을 형성할 수 있다.

Подробнее
01-07-2006 дата публикации

In-situ thin-film deposition method

Номер: TW0200622021A
Принадлежит:

Provided is an in-situ thin-film deposition method in which TiSix/Ti layer or TiSix/Ti/TiN layer can be continuously deposited. The method serves to deposit a thin layer as a resistive contact and barrier on a loaded wafer and is performed in a thin-film deposition apparatus including a transfer chamber having a robot arm therein and a plurality of chambers installed as a cluster type on the transfer chamber. The method includes depositing a TiSix layer on the wafer by supplying a first reactive gas containing Ti and a second reactive gas containing Si to a first chamber; and transferring the wafer to a second chamber using the transfer chamber and depositing a TiN layer on the TiSix layer.

Подробнее
21-01-2021 дата публикации

Номер: TWI717009B

Подробнее
17-10-1997 дата публикации

Process for forming metal deposited film containing aluminum as main component by use of alkyl aluminum hydride

Номер: SG0000043271A1
Автор:
Принадлежит:

Подробнее
31-01-2013 дата публикации

METHOD OF ATOMIC LAYER DEPOSITION USING METAL PRECURSORS

Номер: WO2013016069A2
Принадлежит:

Methods for deposition of metal films consisting essentially of Co, Mn, Ru or a lanthanide on surfaces using metal coordination complexes are provided. The precursors used in the process include a 2-methylimine pyrrolyl ligand and/or N,N'-diisopropylformamidinato ligand. The precursors may also contain cyclopentadienyl, pentamethylcyclopentadienyl or pyrrolyl groups.

Подробнее
02-07-2009 дата публикации

MATERIAL FOR FORMATION OF NICKEL-CONTAINING FILM, AND METHOD FOR PRODUCTION THEREOF

Номер: WO000002009081797A1
Принадлежит:

Disclosed is a material for forming a nickel-containing film, which has a low melting point and therefore can be handled as a liquid, which has a high vapor pressure, which can be synthesized readily on an industrial scale, which is stable, and which can be formed into a good nickel-containing film, particularly a good nickel silicide film, readily by a CVD (chemical vapor deposition) method. The material is characterized by comprising a compound represented by formula (1). Ni(R1 aC6H(5-a))(R2 bC5H(5-b)) (1) wherein R1 and R2 independently represent a hydrogen atom or a group represented by formula (2); and a and b independently represent an integer of 0 to 4, and fulfill the requirement represented by the formula 0 Подробнее

20-11-2003 дата публикации

A METHOD FOR FORMING CONFORMAL NITRIFIED TANTALUM SILICIDE FILMS BY THERMAL CVD FOLLOWED BY NITRIDATION

Номер: WO2003096411A1
Принадлежит:

Method for depositing a nitrified tantalum silicide barrier film on a semiconductor device including a silicon-based substrate with recessed features by low temperature thermal CVD of tantalum silicide and subsequent nitrification. The nitrified tantalum silicide barrier film exhibits high conformality and low fluorine or chlorine impurity content. A specific embodiment of the method includes depositing tantalum silicide by TCVD from the reaction of a TaF5 or TaCl5 precursor vapor with silane gas on a 250°C-450°C heated substrate, then exposing the tantalum silicide to a thermal NH3 treatment or an NH3- or N2-containing plasma treatment.

Подробнее
11-09-2003 дата публикации

Plasma enhanced chemical vapor deposition method of forming titanium silicide comprising layers

Номер: US2003170982A1
Автор:
Принадлежит:

Chemical vapor deposition methods of forming titanium silicide comprising layers on substrates are disclosed. TiCl4 and at least one silane are first fed to the chamber at or above a first volumetric ratio of TiCl4 to silane for a first period of time. The ratio is sufficiently high to avoid measurable deposition of titanium silicide on the substrate. Alternately, no measurable silane is fed to the chamber for a first period of time. Regardless, after the first period, TiCl4 and at least one silane are fed to the chamber at or below a second volumetric ratio of TiCl4 to silane for a second period of time. If at least one silane was fed during the first period of time, the second volumetric ratio is lower than the first volumetric ratio. Regardless, the second feeding is effective to plasma enhance chemical vapor deposit a titanium silicide comprising layer on the substrate.

Подробнее
14-05-2019 дата публикации

Plasma processing method and plasma processing apparatus

Номер: US0010290476B2
Принадлежит: TOKYO ELECTRON LIMITED, TOKYO ELECTRON LTD

A plasma processing method including: a film formation step of forming a silicon-containing film on a surface of a member inside a chamber by plasma of a silicon-containing gas and a reducing gas; a plasma processing step of plasma-processing a workpiece carried into the chamber by plasma of a processing gas after the silicon-containing film is formed on the surface of the member; and a removal step of removing the silicon-containing film from the surface of the member by plasma of a fluorine-containing gas after the plasma-processed workpiece is carried out of the chamber.

Подробнее
30-03-2006 дата публикации

Film forming method

Номер: US20060068101A1
Принадлежит: Tri Chemical Laboratories Inc.

A technique is provided that is capable of employing raw materials having no halogen, which has a high possibility of exerting a bad influence upon semiconductor elements, thereby to easily form tungsten films (tungsten silicide films or tungsten nitride films) of which purity is high at a low temperature. A film forming material for forming tungsten films, tungsten silicide films, or tungasten nitride films is provided, wherein a W source of said film is one or more chemical compounds selected from the group consisting of a hexadimethylaminoditungsten, a hexaethylmethylaminoditungsten, and a hexadiethylaminoditungsten.

Подробнее
16-08-2018 дата публикации

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер: US20180233593A1
Принадлежит:

In a semiconductor device including a semiconductor layer made of an oxide semiconductor, occurrence of variance in the characteristics of TFTs is suppressed. In a manufacturing process of a semiconductor device () where a passivation film () is to be formed at an upper layer of a semiconductor layer () made of an oxide semiconductor, deposition conditions of the passivation film () are set such that the proportion of pure metal (the ratio of pure metal to all the components of the semiconductor layer ()) at an interface of the semiconductor layer () to the passivation film () becomes higher than the proportion of pure metal in the bulk of the semiconductor layer (). 1. A semiconductor device where a plurality of layers including a semiconductor layer made of an oxide semiconductor are stacked so as to form a thin film transistor ,wherein, in the semiconductor layer, a proportion of pure metal indicating a ratio of pure metal to all components of the oxide semiconductor is higher at an interface of the semiconductor layer to an upper layer than in a bulk.2. The semiconductor device according to claim 1 , wherein the proportion of pure metal at a peripheral edge portion claim 1 , of a pattern of the semiconductor layer claim 1 , of the interface is higher than the proportion of pure metal in the bulk.3. The semiconductor device according to claim 1 , wherein the proportion of pure metal at an upper surface portion claim 1 , of a pattern of the semiconductor layer claim 1 , of the interface is higher than the proportion of pure metal in the bulk.4. The semiconductor device according to claim 1 , wherein both the proportion of pure metal at a peripheral edge portion claim 1 , of a pattern of the semiconductor layer claim 1 , of the interface and the proportion of pure metal at an upper surface portion claim 1 , of the pattern of the semiconductor layer claim 1 , of the interface are higher than the proportion of pure metal in the bulk.5. The semiconductor device ...

Подробнее
12-08-2008 дата публикации

Semiconductor substrate

Номер: US0007411254B2

The invention includes methods of forming conductive metal silicides by reaction of metal with silicon. In one implementation, such a method includes providing a semiconductor substrate comprising an exposed elemental silicon containing surface. At least one of a crystalline form TiN, WN, elemental form W, or SiC comprising layer is deposited onto the exposed elemental silicon containing surface to a thickness no greater than 50 Angstroms. Such layer is exposed to plasma and a conductive reaction layer including at least one of an elemental metal or metal rich silicide is deposited onto the plasma exposed layer. At least one of metal of the conductive reaction layer or elemental silicon of the substrate is diffused along columnar grain boundaries of the crystalline form layer effective to cause a reaction of metal of the conductive reaction layer with elemental silicon of the substrate to form a conductive metal silicide comprising contact region electrically connecting the conductive reaction ...

Подробнее
31-08-1993 дата публикации

Chemical vapor deposition technique for depositing titanium silicide on semiconductor wafers

Номер: US5240739A
Автор:
Принадлежит:

Disclosed is a chemical vapor deposition (CVD) method of providing a conformal layer of titanium silicide atop a semiconductor wafer within a chemical vapor deposition reactor. Such includes, a) positioning a wafer within the CVD reactor; b) injecting selected quantities of gaseous TiCl4, a gaseous compound of the formula SinH2n+2 where "n" is an integer greater than or equal to 2, and a carrier gas to within the reactor; and c) maintaining the reactor at a selected pressure and a selected temperature which are effective for reacting the TiCl4 and SinH2n+2 to deposit a film on the wafer, the film comprising a titanium silicide.

Подробнее
11-12-2001 дата публикации

Filtering technique for CVD chamber process gases

Номер: US0006328043B1

A method and an apparatus for removing particulate contaminant are provided in order to ensure high purity during a tungsten suicide deposition process. The method for removing particulate contaminant in tungsten silicide deposition process using SiH4 as silicon source gas and NF3 as cleaning gas, includes purging a carrier gas line for an SiH4 silicon source gas to remove the contaminant in the chamber and carrier gas line when the carrier gas is supplied with the chamber, and the NF3 cleaning gas is supplied to the chamber to form plasma. After a plasma cleaning, the gas line flowing reaction gas responding to the SiH4 is purged to remove the contaminant in the chamber and the reaction gas line. As a result, any particulate contaminant is removed or remains at a minimum level that has no effect on the tungsten silicide deposition process.

Подробнее
18-05-2006 дата публикации

FILM FORMING MATERIAL AND METHOD, AND ELEMENT

Номер: JP2006128611A
Принадлежит:

PROBLEM TO BE SOLVED: To provide a technique for easily forming a tungsten film (a tungsten silicide film or a tungsten nitride film) of which purity is high at a low temperature, using a raw material having no halogen which has a high possibility of exerting a bad influence upon a semiconductor element. SOLUTION: A film forming material is provided for forming the tungsten film, tungsten silicide film, or tungsten nitride film in a CVD method, wherein the W source of the film is one or more compounds selected from the group consisting of a biscyclopentadienyltungsten dihydride, a bismethylcyclopentadienyltungsten dihydride, a bisethylcyclopentadienyltungsten dihydride, and a bisisopropylcyclopentadienyltungsten dihydride. COPYRIGHT: (C)2006,JPO&NCIPI ...

Подробнее
29-07-2020 дата публикации

СПОСОБ ИЗГОТОВЛЕНИЯ СОСТАВНОЙ ПОДЛОЖКИ ИЗ SiC

Номер: RU2728484C2

Изобретение относится к технологии получения составной подложки из SiC с монокристаллическим слоем SiC на поликристаллической подложке из SiC, которая может быть использована при изготовлении мощных полупроводниковых приборов: диодов с барьером Шоттки, pn-диодов, pin-диодов, полевых транзисторов и биполярных транзисторов с изолированным затвором (IGBT), используемых для регулирования питания при высоких температурах, частотах и уровнях мощности, и при выращивании нитрида галлия, алмаза и наноуглеродных тонких пленок. Способ изготовления составной подложки из SiC, содержащей монокристаллический слой SiC на поликристаллической подложке из SiC, содержит следующие этапы: обеспечение монокристаллической подложки из SiC 12s, обеспечение опорной подложки из Si 21, формирование области ионной имплантации 12i в монокристаллической подложке из SiC 12s, соединение области ионной имплантации 12i монокристаллической подложки из SiC 12s с одной из сторон опорной подложки из Si 21 с образованием соединенной ...

Подробнее
05-06-1985 дата публикации

Metal-silicide deposition using plasma-enhanced chemical vapour deposition

Номер: GB0002148946A
Принадлежит:

A method for deposition of thin conductive layers of low resistivity titanium silicide. The method comprises the co-deposition of titanium and silicon by plasma-enhanced chemical vapor deposition at a low temperature. An anneal above the deposition temperature reduces the layer resistivity, making the layer especially suitable for microelectronic applications.

Подробнее
25-02-2009 дата публикации

Wear resistant coating

Номер: GB2452190A
Автор: Kyle,Jean, KYLE JEAN, JEAN KYLE
Принадлежит:

A low friction top coat (74) over a multilayer metal /ceramic bondcoat (70) provides a conductive substrate (68), such as a rotary tool, with wear resistance and corrosion resistance. The top coat further provides low friction and anti-stickiness as well as high compressive stress. The high compressive stress provided by the top coat protects against degradation of the tool due to abrasion and torsional and cyclic fatigue. Substrate temperature is strictly controlled during the coating process to preserve the bulk properties of the substrate and the coating. The described coating process is particularly useful when applied to shape memory alloys.

Подробнее
25-05-1979 дата публикации

WITH A COAT MISTAKE, METALLIC UTENSILS

Номер: AT0000350285B
Принадлежит:

Подробнее
15-02-1976 дата публикации

SCHMUCKSTUCK

Номер: ATA759774A
Автор:
Принадлежит:

Подробнее
15-02-1976 дата публикации

PIECE OF JEWELLERY

Номер: AT0000759774A
Принадлежит:

Подробнее
15-04-1977 дата публикации

WITH A UBERZUG OF MISTAKES METALLIC UTENSILS

Номер: AT0000647174A
Принадлежит:

Подробнее
15-11-1977 дата публикации

SCHMUCKSTUCK, ZUM BEISPIEL UHRENSCHALE, AUS METALLISCHEM ODER KERAMISCHEM GRUNDWERKSTOFF

Номер: ATA548273A
Автор:
Принадлежит:

Подробнее
11-01-2019 дата публикации

Gas barrier films and its manufacturing method

Номер: CN0106457765B
Автор:
Принадлежит:

Подробнее
13-02-1976 дата публикации

STEEL PIECE HAVING HARD AND ANTIICORROSIVE SURFACE

Номер: FR0002110202B1
Автор:
Принадлежит:

Подробнее
10-06-2016 дата публикации

METHOD OF MANUFACTURING A PART COVERED WITH A PROTECTIVE COATING

Номер: FR0003029535A1
Принадлежит: SNECMA, UNIVERSITE DE LORRAINE

L'invention concerne un procédé de fabrication d'une pièce (1) revêtue d'un revêtement protecteur (2) comportant l'étape suivante : - formation d'un revêtement protecteur (2) sur tout ou partie de la surface (S) d'une pièce (1), la pièce (1) comportant un alliage réfractaire comportant une matrice de niobium dans laquelle des inclusions de siliciures métalliques sont présentes, le revêtement protecteur (2) étant formé par un procédé de cémentation en caisse à partir d'un cément (11) comportant : i. un mélange A de (NbxTi1-x)3M3CrSi6 et de M0,6Cr0,4Si où M désigne Fe, Co ou Ni et x est compris entre 0 et 1, ou ii. un mélange B de M'Si, de NbSi2 et de Nb4M'4Si7 où M' désigne Fe, Co ou Ni.

Подробнее
09-05-1980 дата публикации

Steels and nickel alloys treatment against wear - by heat treating in hydrocarbon and hydrogen contg. titanium, boron or silicon cpds.

Номер: FR0002396092B3
Автор:
Принадлежит:

Подробнее
12-07-2012 дата публикации

Plasma cvd apparatus

Номер: US20120174864A1
Принадлежит: Kobe Steel Ltd

The disclosed plasma CVD apparatus ( 1 ) is provided with a vacuum chamber ( 3 ); a pair of deposition rollers ( 2, 2 ) disposed within the vacuum chamber ( 3 ) that are connected to both poles of an AC power supply and around which a substrate (W) is wound; a gas-supplying device ( 5 ) that supplies process gas containing a source gas to a deposition zone (D) which is a portion of or all of the region that is on one side of a line linking the centers of rotation of the pair of deposition rollers ( 2, 2 ); and a magnetic-field-generating device ( 7 ) that, by means of the AC power supply being applied to each of the deposition rollers ( 2, 2 ), forms a magnetic field that causes the source gas in a predetermined region to become plasma. The magnetic-field-generating device ( 7 ) causes the source gas in the region adjacent to the surface of the portion of the pair of deposition rollers ( 2, 2 ) located within the deposition zone (D) to become plasma, forming a plasma region (P). The substrate (W) is wound around the pair of deposition rollers ( 2, 2 ) so as to pass through the plasma region (P).

Подробнее
30-08-2012 дата публикации

Atomic layer deposition of super-conducting niobium silicide

Номер: US20120219824A1
Принадлежит: UChicago Argonne LLC

A method of preparing a superconducting thin film of niobium silicide using atomic layer deposition (ALD) where the superconducting critical temperature of the film is controllable by modulating the thickness of the thin film. The film is formed by exposing a substrate within an ALD reactor to alternating exposures of a niobium halide (NbQ x ) and a reducing precursor, for example, disilane (Si 2 H 6 ) or silane (SiH 4 ). A number of ALD cycles are performed to obtain the film thickness and establish the desired superconducting critical temperature between 0.4 K and 3.1 K.

Подробнее
02-01-2020 дата публикации

Semiconductor structure and method of forming the same

Номер: US20200006284A1
Принадлежит: Yangtze Memory Technologies Co Ltd

The present invention relates to a semiconductor structure and method of forming the same. The semiconductor structure includes a first substrate, a first adhesive/bonding stack on the surface of first substrate, wherein the first adhesive/bonding stack includes at least one first adhesive layer and at least one first bonding layer. The material of first bonding layer includes dielectrics such as silicon, nitrogen and carbon, the material of first adhesive layer includes dielectrics such as silicon and nitrogen, and the first adhesive/bonding stack of semiconductor structure is provided with higher bonding force in bonding process.

Подробнее
14-01-2016 дата публикации

COBALT-CONTAINING COMPOUNDS, THEIR SYNTHESIS, AND USE IN COBALT-CONTAINING FILM DEPOSITION

Номер: US20160010204A1
Принадлежит:

Cobalt-containing compounds, their synthesis, and their use for the deposition of cobalt containing films are disclosed. The disclosed cobalt-containing compounds have one of the following formulae: wherein each of R, R, R, Rand Ris independently selected from the group consisting of hydrogen and linear, cyclic, or branched hydrocarbon groups; provided that (a) R≠Rand/or Rwhen Rand Rand Rare a hydrocarbon group; (b) Rand Rare a hydrocarbon group when Ris H; or (c) Ris a C2-C4 hydrocarbon group when Rand Rare H. 110-. (canceled)12. The method of claim 11 , wherein the Formula I compound includes one or two neutral adduct ligands selected from the group consisting of NMe claim 11 , NEt claim 11 , NiPr claim 11 , NMeEt claim 11 , NCH claim 11 , OCH claim 11 , MeO claim 11 , and EtO.13. The method of claim 11 , wherein the cobalt-containing compound is selected from the group consisting of EtSiCo(CO) claim 11 , nPrSiCo(CO) claim 11 , iPrSiCo(CO) claim 11 , nBuSiCo(CO) claim 11 , sec-BuSiCo(CO) claim 11 , iBuSiCo(CO) claim 11 , tBuSiCo(CO) claim 11 , EtMeHSiCo(CO) claim 11 , nPrMeHSiCo(CO) claim 11 , iPrMeHSiCo(CO) claim 11 , PhMeHSiCo(C) claim 11 , MeHSiCo(CO) claim 11 , MeiPrSiCo(CO) claim 11 , MenPrSiCo(CO) claim 11 , MenBuSiCo(CO) claim 11 , Me(sec-Bu)SiCo(CO) claim 11 , MetBuSiCo(CO) claim 11 , MeiBuSiCo(CO) claim 11 , MePhSiCo(CO) claim 11 , MeHSiCo(CO) claim 11 , EtHSiCo(CO) claim 11 , EtMeSiCo(CO) claim 11 , nPrHSiCo(CO) claim 11 , iPrHSiCo(CO) claim 11 , nBuHSiCo(CO) claim 11 , sec-BuHSiCo(CO) claim 11 , iBuHSiCo(CO) claim 11 , tBuHSiCo(CO) claim 11 , PhHSiCo(CO) claim 11 , MeHSiCo(CO) claim 11 , EtHSiCo(CO) claim 11 , nPrHSiCo(CO) claim 11 , iPrHSiCo(CO) claim 11 , nBuHSiCo(CO) claim 11 , sec-BuHSiCo(CO) claim 11 , iBUHSiCo(CO) claim 11 , tBUHSiCo(CO) claim 11 , PhHSiCo(CO) claim 11 , (CO)CoSiHCO(CO) claim 11 , (CO)CoSiMeCo(CO) claim 11 , (CO)CoSiEtCo(CO) claim 11 , (CO)CoSi(iPr)Co(CO) claim 11 , (CO)CoSi(Ph)Co(CO) claim 11 , and (CO)CoSi(CH═CH)(H)Co(CO).14. ...

Подробнее
03-02-2022 дата публикации

Silicide film nucleation

Номер: US20220033970A1
Принадлежит: Applied Materials Inc

Embodiments disclosed herein are directed to forming MOSFET devices. In particular, one or more pre-silicide treatments are performed on a substrate prior to the deposition of the metal-silicide layer to improve the density and performance of the metal-silicide layer in the MOSFETs. The metal-silicide formation formed with the pre-silicide treatment(s) can occur before or after the formation of metal gates during MOSFET fabrication.

Подробнее
17-01-2019 дата публикации

METHODS FOR DEPOSITING SEMICONDUCTOR FILMS

Номер: US20190019684A1
Принадлежит:

A method for forming a film on a substrate in a semiconductor process chamber includes forming a first layer on the substrate using a plasma enhanced process and a gas compound of a chloride-based gas, a hydrogen gas, and an inert gas. The process chamber is then purged and the first layer is thermally soaked with a hydrogen-based precursor gas. The process chamber is then purged again and the process may be repeated with or without the plasma enhanced process until a certain film thickness is achieved on the substrate. 1. A method for forming a film on a substrate , comprising: forming a first layer on the substrate using a plasma enhanced process and a gas compound of a chloride-based gas, a hydrogen gas, and an inert gas; and', 'thermally soaking the first layer with at least a hydrogen-based precursor gas., 'depositing a film on the substrate with a process temperature of less than 500 degrees Celsius, by2. The method of claim 1 , further comprising:forming a second layer on the first layer without using the plasma enhanced process and using a gas compound of a chloride-based gas, a hydrogen gas, and an inert gas; andthermally soaking the second layer with at least a hydrogen-based precursor gas.3. The method of claim 1 , further comprising:forming a second layer on the first layer using the plasma enhanced process and a gas compound of a chloride-based gas, a hydrogen gas, and an inert gas; andthermally soaking the second layer with at least a hydrogen-based precursor gas.4. The method of claim 1 , further comprising:{'sup': '2', 'powering the plasma enhanced process with less than approximately 0.283 watts/cm.'}5. The method of claim 1 , further comprising:{'sup': '2', 'powering the plasma enhanced process with less than approximately 0.141 watts/cm.'}6. The method of claim 1 , further comprising:thermally soaking with the at least a hydrogen-based precursor gas for a time duration of approximately 100 milliseconds to approximately 10 seconds.7. The method of ...

Подробнее
04-02-2021 дата публикации

Cyclic germanium silylamido precursors for ge-containing film depositions and methods of using the same

Номер: US20210032275A1

Methods for forming a Ge-containing film on a substrate comprise the steps of introducing a vapor of a cyclic Ge(II) silylamido precursor into a reactor having the substrate disposed therein and depositing at least part of the cyclic Ge(II) silylamido precursor onto the substrate to form the Ge-containing film using a vapor deposition method. The cyclic Ge(II) silylamido precursor is [SiMe 3 -(N—)—SiMe 2 -(N—)—SiMe 3 ]Ge(II) or [tBu-(N—)—SiMe 2 -(N—)-tBu]Ge(II).

Подробнее
11-02-2016 дата публикации

Wear Resistant Vapor Deposited Coating, Method of Coating Deposition and Applications Therefor

Номер: US20160040280A1
Принадлежит:

A low friction top coat over a multilayer metal/ceramic bondcoat provides a conductive substrate, such as a rotary tool, with wear resistance and corrosion resistance. The top coat further provides low friction and anti-stickiness as well as high compressive stress. The high compressive stress provided by the top coat protects against degradation of the tool due to abrasion and torsional and cyclic fatigue. Substrate temperature is strictly controlled during the coating process to preserve the bulk properties of the substrate and the coating. The described coating process is particularly useful when applied to shape memory alloys. 1. A method for producing a wear resistant edge applied to a shape memory alloy , wherein the temperature of the shape memory alloy is controlled through the vapor deposition process , the method comprising the steps of:i) providing a blank (unsharpened) substrate capable of electrical conduction by applying at least one finishing method selected from the group consisting of sandblasting, chemical cleaning, electrolytic cleaning, grinding, polishing, vibratory tumbling and ion etching to produce a cleaned substrate;ii) depositing a metal-ceramic coating on the cleaned blank substrate by a vapor deposition process, the metal-ceramic coating comprising at least one pair of a metallic layer selected from the group consisting of boron, silicon, titanium, chromium, vanadium, aluminum, molybdenum, niobium, tungsten, hafnium, zirconium, and alloys thereof; overlayed by a ceramic layer selected from the group consisting of nitrides, carbides, oxycarbides, oxynitrides, borides, carboborides, borocarbonitrides, silicides, borosilicides and combinations thereof;iii) grinding a sharp flute to produce a sharpened substrate; andiv) cleaning the sharpened substrate by applying at least one finishing method selected from the group consisting of sandblasting, chemical cleaning, electrolytic cleaning, grinding, polishing, vibratory tumbling and ion etching ...

Подробнее
30-01-2020 дата публикации

Method of selective silicon germanium epitaxy at low temperatures

Номер: US20200035489A1
Автор: Hua Chung, Yi-Chiau Huang
Принадлежит: Applied Materials Inc

In an embodiment, a method of selectively depositing a silicon germanium material on a substrate is provided. The method includes positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon; maintaining the substrate at a temperature of about 450° C. or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas, an etchant gas, a carrier gas, and at least one dopant source gas; and epitaxially and selectively depositing a first silicon germanium material on the substrate.

Подробнее
06-02-2020 дата публикации

Silicon Bond Coat With Columnar Grains and Methods of its Formation

Номер: US20200039892A1
Принадлежит: General Electric Co

Methods for forming a coated component, along with the resulting coated components, are provided. The method may include forming a silicon-based bond coating on a surface of a substrate and forming a barrier coating on the silicon-based bond coating. The silicon-based bond coating comprises columnar grains of crystalline silicon. Chemical vapor depositing (CVD) may be used to form the silicon-based bond coating through CVD of a silicon-containing precursor at a deposition temperature and deposition pressure that causes crystallization of the silicon material during the deposition of the silicon-based bond coating. The silicon-containing precursor may be silane, monochlorosilane, dichlorosilane, and/or trichlorosilane.

Подробнее
10-03-2022 дата публикации

Halogen-Containing Silane-Based Metal Silicide As Nucleation Layer For Tungsten ALD

Номер: US20220074050A1
Автор: Chan Kelvin, CHEN Yihong
Принадлежит: Applied Materials, Inc.

Methods for forming a nucleation layer on a substrate. In some embodiments, the processing method comprises sequential exposure to a first reactive gas comprising a metal precursor and a second reactive gas comprising a halogenated silane to form a nucleation layer on the surface of the substrate. 1. A method of forming a nucleation layer , the method comprising sequentially exposing a substrate surface to a first reactive gas and a second reactive gas to form a nucleation layer , the first reactive gas comprising a metal precursor and the second reactive gas comprising a halogenated silane , the nucleation layer having a growth rate in a range of about 0.1 Å/cycle to 10 Å/cycle.2. The method of claim 1 , wherein the metal precursor comprises one or more of WCl claim 1 , WCl claim 1 , WF claim 1 , MoCl claim 1 , MoClor MoF.3. The method of claim 1 , wherein the halogenated silane comprises a compound having a general formula of SiHX claim 1 , where X is a halogen claim 1 , a is 1-5 claim 1 , c is at least one and b+c equals 2a+2.4. The method of claim 3 , wherein the halogenated silane has each X independently selected from the group consisting of Cl and F claim 3 , a is in the range of 1 to 2 claim 3 , and c is greater than 1.5. The method of claim 1 , wherein the metal precursor comprises tungsten and the nucleation layer comprises tungsten silicide.6. The method of claim 1 , wherein the metal precursor comprises molybdenum and the nucleation layer comprises molybdenum silicide.7. The method of claim 1 , wherein the second reactive gas further comprises a silane.8. The method of claim 1 , wherein the nucleation layer has a growth rate in the range of about 0.1 Å/cycle to about 5 Å/cycle.9. The method of claim 1 , wherein the halogenated silane comprises substantially no Br or I atoms.10. The method of claim 1 , further comprising repeating sequentially exposing the substrate surface to the first reactive gas and the second reactive gas to grow a nucleation layer ...

Подробнее
12-06-2014 дата публикации

ALKOXYSILYLAMINE COMPOUNDS AND APPLICATIONS THEREOF

Номер: US20140158580A1
Принадлежит: AIR PRODUCTS AND CHEMICALS, INC.

Described herein are alkoxysilylamine precursors having the following Formulae A and B: 2. The precursor of claim 1 , wherein Rand Rare linear alkyl groups.3. The precursor of claim 2 , wherein the linear alkyl group is at least one selected from a methyl and an ethyl group.4. The precursor of wherein at least one of R claim 1 , R claim 1 , R claim 1 , and Ris independently selected from the Cto Calkoxy groups.5. The precursor of wherein Cto Calkoxy groups are selected from the group consisting of methoxy claim 4 , ethoxy claim 4 , iso-propoxy claim 4 , n-propoxy claim 4 , tert-butoxy claim 4 , iso-butoxy and sec-butoxy.6. The precursor of wherein at least one of R claim 2 , R claim 2 , R claim 2 , and Rcomprises hydrogen.7. The precursor of claim 1 , wherein R claim 1 , R claim 1 , and Rare each independently a branched Cto Calkyl group and selected from the group consisting of tert-butyl and tert-amyl.8. The precursor of wherein at least one of R claim 1 , R claim 1 , R claim 1 , and Ris independently selected from the Cto Calkyl groups and selected from group consisting of methyl claim 1 , ethyl claim 1 , iso-propyl claim 1 , sec-butyl claim 1 , iso-butyl claim 1 , tert-butyl claim 1 , and tert-pentyl.9. The precursor of wherein at least one of R claim 1 , R claim 1 , R claim 1 , and Rcomprises hydrogen.10. The precursor of comprising one selected from the group consisting of ((MeO)HSi)NH claim 1 , ((EtO)HSi)NH claim 1 , ((MeO)MeSi)NH claim 1 , ((EtO)MeSi)NH claim 1 , ((MeO)MeHSi)NH claim 1 , ((EtO)MeHSi)NH claim 1 , ((MeO)HSi)NMe claim 1 , ((EtO)HSi)NMe claim 1 , ((MeO)MeSi)NMe claim 1 , ((EtO)MeSi)NMe claim 1 , ((MeO)MeHSi)NMe claim 1 , ((EtO)MeHSi)NMe claim 1 , ((MeO)HSi)NEt claim 1 , ((EtO)HSi)NEt claim 1 , ((MeO)MeSi)NEt claim 1 , ((EtO)MeSi)NEt claim 1 , ((MeO)MeHSi)NEt claim 1 , ((EtO)MeHSi)NEt claim 1 , ((MeO)HSi)NPr claim 1 , ((EtO)HSi)NPr claim 1 , ((MeO)MeSi)NPr claim 1 , ((EtO)MeSi)NPr claim 1 , ((MeO)MeHSi)NPr claim 1 , ((EtO)MeHSi)NPr claim 1 , (( ...

Подробнее
14-03-2019 дата публикации

Low Temperature Deposition Of Iridium Containing Films

Номер: US20190078203A1
Принадлежит:

Processing methods for forming iridium-containing films at low temperatures are described. The methods comprise exposing a substrate to iridium hexafluoride and a reactant to form iridium metal or iridium silicide films. Methods for enhancing selectivity and tuning the silicon content of some films are also described. 1. A method of depositing an iridium-containing film , the method comprising exposing a substrate surface maintained at a temperature in the range of about −25° C. to less than 250° C. to iridium hexafluoride and a reactant.2. The method of claim 1 , wherein the substrate surface is exposed to the iridium hexafluoride and the reactant simultaneously.3. The method of claim 1 , wherein the substrate surface is exposed to the iridium hexafluoride and the reactant sequentially.4. The method of claim 1 , wherein the reactant comprises hydrogen.5. The method of claim 4 , wherein the reactant comprises hydrogen plasma.6. The method of claim 5 , wherein the hydrogen plasma comprises an inductively coupled plasma (ICP).7. The method of claim 5 , wherein the hydrogen plasma comprises a conductively coupled plasma (CCP).8. The method of claim 4 , wherein the reactant comprises hydrogen radicals.9. The method of claim 4 , wherein the iridium containing film is greater than or equal to about 99.5% iridium atoms.10. The method of claim 4 , wherein the substrate surface comprises a first material and a second material and the iridium-containing film is deposited selectively on the first material over the second material.11. The method of claim 10 , wherein the first material consists essentially of silicon and the second material comprises silicon oxide claim 10 , silicon nitride or AlO.12. The method of claim 11 , wherein the iridium containing film is deposited at a rate 15 times greater on the first material over the second material.13. The method of claim 1 , wherein the reactant comprises one or more silicon precursor selected from silane claim 1 , polysilanes ...

Подробнее
12-03-2020 дата публикации

Sic epitaxial wafer and method for producing same

Номер: US20200083330A1

A method for producing a SiC epitaxial wafer according to the present embodiment includes: an epitaxial growth step of growing the epitaxial layer on the SiC single crystal substrate by feeding an Si-based raw material gas, a C-based raw material gas, and a gas including a Cl element to a surface of a SiC single crystal substrate, in which the epitaxial growth step is performed under growth conditions that a film deposition pressure is 30 torr or less, a Cl/Si ratio is in a range of 8 to 12, a C/Si ratio is in a range of 0.8 to 1.2, and a growth rate is 50 μm/h or more from an initial growth stage.

Подробнее
29-03-2018 дата публикации

MULTI-COMPOSITION FIBER WITH REFRACTORY ADDITIVE(S) AND METHOD OF MAKING

Номер: US20180087157A1
Принадлежит: FREE FORM FIBERS, LLC

Multi-composition fibers with one or more refractory additives, and methods of making the fibers, are provided. The method(s) includes providing a precursor-laden environment, and promoting fiber growth using laser heating. The precursor-laden environment includes a primary precursor material and a refractory precursor material. The multi-composition fiber may include a primary fiber material, and a refractory material substantially homogeneously intermixed with the primary fiber material. 1. A method of making a multi-composition fiber , the method comprising:providing a precursor laden environment;promoting fiber growth using laser heating; andwherein the precursor laden environment comprises a primary precursor material and a refractory precursor material.2. The method of claim 1 , wherein the precursor laden environment comprises a material selected from a group consisting of gases claim 1 , liquids claim 1 , critical fluids claim 1 , supercritical fluids claim 1 , and combinations thereof.3. The method of claim 1 , wherein the primary precursor material comprises a precursor for silicon carbide claim 1 , and the refractory precursor material is a precursor for a material selected from a group consisting of zirconium carbide claim 1 , hafnium carbide claim 1 , and tantalum carbide.4. The method of claim 1 , wherein the primary precursor material comprises a precursor for silicon carbide claim 1 , and the refractory precursor material is a precursor for a material selected from a group consisting of zirconium diboride claim 1 , hafnium diboride claim 1 , and tantalum diboride.5. The method of claim 1 , wherein the primary precursor material is a precursor for a primary fiber material claim 1 , the primary fiber material comprising an ordinarily solid material selected from a group consisting of boron claim 1 , carbon claim 1 , aluminum claim 1 , silicon claim 1 , titanium claim 1 , zirconium claim 1 , niobium claim 1 , molybdenum claim 1 , hafnium claim 1 , ...

Подробнее
05-05-2022 дата публикации

METHOD OF SIMULTANEOUS SILICIDATION ON SOURCE AND DRAIN OF NMOS AND PMOS TRANSISTORS

Номер: US20220139784A1
Автор: Li Xuebin
Принадлежит:

A method and apparatus for the formation of a metal-oxide semiconductor FET (MOSFET) device is disclosed herein. The method of formation includes the utilization of a silicon-germanium seed layer deposited over an n-channel metal-oxide semiconductor (NMOS) device and a p-channel metal-oxide semiconductor (PMOS) device. The seed layer may be one seed layer deposited over both the NMOS source/drain regions and the PMOS source/drain regions or two doped seed layers wherein a first doped seed layer is deposited over the PMOS source/drain regions and a second doped seed layer is deposited over the NMOS source/drain regions. The seed layer enables simultaneous formation of a silicide over both the PMOS source/drain regions and the NMOS source/drain regions. The silicide formation consumes the seed layer and forms a silicide layer which varies in composition depending upon the composition of the absorbed seed layer.

Подробнее
23-04-2015 дата публикации

METHOD FOR FORMING MANGANESE-CONTAINING FILM

Номер: US20150110975A1
Принадлежит:

A method for forming a manganese-containing film to be formed between an underlayer and a copper film includes reacting a manganese compound gas with a nitrogen-containing reaction gas to form a nitrogen-containing manganese film on the underlayer; and reacting a manganese compound gas with a reducing reaction gas, thermally decomposing a manganese compound gas, or performing a decomposition reaction on a manganese compound gas through irradiation of energy or active species to form a metal manganese film on the nitrogen-containing manganese film. 1. A method for forming a manganese-containing film to be formed between an underlayer and a copper film , comprising:reacting a manganese compound gas with a nitrogen-containing reaction gas to form a nitrogen-containing manganese film on the underlayer; andreacting a manganese compound gas with a reducing reaction gas, thermally decomposing a manganese compound gas, or performing a decomposition reaction on a manganese compound gas through irradiation of energy or active species to form a metal manganese film on the nitrogen-containing manganese film.2. A method for forming a manganese-containing film to be formed between an underlayer and a copper film , comprising:reacting a manganese compound gas with oxygen supplied from the underlayer to form a manganese oxide film or a manganese silicate film on the underlayer; andreacting a manganese compound gas with a reducing reaction gas, thermally decomposing a manganese compound gas, or performing a decomposition reaction on a manganese compound gas through irradiation of energy or active species to form a metal manganese film on the manganese oxide film or on the manganese silicate film.3. A method for forming a manganese-containing film to be formed between an underlayer and a copper film , comprising:reacting a manganese compound gas with a reducing reaction gas, thermally decomposing a manganese compound gas, or performing a decomposition reaction on a manganese compound ...

Подробнее
04-04-2019 дата публикации

Selective deposition of metal silicides

Номер: US20190103278A1

Embodiments of the disclosure relate to selective metal silicide deposition methods. In one embodiment, a substrate having a silicon containing surface is heated and the silicon containing surface is hydrogen terminated. The substrate is exposed to sequential cycles of a MoF 6 precursor and a Si 2 H 6 precursor which is followed by an additional Si 2 H 6 overdose exposure to selectively deposit a MoSi x material comprising MoSi 2 on the silicon containing surface of the substrate. Methods described herein also provide for selective native oxide removal which enables removal of native oxide material without etching bulk oxide materials.

Подробнее
28-04-2016 дата публикации

COBALT-CONTAINING FILM FORMING COMPOSITIONS, THEIR SYNTHESIS, AND USE IN FILM DEPOSITION

Номер: US20160115588A1
Принадлежит:

Cobalt-containing film forming compositions, their preparation, and their use for the vapor deposition of films are disclosed. The cobalt-containing film forming compositions comprise silylamide-containing precursors, particularly Co[N(SiMe)](NMeEt) and/or Co[N(SiMe)](NMeEt). 1. A method of depositing a Co-containing layer on a substrate , the method comprising introducing a vapor of a Co-containing film forming composition into a reactor having a substrate disposed therein , wherein the Co-containing film forming compositions comprises a silylamide-containing precursor selected from Co[N(SiMe)](NMeEt) , Co[N(SiMe)](NMeEt) , or combinations thereof; and depositing at least part of the silylamide-containing precursor onto the substrate to form the Co-containing layer using a vapor deposition method.2. The method of claim 1 , wherein the silylamide-containing precursor is Co[N(SiMe)](NMeEt).3. The method of claim 1 , wherein the silylamide-containing precursor is Co[N(SiMe)](NMeEt).4. The method of claim 1 , wherein the Co-containing layer is Co.5. The method of claim 4 , wherein the substrate is SiO.6. The method of claim 4 , wherein the Co-containing layer is Cu.7. The method of claim 1 , wherein the Co-containing layer is CoSi.8. The method of claim 7 , wherein the substrate is SiO.9. The method of claim 7 , wherein the substrate is Si.10. A method of depositing a Co-containing layer on a substrate claim 7 , the method comprising:{'sub': 3', '2', '2', '2', '3', '2', '2', '2, 'attaching a Co-containing film forming composition delivery device comprising a Co-containing film forming composition to a vapor deposition chamber, wherein the Co-containing film forming composition comprises a silylamide-containing precursor selected from Co[N(SiMe)](NMeEt), Co[N(SiMe)](NMeEt), or combinations thereof;'}heating the Co-containing film forming composition delivery device to a temperature that generates between approximately 0.2 Torr (approximately 27 Pascal) and approximately ...

Подробнее
26-04-2018 дата публикации

METHOD FOR FORMING AMORPHOUS THIN FILM

Номер: US20180112307A1
Принадлежит: EUGENE TECHNOLOGY CO., LTD.

According to an embodiment of the present invention, provided is a method for forming an amorphous thin film, the method comprising: forming a seed layer on a surface of a base by supplying aminosilane-based gas on the base; forming the first boron-doped amorphous thin film by supplying the first source gas including boron-based gas on the seed layer; and forming the second boron-doped amorphous thin film by supplying the second source gas including boron-based gas on the first amorphous thin film. 1. A method for forming an amorphous thin film , the method comprising:forming a seed layer on a surface of a base by supplying aminosilane-based gas on the base; andforming the amorphous thin film having a predetermined thickness on the seed layer, forming a first boron-doped amorphous thin film having a first thickness on the seed layer; and', 'forming a second boron-doped amorphous thin film having a second thickness on the first boron-doped amorphous thin film,, 'wherein forming the amorphous thin film comprisingwherein a first gas used in the process forming the first boron-doped amorphous thin film includes boron-based gas and silane-based gas and be supplied to the seed layer, a second gas used in the process forming the second boron-doped amorphous thin film includes boron-based gas, being different from the first gas and being supplied to the first boron-doped amorphous thin film.2. The method of claim 1 , wherein the boron-based gas is B2H6.3. The method of claim 1 , wherein silane-based gas included in the first source gas is SiH4.4. The method of claim 3 , wherein silane-based gas included in the second source gas is Si2H6 and the second amorphous thin film is a silicon thin film claim 3 ,the forming the first amorphous thin film is performed at 300 degrees, andthe forming the second amorphous thin film is performed at 400 degrees.5. The method of claim 3 , wherein silane-based gas included in the second source gas is a mixed gas of SiH4 and Si2H6 at a ratio ...

Подробнее
13-05-2021 дата публикации

Methods to reduce material surface roughness

Номер: US20210140045A1
Принадлежит: Applied Materials Inc

Exemplary deposition methods may include delivering a silicon-containing precursor and a boron-containing precursor to a processing region of a semiconductor processing chamber. The methods may include providing a hydrogen-containing precursor with the silicon-containing precursor and the boron-containing precursor. A flow rate ratio of the hydrogen-containing precursor to either of the silicon-containing precursor or the boron-containing precursor is greater than or about 2:1. The methods may include forming a plasma of all precursors within the processing region of a semiconductor processing chamber. The methods may include depositing a silicon-and-boron material on a substrate disposed within the processing region of the semiconductor processing chamber.

Подробнее
21-05-2015 дата публикации

CHEMICAL VAPOR DEPOSITION PROCESS FOR DEPOSITING A SILICA COATING ON A GLASS SUBSTRATE

Номер: US20150140216A1
Принадлежит:

A CVD process for depositing a silica coating is provided. The process includes providing a glass substrate. The process also includes forming a gaseous mixture including a silane compound, oxygen, a fluorine-containing compound, and a radical scavenger such as ethylene or propylene. The gaseous mixture is directed toward and along the glass substrate and is reacted over the glass substrate to form the silica coating thereon. 1. A chemical vapor deposition process for depositing a silica coating , comprising:providing a glass substrate;forming a gaseous mixture comprising a silane compound, oxygen, a fluorine-containing compound, and a radical scavenger; anddirecting the gaseous mixture toward and along the glass substrate, and reacting the mixture over the glass substrate to form the silica coating thereon.2. The chemical vapor deposition process defined in claim 1 , wherein the gaseous mixture also comprises water vapor.3. The chemical vapor deposition process defined in claim 2 , wherein the gaseous mixture comprises less than 4 mol % fluorine-containing compound.4. The chemical vapor deposition process defined in claim 1 , wherein the glass substrate is moving.5. The chemical vapor deposition process defined in claim 1 , wherein the silica coating is formed on a deposition surface of the glass substrate which is at essentially atmospheric pressure.6. The chemical vapor deposition process defined in claim 1 , wherein the fluorine-containing compound is an inorganic fluorine-containing compound.7. The chemical vapor deposition process defined in claim 6 , wherein the fluorine-containing compound is hydrogen fluoride.8. The chemical vapor deposition process defined in claim 1 , wherein the fluorine-containing compound is an organic fluorine-containing compound.9. The chemical vapor deposition process defined in claim 1 , wherein the gaseous mixture is formed prior to being fed to a coating apparatus.10. The chemical vapor deposition process defined in claim 1 , ...

Подробнее
17-05-2018 дата публикации

Disubstituted alkyne dicobalt hexacarbonyl compounds, method of making and method of use thereof

Номер: US20180134738A1
Принадлежит: Versum Materials US LLC

Described herein are cobalt compounds, processes for making cobalt compounds, cobalt compounds used as precursors for depositing cobalt-containing films (e.g., cobalt, cobalt oxide, cobalt nitride, cobalt silicide etc.); and cobalt films. Examples of cobalt precursor compounds are (disubstituted alkyne) dicobalt hexacarbonyl compounds. Examples of surfaces for deposition of metal-containing films include, but are not limited to, metals, metal oxides, metal nitrides, and metal silicides. Disubstituted alkyne ligands with alkyl groups such as linear alkyls and branched alkyls to form cobalt complexes which are used for selective deposition on certain surfaces and/or superior film properties such as uniformity, continuity, and low resistance.

Подробнее
17-05-2018 дата публикации

COBALT COMPOUNDS, METHOD OF MAKING AND METHOD OF USE THEREOF

Номер: US20180135174A1
Принадлежит: Versum Materials US, LLC

Described herein are cobalt compounds, processes for making cobalt compounds, and compositions comprising cobalt metal-film precursors used for depositing cobalt-containing films (e.g., cobalt, cobalt oxide, cobalt nitride, etc.). Examples of cobalt precursor compounds are (alkyne) dicobalt hexacarbonyl compounds, cobalt enamine compounds, cobalt monoazadienes, and (functionalized alkyl) cobalt tetracarbonyl. Examples of surfaces for deposition of metal-containing films include, but are not limited to, metals, metal oxides, metal nitrides, and metal silicides. Functionalized ligands with groups such as amino, nitrile, imino, hydroxyl, aldehyde, esters, halogens, and carboxylic acids are used for selective deposition on certain surfaces and/or superior film properties such as uniformity, continuity, and low resistance. 4. The method of claim 1 , wherein the Co precursor of 3) is (1 claim 1 ,1-Dimethylpropargylalcohol)dicobalt hexacarbonyl.5. The method of claim 1 , wherein the Co precursor of 5) is cobalt tricarbonyl [N-methyl-N-[(1 claim 1 ,2-η)-2-methyl-1-propenylidene]].6. The method of claim 1 , wherein the Co precursor of 6) is selected from the group consisting of (Methoxymethyl)cobalt tetracarbonyl claim 1 , (CHOCH)Co(CO) claim 1 , and (Trifluoromethyl)cobalt tetracarbonyl claim 1 , (CF)Co(CO).7. The method of claim 1 , wherein the Co precursor is (1 claim 1 ,1-Dimethylpropargylalcohol) dicobalt hexacarbonyl.8. The method of claim 1 , wherein the substrate is selected from a group consisting of silicon claim 1 , silicon oxide claim 1 , PVD TaN claim 1 , copper claim 1 , cobalt claim 1 , metal nitride and combinations thereof.9. The method of claim 1 , wherein the Co containing film is selected from a group consisting of cobalt film claim 1 , cobalt oxide film claim 1 , cobalt silicide film claim 1 , cobalt nitride film claim 1 , and combinations thereof.10. The method of claim 1 , wherein the Co film is deposited by a method selected from a group consisting of ...

Подробнее
15-09-2022 дата публикации

SILICON COMPOUNDS AND METHODS FOR DEPOSITING FILMS USING SAME

Номер: US20220293417A1
Принадлежит: Versum Materials US, LLC

A chemical vapor deposition method for producing a dielectric film, the method comprising: providing a substrate into a reaction chamber; introducing gaseous reagents into the reaction chamber wherein the gaseous reagents comprise a silicon precursor comprising a silicon compound having the formula RHSi as defined herein and applying energy to the gaseous reagents in the reaction chamber to induce reaction of the gaseous reagents to deposit a film on the substrate. The film as deposited is suitable for its intended use without an optional additional cure step applied to the as-deposited film. 1. A chemical vapor deposition method for producing a dielectric film , the method comprising:providing a substrate in a reaction chamber; [{'sub': n', '4-n', '2', '10, 'a silicon precursor comprising RHSi, wherein R is selected from the group consisting of a linear, branched, or cyclic Cto Calkyl and n is 2-3, and'}, 'at least one oxygen source; and, 'introducing gaseous reagents into the reaction chamber wherein the gaseous reagents compriseapplying energy to the gaseous reagents in the reaction chamber to induce reaction of the gaseous reagents and thereby deposit the film on the substrate, wherein the film has a dielectric constant ranging between about 2.5 and 3.3.2. The method of wherein the silicon precursor is at least one selected from the group consisting of triethylsilane claim 1 , diethylsilane claim 1 , tri-n-propylsilane claim 1 , di-n-propylsilane claim 1 , ethyldi-n-propylsilane claim 1 , diethyl-n-propylsilane claim 1 , di-n-propylsilane claim 1 , di-n-butylsilane claim 1 , tri-n-butylsilane claim 1 , tri-iso-propylsilane claim 1 , diethylcyclopentylsilane claim 1 , diethylcyclohexylsilane.3. The method of wherein the deposition method is a plasma enhanced chemical vapor deposition method.4. The method of where the oxygen source comprises at least one oxygen source is selected from the group consisting of O claim 1 , NO claim 1 , NO claim 1 , NO claim 1 , CO ...

Подробнее
28-08-2014 дата публикации

NICKEL BIS DIAZABUTADIENE PRECURSORS, THEIR SYNTHESIS, AND THEIR USE FOR NICKEL CONTAINING FILMS DEPOSITIONS

Номер: US20140242298A1
Принадлежит:

Disclosed are homoleptic diazabutadiene nickel precursors used for the vapor deposition of nickel-containing films. The precursors have the general formula Ni(R-DAD), wherein R-DAD stands for substituted 1,4-diazabuta-1,3-diene ligands. The sole presence of the Ni—N bonds was also considered to avoid too high intrusion of other elements, such as carbon, into the nickel-containing films. The flexibility of the Ni—N bond in terms of film deposition also allows using the molecules for nickel, nickel-nitride, nickel-carbonitride, nickel oxide or any other type of nickel-containing films. The nickel-containing film depositions can be carried out by thermal and/or plasma-enhanced CVD, ALD, and pulse CVD or any other type of depositions methods. 1. A method of depositing a nickel containing film , the method comprising:{'sub': 2', '2, 'introducing at least one nickel bis diazabutadiene precursor into a reactor having at least one substrate disposed therein, the at least one nickel bis diazabutadiene precursor being Ni(nPrN═CH—CH═NnPr)or Ni(iPrN═CH—CH═NiPr); and'}depositing at least part of the nickel bis diazabutadiene precursor onto the at least one substrate to form the nickel containing film.2. The method of claim 1 , wherein the nickel bis diazabutadiene precursor is Ni(nPrN═CH—CH═NnPr).3. The method of claim 1 , wherein the method is performed at a temperature between about 20° C. and about 600° C.4. The method of claim 1 , wherein the method is performed at a pressure between about 0.1 Pa and about 10Pa.5. The method of claim 1 , wherein the deposition step is selected from the group consisting of chemical vapor deposition (CVD) claim 1 , atomic layer deposition (ALD) claim 1 , plasma CVD claim 1 , plasma ALD claim 1 , pulse CVD claim 1 , low pressure CVD claim 1 , sub-atmospheric CVD claim 1 , atmospheric pressure CVD claim 1 , hot-wire CVD claim 1 , hot-wire ALD claim 1 , and super critical fluid deposition.6. The method of claim 1 , further comprising:introducing ...

Подробнее
14-05-2020 дата публикации

Sic epitaxial wafer, manufacturing apparatus of sic epitaxial wafer, fabrication method of sic epitaxial wafer, and semiconductor device

Номер: US20200149188A1
Автор: Hirokuni Asamizu
Принадлежит: ROHM CO LTD

A SiC epitaxial wafer includes: a substrate having an off angle of less than 4 degrees; and a SiC epitaxial growth layer disposed on the substrate having the off angle of less than 4 degrees, wherein an Si compound is used for a supply source of Si, and a C compound is used as a supply source of C, for the SiC epitaxial growth layer, wherein the uniformity of carrier density is less than 10%, and the defect density is less than 1 count/cm2; and a C/Si ratio of the Si compound and the C (carbon) compound is within a range of 0.7 to 0.95. There is provide a high-quality SiC epitaxial wafer excellent in film thickness uniformity and uniformity of carrier density, having the small number of surface defects, and capable of reducing costs, also in low-off angle SiC substrates on SiC epitaxial growth.

Подробнее
14-05-2020 дата публикации

SiC COMPOSITE SUBSTRATE AND METHOD FOR MANUFACTURING SAME

Номер: US20200149189A1
Принадлежит:

Provided is an SiC composite substrate having a monocrystalline SiC layer on a polycrystalline SiC substrate , wherein: some or all of the interface at which the polycrystalline SiC substrate and the monocrystalline SiC layer are in contact is an unmatched interface Ithat is not lattice-matched; the monocrystalline SiC layer has a smooth obverse surface and has, on the side of the interface with the polycrystalline SiC substrate , a surface that has more pronounced depressions and projections than the obverse surface; and the close-packed plane (lattice plane ) of the crystals of the polycrystalline SiC in the polycrystalline SiC substrate is randomly oriented with reference to the direction of a normal to the obverse surface of the monocrystalline SiC layer . The present invention improves the adhesion between the polycrystalline SiC substrate and the monocrystalline SiC layer. 1. An SiC composite substrate comprising a polycrystalline SiC substrate and a monocrystalline SiC layer thereon , wherein the entirety or a part of the interface of the polycrystalline SiC substrate in abutment with the monocrystalline SiC layer is a mismatch interface that is not lattice-matched , the monocrystalline SiC layer has a smooth front surface and a surface on the side of the interface with the polycrystalline SiC substrate that is more rugged than the front surface , said rugged surface of the monocrystalline SiC layer is composed of oblique surface segments randomly oriented with reference to a direction normal to the front surface of the monocrystalline SiC layer , and the close-packed planes of polycrystalline SiC crystals in the polycrystalline SiC substrate are parallel to said oblique surface segments and correspondingly randomly oriented with reference to a direction normal to the front surface of the monocrystalline SiC layer.2. The SiC composite substrate of wherein claim 1 , where the close-packed plane of the crystal lattice of each crystal grain in the ...

Подробнее
14-05-2020 дата публикации

Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Номер: US20200152444A1
Принадлежит: Kokusai Electric Corp

There is provided a technique that includes forming a film containing silicon, oxygen, carbon, and nitrogen on a substrate by performing a cycle a predetermined number of times, the cycle including: forming a first layer containing silicon, carbon, and nitrogen by performing a set a predetermined number of times, the set including: supplying a first precursor, which contains at least two Si—N bonds and at least one Si—C bond in one molecule, to the substrate; and supplying a second precursor, which contains nitrogen and hydrogen, to the substrate; and forming a second layer by supplying an oxidant to the substrate, to thereby oxidize the first layer.

Подробнее
11-09-2014 дата публикации

Methods For Depositing Films Comprising Cobalt And Cobalt Nitrides

Номер: US20140255606A1
Принадлежит: Individual

Described are cobalt-containing films, as well as methods for providing the cobalt-containing films. Certain methods pertain to exposing a substrate surface to a precursor and a co-reactant to provide a cobalt-containing film, the first precursor having a structure represented by: wherein each R is independently C1-C6 substituted or un-substituted alkanes, branched or un-branched alkanes, substituted or un-substituted alkenes, branched or un-branched alkenes, substituted or un-substituted alkynes, branched or un-branched alkynes or substituted or un-substituted aromatics, L is a coordinating ligand comprising a Lewis base.

Подробнее
06-06-2019 дата публикации

METHODS FOR FORMING A METAL SILICIDE INTERCONNECTION NANOWIRE STRUCTURE

Номер: US20190172686A1
Принадлежит:

Methods and apparatus for forming a metal silicide as nanowires for back-end interconnection structures for semiconductor applications are provided. In one embodiment, the method includes forming a metal silicide layer on a substrate by a chemical vapor deposition process or a physical vapor deposition process, thermal treating the metal silicide layer in a processing chamber, applying a microwave power in the processing chamber while thermal treating the metal silicide layer; and maintaining a substrate temperature less than 400 degrees Celsius while thermal treating the metal silicide layer. In another embodiment, a method includes supplying a deposition gas mixture including at least a metal containing precursor and a reacting gas on a surface of a substrate, forming a plasma in the presence of the deposition gas mixture by exposure to microwave power, exposing the plasma to light radiation, and forming a metal silicide layer on the substrate from the deposition gas. 1. An apparatus for forming metal silicide nanowires for back-end interconnection structure for semiconductor devices comprising:a chamber body having a chamber lid disposed on a chamber sidewall defining an interior volume of a plasma processing chamber;a gas panel configured to provide nickel containing compound into the interior volume of the plasma processing chamber;a substrate support pedestal disposed in the interior volume of the plasma processing chamber;a microwave generator coupled to the chamber body; anda light source disposed in the plasma processing chamber.2. The apparatus of claim 1 , wherein the light source provides IR or UV light to the interior volume of the plasma processing chamber during a plasma process.3. The apparatus of claim 1 , wherein the light source is configured to provide a light radiation to a substrate disposed on the substrate support pedestal.4. The apparatus of claim 3 , wherein the light radiation has a light wavelength between about 1 mm and about 1000 mm.5. ...

Подробнее
18-09-2014 дата публикации

COMPOSITE COATINGS AND METHODS THEREFOR

Номер: US20140272344A1
Принадлежит: GENERAL ELECTRIC COMPANY

An article includes a substrate and a coating provided on a surface of the substrate. The coating includes at least one metal silicide layer consisting essentially of MoSior WSior (Mo, W)Sior a platinum group metal silicide and at least one layer consisting essentially of SiN. 1. An article , comprising:a substrate; and [{'sub': 2', '2', '2, 'at least one metal silicide layer consisting essentially of MoSior WSior (Mo, W)Sior a platinum group metal silicide; and'}, {'sub': 3', '4, 'at least one layer consisting essentially of SiN.'}], 'a coating provided on a surface of the substrate, the coating comprising'}2. The article according to claim 1 , wherein the at least one metal silicide layer is in contact with the surface of the substrate.3. The article according to claim 1 , wherein the at least one layer of SiNis in contact with the surface of the substrate.4. The article according to claim 1 , further comprising:{'sub': 3', '4', '3', '4, 'at least one transition region between the at least one metal silicide layer and the at least one layer of SiN, the transition region including a mixture of both the metal silicide layer and SiN.'}5. The article according to claim 1 , further comprising:{'sub': 2', '2', '2, 'a plurality of metal silicide layers consisting essentially of MoSior WSior (Mo, W)Sior a platinum group metal silicide; and'}{'sub': 3', '4', '3', '4, 'a plurality of layers consisting essentially of SiN, wherein the layers of metal silicide and SiNare alternating.'}6. The article according to claim 1 , wherein a thickness ratio of the at least one metal silicide layer and the at least one layer of SiNprovides the coating with a coefficient of thermal expansion substantially equal to a coefficient of thermal expansion of the substrate.7. The article according to claim 1 , wherein the substrate includes SiC claim 1 , SiN claim 1 , a transition metal silicide claim 1 , and/or silicon as a reinforcement or matrix phase.8. The article according to claim 1 , ...

Подробнее
13-06-2019 дата публикации

Method for manufacturing substrate

Номер: US20190177852A1
Автор: Hidehiko Oku, Ichiro Hide
Принадлежит: AIR WATER INC

A method for manufacturing a substrate with less warpage includes a step of forming SiC film 121 on a surface of Si substrate 11 , a step of removing bottom surface RG 2 which is at least a part of the Si substrate 11 contacting with the SiC film 121 , and a step of forming another SiC film on a surface of SiC film 121 after the step of removing the bottom surface RG 2.

Подробнее
07-07-2016 дата публикации

MANGANESE-CONTAINING FILM FORMING COMPOSITIONS, THEIR SYNTHESIS, AND USE IN FILM DEPOSITION

Номер: US20160194755A1
Принадлежит:

Manganese-containing film forming compositions, their preparation, and their use for the vapor deposition of films are disclosed. The manganese-containing film forming compositions comprise silylamide-containing precursors, particularly {Mn[N(SiMeEt)]}. 1. A method of depositing a Mn-containing layer on a substrate , the method comprising:{'sub': 2', '2', '2', '2', '2', '2', '2', '2', '3', '2', '2', '2', '2', '2', '2', '3', '2', '2', '3', '2', '2', '3', '3', '2', '2', '3', '3', '2', '2', '2', '3', '2', '2', '2', '3', '2', '2', '3', '2', '2', '3', '2', '2', '2', '2', '2', '2', '2', '2', '2', '2', '3', '2', '2', '2', '3', '2', '2', '2', '2', '2', '2', '2', '2', '2', '2', '2', '2', '2', '2', '3, 'introducing a vapor of a Mn-containing film forming composition into a reactor having a substrate disposed therein, wherein the Mn-containing film forming compositions comprises a silylamide-containing precursor selected from the group consisting of {Mn[N(SiMeEt)]}; {Mn[N(SiMeH)]}; {Mn[N(SiMe)(tBu)]}; {Mn[N(SiMenBu)]}; Mn[N(SiMe)](py); Mn[N(SiMe)](MeN); Mn[N(SiMe)](EtN); Mn[N(SiMe)](MeEtN); Mn[N(SiMe)](MeEtN); Mn[N(SiMe)](1-Me-pyrrolidine); Mn[N(SiMe)](PMe); {Mn[N(SiMeEt)]}; Mn[N(SiMeEt)](py); Mn[N(SiMeEt)](MeN); Mn[N(SiMeEt)](EtN); Mn[N(SiMeEt)](MeEtN); Mn[N(SiMeEt)](MeEtN); Mn[N(SiMeEt)](1-Me-pyrrolidine); Mn[N(SiMeEt)](PMe), and combinations thereof; and'}depositing at least part of the silylamide-containing precursor onto the substrate to form the Mn-containing layer using a vapor deposition method.2. The method of claim 1 , wherein the silylamide-containing precursor is {Mn[N(SiMeEt)]}.3. The method of claim 1 , wherein the silylamide-containing precursor is Mn[N(SiMe)](NMeEt).4. The method of claim 1 , wherein the Mn-containing layer is Mn.5. The method of claim 4 , wherein the substrate is SiO.6. The method of claim 1 , wherein the Mn-containing layer is MnOSi.7. The method of claim 6 , wherein the substrate is SiO.8. The method of claim 1 , wherein the Mn-containing ...

Подробнее
06-07-2017 дата публикации

Methods For Depositing Fluorine/Carbon-Free Conformal Tungsten

Номер: US20170194156A1
Принадлежит:

Provided are atomic layer deposition methods to deposit a tungsten film or tungsten-containing film using a tungsten-containing reactive gas comprising one or more of tungsten pentachloride, a compound with the empirical formula WClor WCl. 1. A method of depositing a WSifilm , the method comprisingpositioning a substrate in a processing chamber; and{'sub': 'x', 'sequentially exposing at least a portion of the substrate to a first reactive gas and a second reactive gas at a temperature less than or equal to about 475° C. to form the WSifilm,'}{'sub': x', '5x, 'wherein the first reactive gas comprises one or more of tungsten pentachloride, a compound with the empirical formula WClor tungsten hexachloride and the second reactive gas comprises a silicon-containing gas, the ratio of the silicon-containing gas to the tungsten-containing gas in the range of about 100:2 and about 100:0.2.'}2. The method of claim 1 , wherein the silicon-containing gas comprises one or more of silane and disilane and the second reactive gas further comprises hydrogen.3. The method of claim 1 , further comprising exposing at least a portion of the substrate to a hydrogen-containing gas instead of the silicon-containing gas on alternate cycles.4. The method of claim 3 , wherein the substrate is exposed to the hydrogen-containing gas prior to being exposed to the silicon-containing gas.5. The method of claim 1 , wherein the second reactive gas further comprises a nitrogen-containing compound and the WSifilm comprises tungsten-silicon-nitride (WSiN).6. A processing method comprising:soaking a substrate with silane; and{'sub': x', '5x, 'sequentially exposing the substrate previously soaked with silane to a first reactive gas and a second reactive gas, the first reactive gas comprising a tungsten-containing compound comprising a compound with the empirical formula WCland hydrogen, the second reactive gas comprising a reductant to form a tungsten film.'}7. The method of claim 6 , wherein the second ...

Подробнее
22-07-2021 дата публикации

Methods For Depositing Fluorine/Carbon-Free Conformal Tungsten

Номер: US20210225655A1
Принадлежит: Applied Materials, Inc.

Provided are atomic layer deposition methods to deposit a tungsten film or tungsten-containing film using a tungsten-containing reactive gas comprising one or more of tungsten pentachloride, a compound with the empirical formula WClor WCl. 1. A processing method comprising sequentially exposing at least a portion of a substrate in a processing chamber to a first reactive gas comprising WClor WCland a second reactive gas comprising hydrogen radicals to form a tungsten-containing film.2. The method of claim 1 , further comprising generating the hydrogen radicals from hydrogen gas.3. The method of claim 2 , wherein generating the hydrogen radicals from hydrogen gas comprises passing the hydrogen gas across a heating element having a temperature sufficient to create hydrogen radicals.4. The method of claim 3 , further comprising heating the heating element to the temperature sufficient to create hydrogen radicals.5. The method of claim 4 , wherein heating the heating element comprises providing a flow of electrical current through the heating element.6. The method of claim 4 , further comprising applying dynamic tension to ends of the heating element to prevent the heating element from sagging at the temperature sufficient to create hydrogen radicals.7. The method of claim 4 , wherein the heating element is contained within an enclosure substantially resistant to thermal expansion.8. The method of claim 1 , wherein the first reactive gas and the second reactive gas are flowed into the processing chamber at the same time.9. The method of claim 8 , wherein the first reactive gas and the second reactive gas are flowed into the processing chamber through a gas distribution assembly comprising adjacent elongate gas ports claim 8 , the first reactive gas and the second reactive gas flowing through different elongate gas ports being separated by at least one of a purge gas port and a vacuum port.10. The method of claim 9 , wherein a heating element is positioned within the ...

Подробнее
21-07-2016 дата публикации

Laminate film, organic electroluminescence device, photoelectric conversion device, and liquid crystal display

Номер: US20160208384A1
Автор: Akira Hasegawa
Принадлежит: Sumitomo Chemical Co Ltd

Provided is a laminate film having a substrate and at least one thin film layer which is formed on at least one surface of the substrate, wherein at least one of the thin film layers satisfies all of the following conditions (i) to (iv): (i) silicon atoms, oxygen atoms, and carbon atoms are contained, (ii) a content ratio X (at %) of the number of carbon atoms relative to a sum of the number of silicon atoms, the number of oxygen atoms, and the number of carbon atoms is 3 to 25 at %, (iii) an average density d (g/cm 3 ) is 2.12 g/cm 3 or higher and is less than 2.25 g/cm 3 , and (iv) the content ratio X (at %) of the number of carbon atoms and the average density d (g/cm 3 ) satisfy a condition represented by the following formula (1): d >(2.22−0.008 X )  (1).

Подробнее
19-07-2018 дата публикации

ALKYLAMINO-SUBSTITUTED HALOCARBOSILANE PRECURSORS

Номер: US20180202042A1
Принадлежит:

Disclosed are Si-containing film forming compositions comprising alkylamino-substituted halocarbosilane precursors, methods of synthesizing the same, and their use for vapor deposition processes. 1. A Si-containing film forming composition comprising a halocarbosilane precursor having the formula RSi—CH—SiR , wherein each R is independently H , a halide X , an alkyl group , or an alkylamino group , provided that at least one R is a halide X and at least one R is an alkylamino group having the formula NRR , wherein Rand Ris each independently H , a C1-C6 alkyl group , a C1-C6 alkenyl group , or a C3-C10 aryl or heterocycle group.2. The Si-containing film forming composition of claim 1 , wherein Rand Ris each independently H claim 1 , Me claim 1 , Et claim 1 , nPr claim 1 , iPr claim 1 , Bu claim 1 , Am claim 1 , or are joined to form a cyclic chain on one N atom or on adjacent N atoms.11. A process for the deposition of a Silicon-containing film on a substrate claim 1 , comprising the steps of: introducing a vapor of the Si-containing film forming composition of into a reactor having a substrate disposed therein and depositing at least part of the alkylamino-substituted halocarbosilane precursor onto the substrate to form the Silicon-containing film.12. The process of claim 11 , further comprising introducing at least one reactant into the reactor.13. The process of claim 12 , wherein the deposition is plasma enhanced.14. A process of manufacturing a semiconductor device claim 12 , the process comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'introducing a first catalytic gas and a vapor of the Si-containing film forming composition of into a reactor having a substrate disposed therein;'}introducing an oxidizing gas and a second catalytic gas into the reactor.15. The process of claim 14 , wherein the catalytic gas is an amine claim 14 , such as pyridine or triethylamine.16. The process of claim 11 , wherein the Si-containing film forming composition is ( ...

Подробнее
09-10-2014 дата публикации

Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation

Номер: US20140299056A1
Принадлежит:

Epitaxial films are grown by alternately exposed to precursor dosing regions, inert gas plasma regions, hydrogen-containing plasma regions, chlorine-containing plasma and metrology regions, or regions where an atomic hydrogen source is located. Alternately, laser irradiation techniques may be substituted for the plasma energy in some of the processing regions. The film growth process can be implemented at substrate temperatures between about 25 C and about 600 C, together with optional exposures to laser irradiation to cause the surface of the film to melt or to experience a near-melt condition. 1. A deposition chamber comprising:a substrate support configured to support at least one substrate;a first processing region configured to expose the at least one substrate to one or more precursor gases;a second processing region configured to expose the at least one substrate to an excited inert gas neutral species; anda third processing region configured to perform at least one metrology technique on a film formed on the at least one substrate,wherein the first, second, and third processing regions are fluidically isolated from one another.2. The deposition chamber of claim 1 , wherein the one or more precursor gases comprises one or more of Si-containing gases claim 1 , Ge-containing gases claim 1 , or combinations thereof.3. The deposition chamber of claim 2 , wherein the Si-containing gases comprise one of SiH claim 2 , or SiHor combinations thereof.4. The deposition chamber of claim 2 , wherein the Ge-containing gases comprise one of GeH claim 2 , or GeHor combinations thereof.5. The deposition chamber of claim 1 , wherein the at least one metrology technique comprises one or more of ellipsometry claim 1 , Fourier Transform Infra-red (FTIR) spectroscopy claim 1 , optical methods for measuring surface roughness claim 1 , pyrometry claim 1 , or combination thereof.6. The deposition chamber of claim 1 , further comprising a fourth processing region configured to expose ...

Подробнее
09-10-2014 дата публикации

DEPOSITION ON TWO SIDES OF A WEB

Номер: US20140302232A1
Принадлежит: Amprius,Inc.

Apparatuses and methods for depositing materials on both side of a web while it passes a substantially vertical direction are provided. In particular embodiments, a web does not contact any hardware components during the deposition. A web may be supported before and after the deposition chamber but not inside the deposition chamber. At such support points, the web may be exposed to different conditions (e.g., temperature) than during the deposition. 1. A method comprising:(a) receiving a continuous web at an inlet of a first deposition station and passing the web through the first deposition station; and(b) using a dry deposition process to produce nanowires rooted to the web surface on both sides of the web; and optionally:(c) receiving the continuous web with rooted nanowires at an inlet of a second deposition station and passing the web through the second deposition station; and(d) using a dry deposition process to deposit a second material onto the nanowires on both sides of the web.2. The method of claim 1 , wherein in passing the web through the first deposition station claim 1 , the web does not physically contact any hardware components.3. The method of claim 1 , wherein the receiving the continuous web comprises unwinding a substrate web from a roll.4. The method of claim 1 , wherein the web materials selected from the group consisting of copper claim 1 , copper alloy claim 1 , nickel claim 1 , nickel alloy claim 1 , and steel.5. The method of claim 1 , wherein the web has a width of at least about 500 millimeters.6. The method of claim 1 , wherein the web has a thickness of between about 5 micrometers and 50 micrometers.7. The method of claim 1 , wherein the dry process comprises a chemical vapor deposition or a physical vapor deposition.8. The method of claim 1 , wherein the nanowires comprise a material selected from the group consisting of crystalline silicon claim 1 , nickel silicide claim 1 , and carbon.9. The method of claim 1 , wherein the second ...

Подробнее
04-07-2019 дата публикации

SEMICONDUCTOR DEVICE

Номер: US20190207032A1
Принадлежит:

In a semiconductor device including a semiconductor layer made of an oxide semiconductor, occurrence of variance in the characteristics of TFTs is suppressed. In a manufacturing process of a semiconductor device () where a passivation film () is to be formed at an upper layer of a semiconductor layer () made of an oxide semiconductor, deposition conditions of the passivation film () are set such that the proportion of pure metal (the ratio of pure metal to all the components of the semiconductor layer ()) at an interface of the semiconductor layer () to the passivation film () becomes higher than the proportion of pure metal in the bulk of the semiconductor layer (). 1. A semiconductor device comprising:a plurality of layers being stacked to define a thin film transistor, and including a semiconductor layer made of an oxide semiconductor; whereinin the semiconductor layer, a proportion of pure zinc indicating a ratio of pure zinc to all components of the oxide semiconductor is higher at an interface of the semiconductor layer to an upper layer than in a bulk.2. The semiconductor device according to claim 1 , wherein the proportion of pure zinc at a peripheral edge portion claim 1 , of a pattern of the semiconductor layer claim 1 , of the interface is higher than the proportion of pure zinc in the bulk.3. The semiconductor device according to claim 1 , wherein the proportion of pure zinc at an upper surface portion claim 1 , of a pattern of the semiconductor layer claim 1 , of the interface is higher than the proportion of pure zinc in the bulk.4. The semiconductor device according to claim 1 , wherein both the proportion of pure zinc at a peripheral edge portion claim 1 , of a pattern of the semiconductor layer claim 1 , of the interface and the proportion of pure zinc at an upper surface portion claim 1 , of the pattern of the semiconductor layer claim 1 , of the interface are higher than the proportion of pure zinc in the bulk.5. The semiconductor device according ...

Подробнее
02-07-2020 дата публикации

METHODS AND APPARATUS FOR ENHANCING SELECTIVITY OF TITANIUM AND TITANIUM SILICIDES DURING CHEMICAL VAPOR DEPOSITION

Номер: US20200211852A1
Принадлежит:

Methods and apparatus for selectively depositing a titanium material layer atop a substrate having a silicon surface and a dielectric surface are disclosed. In embodiments an apparatus is configured for forming a remote plasma reaction between titanium tetrachloride (TiCl), hydrogen (H) and argon (Ar) in a region between a lid heater and a showerhead of a process chamber at a first temperature of 200 to 800 degrees Celsius; and flowing reaction products into the process chamber to selectively form a titanium material layer upon the silicon surface of the substrate. 1. A method of selectively depositing a titanium material layer atop a substrate having a silicon surface and a dielectric surface , comprising:{'sub': 4', '2, 'forming a remote plasma reaction between titanium tetrachloride (TiCl), hydrogen (H) and argon (Ar) in a region between a lid heater and a showerhead of a process chamber at a first temperature of 200 to 800 degrees Celsius; and'}flowing reaction products into the process chamber to selectively form a titanium material layer upon the silicon surface of the substrate.2. The method of claim 1 , wherein the substrate comprises a high aspect ratio feature claim 1 , wherein the silicon surface is disposed at a bottom of the high aspect ratio feature and the dielectric surface is disposed on one or more sidewalls of the high aspect ratio feature.3. The method of claim 1 , wherein the first temperature is about 550 degrees Celsius.4. The method of claim 1 , wherein about 65 watts of RF energy is applied to the remote plasma reaction.5. The method of claim 1 , wherein the titanium material layer is deposited to a predetermined thickness.6. The method of claim 1 , wherein the titanium material layer comprises titanium claim 1 , titanium silicide or substantially pure titanium.7. The method of claim 1 , further comprising adding silane claim 1 , disilane claim 1 , hydrogen claim 1 , and argon to the showerhead to contact the remote plasma reaction.8. The ...

Подробнее
02-07-2020 дата публикации

Gas barrier film and flexible electronic device

Номер: US20200212355A1
Принадлежит: Sumitomo Chemical Co Ltd

An object of the present invention is to provide a gas barrier film which exhibits excellent gas barrier property and flexibility and suppresses the decreases in adhesive property between layers and optical properties under high humidity conditions. A gas barrier film including at least a substrate layer including at least a flexible substrate, an undercoat layer, and an inorganic thin film layer in this order, in which a water vapor transmission rate through the gas barrier film at 23° C. and 50% RH is 0.001 g/m 2 /day or less and a number of durability N measured by performing a steel wool test of an outermost surface on an inorganic thin film layer side of the gas barrier film using #0000 steel wool under conditions of a load of 50 gf/cm 2 , a speed of 60 rpm/min, and a one-way distance of 3 cm satisfies Formula (1): N ≤200  (1).

Подробнее
27-08-2015 дата публикации

Electropositive metal containing layers for semiconductor applications

Номер: US20150243508A1
Принадлежит: Intel Corp

Embodiments of the present invention provide methods for forming layers that comprise electropositive metals through ALD (atomic layer deposition) and or CVD (chemical vapor deposition) processes, layers comprising one or more electropositive metals, and semiconductor devices comprising layers comprising one or more electropositive metals. In embodiments of the invention, the layers are thin or ultrathin (films that are less than 100 Å thick) and or conformal films. Additionally provided are transistor devices, metal interconnects, and computing devices comprising metal layers comprising one or more electropositive metals.

Подробнее
24-08-2017 дата публикации

COMPONENT OF A MOLYBDENUM ALLOY AND METHOD FOR FORMING AN OXIDATION PROTECTION LAYER THEREFOR

Номер: US20170241271A1
Принадлежит:

Disclosed is a method for improving the high-temperature stability of a component, in particular a blade of a turbomachine, formed at least partially from a molybdenum alloy that, besides molybdenum, silicon, boron and titanium, comprises iron and/or yttrium. The method comprises depositing a diffusion barrier layer formed from technically pure molybdenum or tungsten or being an alloy based on molybdenum and/or tungsten at least on an outer surface, which comprises the molybdenum alloy, of the component, and depositing silicon on the diffusion barrier layer to form molybdenum silicides and/or tungsten silicides. 1. A method for improving the high-temperature stability of a component which is formed at least partially from a molybdenum alloy that , besides molybdenum , silicon , boron and titanium , comprises iron and/or yttrium , wherein the method comprises depositing at least on an outer surface , which comprises the molybdenum alloy , of the component a diffusion barrier layer formed from technically pure molybdenum or tungsten or being an alloy based on molybdenum and/or tungsten , and depositing silicon on the diffusion barrier layer after deposition of the diffusion barrier layer to form molybdenum silicides and/or tungsten silicides.2. The method of claim 1 , wherein the component is a blade of a turbomachine.3. The method of claim 1 , wherein the alloy based on molybdenum and/or tungsten comprises niobium and/or tantalum.4. The method of claim 1 , wherein boron is deposited with the silicon in order to form molybdenum boride and/or tungsten boride and/or molybdenum borosilicides and/or tungsten borosilicides.5. The method of claim 3 , wherein boron is deposited with the silicon to form molybdenum boride and/or tungsten boride and/or molybdenum borosilicides and/or tungsten borosilicides.6. The method of claim 1 , wherein the deposition of the diffusion barrier layer is carried out by physical vapor deposition.7. The method of claim 7 , wherein the deposition ...

Подробнее
01-08-2019 дата публикации

Laminate film, electronic device member, and electronic device

Номер: US20190232611A1
Принадлежит: Lintec Corp

The present invention provides a laminate film comprising at least a base and a gas barrier layer, elongation strain (ε) generated in a surface of the gas barrier layer, which is calculated by a following formula (1), is 0.8% or less; an electronic device member including the laminate film; and an electronic device equipped with the electronic device member. In formula (1), T is a distance [m] from a surface farthest from the gas barrier layer to the gas barrier layer in a thickness direction of the laminate film, and λ is a distance, from the surface of the laminate film, of a hypothetical plane (α) in the laminate film in which stress does not occur. According to the present invention, there are provided a laminate film excellent in gas barrier properties and bending properties, an electronic device member including this laminate film, and an electronic device equipped with this electronic device member. ε=( T −×)/{(3×10 −3 )+λ}×100  (1)

Подробнее
10-09-2015 дата публикации

Cobalt deposition on barrier surfaces

Номер: US20150255333A1
Принадлежит: Applied Materials Inc

Embodiments of the invention provide processes for depositing a cobalt layer on a barrier layer and subsequently depositing a conductive material, such as copper or a copper alloy, thereon. In one embodiment, a method for depositing materials on a substrate surface is provided which includes forming a barrier layer on a substrate, exposing the substrate to dicobalt hexacarbonyl butylacetylene (CCTBA) and hydrogen to form a cobalt layer on the barrier layer during a vapor deposition process (e.g., CVD or ALD), and depositing a conductive material over the cobalt layer. In some examples, the barrier layer and/or the cobalt layer may be exposed to a gas or a reagent during a treatment process, such as a thermal process, an in situ plasma process, or a remote plasma process.

Подробнее
30-08-2018 дата публикации

WEAR RESISTANT VAPOR DEPOSITED COATING, METHOD OF COATING DEPOSITION AND APPLICATIONS THEREFOR

Номер: US20180245202A1
Принадлежит: G & H Technologies, LLC

A low friction top coat over a multilayer metal/ceramic bondcoat provides a conductive substrate, such as a rotary tool, with wear resistance and corrosion resistance. The top coat further provides low friction and anti-stickiness as well as high compressive stress. The high compressive stress provided by the top coat protects against degradation of the tool due to abrasion and torsional and cyclic fatigue. Substrate temperature is strictly controlled during the coating process to preserve the bulk properties of the substrate and the coating. The described coating process is particularly useful when applied to shape memory alloys. 1. A wear resistant coating for a cutting edge , comprising:{'sup': 3', '2, 'a metal-ceramic coating comprising at least one pair of layers comprised of a ceramic layer overlaying a metallic layer, the metal-ceramic coating comprising a columnar structure and having a toughness of greater than about 0.05 H/E; and'}at least one top coat overlaying the metal-ceramic coating, the at least one top coat comprising an amorphous matrix having a friction coefficient of less than 0.3;wherein the at least one top coat imposes a compressive stress of from about 0.1 to about 8 gigapascals to the underlaying metal-ceramic coating.2. The coating of claim 1 , wherein the metal-ceramic coating has a hardness of greater than about 20 gigapascals.3. The coating of claim 2 , wherein the amorphous matrix of the at least one top coat comprises nanocrystals sized from about 1 to about 100 nanometers.4. The coating of claim 1 , wherein the metallic layer of the metal-ceramic coating is selected from the group consisting of titanium claim 1 , chromium claim 1 , vanadium claim 1 , aluminum claim 1 , molybdenum claim 1 , niobium claim 1 , tungsten claim 1 , hafnium claim 1 , zirconium claim 1 , alloys thereof claim 1 , and combinations thereof.5. The coating of claim 1 , wherein the ceramic layer of the metal-ceramic coating is selected from the group consisting of ...

Подробнее
08-08-2019 дата публикации

Silicon Carbide Epitaxial Substrate and Method for Manufacturing Silicon Carbide Semiconductor Device

Номер: US20190242014A1
Принадлежит:

A silicon carbide epitaxial film has a plurality of arc-shaped or annular basal plane dislocations and a plurality of threading dislocations. The plurality of threading dislocations have a first threading dislocation which is surrounded by the plurality of basal plane dislocations and a second threading dislocation which is not surrounded by the plurality of basal plane dislocations, when viewed from a direction perpendicular to a main surface. The plurality of basal plane dislocations and the first threading dislocation constitute an annular defect. An area density of the plurality of threading dislocations in the main surface is more than or equal to 50 cm. A value obtained by dividing an area density of the annular defect when viewed from the direction perpendicular to the main surface by the area density of the plurality of threading dislocations in the main surface is more than or equal to 0.00002 and less than or equal to 0.004. 1. A silicon carbide epitaxial substrate comprising:a silicon carbide substrate; anda silicon carbide epitaxial film on the silicon carbide substrate,the silicon carbide substrate and the silicon carbide epitaxial film having a polytype of 4H,a main surface of the silicon carbide epitaxial film being a {0001} plane or a plane inclined relative to the {0001} plane by an off angle of less than or equal to 5°,the main surface having a maximum diameter of more than or equal to 150 mm,the silicon carbide epitaxial film having a plurality of arc-shaped or annular basal plane dislocations and a plurality of threading dislocations,the plurality of threading dislocations having a first threading dislocation which is surrounded by the plurality of basal plane dislocations and a second threading dislocation which is not surrounded by the plurality of basal plane dislocations, when viewed from a direction perpendicular to the main surface,the plurality of basal plane dislocations and the first threading dislocation constituting an annular defect ...

Подробнее
30-07-2020 дата публикации

Homoleptic Lanthanide Deposition Precursors

Номер: US20200240017A1
Автор: Knisley Thomas, Saly Mark
Принадлежит:

Described are lanthanide-containing metal coordination complexes which may be used as precursors in thin film depositions, e.g. atomic layer deposition processes. More specifically, described are homoleptic lanthanide-aminoalkoxide metal coordination complexes, lanthanide-carbohydrazide metal coordination complexes, and lanthanide-diazadiene metal coordination complexes. Additionally, methods for depositing lanthanide-containing films through an atomic layer deposition process are described. 2. The metal coordination complex of claim 1 , wherein Ln is selected from the group consisting of Y claim 1 , Sc claim 1 , La claim 1 , Ce claim 1 , Pr claim 1 , Nd claim 1 , Pm claim 1 , Sm claim 1 , Eu claim 1 , Gd claim 1 , Tb claim 1 , Dy claim 1 , Ho claim 1 , Er claim 1 , Tm claim 1 , Yb claim 1 , and Lu.3. The metal coordination complex of claim 2 , wherein Ln is selected from the group consisting of Y claim 2 , Sc claim 2 , or La.4. The metal coordination complex of claim 1 , wherein the metal coordination complex is homoleptic.5. The metal coordination complex of claim 1 , wherein x is 1 and y is 3.7. The metal coordination complex of claim 6 , wherein Ln comprises Y claim 6 , Sc claim 6 , or La.9. The metal coordination complex of claim 8 , wherein Ln comprises Y claim 8 , Sc claim 8 , or La.11. The metal coordination complex of claim 10 , wherein Ln comprises Y claim 10 , Sc claim 10 , or La.12. A method of depositing a film claim 10 , the method comprising: {'br': None, 'sub': x', 'y, 'LnL\u2003\u2003(I)'}, 'exposing a substrate to a lanthanide-containing precursor to form a lanthanide species on the substrate, wherein the lanthanide-containing precursor comprises a metal coordination complex of formula (I)'} Ln is a lanthanide having an oxidation state of +3 and is selected from the group consisting of Y, Sc, La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, and Lu,', 'x is 1 or 2,', 'y is an integer from 1 to 4,', {'sub': '2', 'L is selected from NR′CHCRRO, ...

Подробнее
22-08-2019 дата публикации

SIC EPITAXIAL WAFER, MANUFACTURING APPARATUS OF SIC EPITAXIAL WAFER, FABRICATION METHOD OF SIC EPITAXIAL WAFER, AND SEMICONDUCTOR DEVICE

Номер: US20190257001A1
Автор: Asamizu Hirokuni
Принадлежит:

A SiC epitaxial wafer includes: a substrate having an off angle of less than 4 degrees; and a SiC epitaxial growth layer disposed on the substrate having the off angle of less than 4 degrees, wherein an Si compound is used for a supply source of Si, and a C compound is used as a supply source of C, for the SiC epitaxial growth layer, wherein the uniformity of carrier density is less than 10%, and the defect density is less than 1 count/cm; and a C/Si ratio of the Si compound and the C (carbon) compound is within a range of 0.7 to 0.95. There is provide a high-quality SiC epitaxial wafer excellent in film thickness uniformity and uniformity of carrier density, having the small number of surface defects, and capable of reducing costs, also in low-off angle SiC substrates on SiC epitaxial growth. 120-. (canceled)21. A semiconductor device comprising:a SiC epitaxial wafer comprising a substrate having low-off angle of less than 4 degrees, and a SiC epitaxial growth layer disposed on the substrate;a gate trench formed at a surface of the SiC epitaxial growth layer;a gate insulating film formed at an inner surface of the gate trench;a gate electrode embedded in the gate trench;an interlayer insulating film formed on/over the SiC epitaxial growth layer so as to cover entirely of the gate electrode and partly of the SiC epitaxial growth layer; anda source electrode formed on/over the SiC epitaxial growth layer, whereina Si (silicon) compound is used for a supply source of silicon, and a C (carbon) compound is used as a supply source of carbon, for the SiC epitaxial growth layer, whereina C/Si ratio between the Si compound and the C compound is within a range of 0.7 to 0.95, wherein a buffer layer disposed on the substrate, and', 'a drift layer disposed on the buffer layer, wherein, 'the SiC epitaxial growth layer comprises'}the C/Si ratio of the Si compound and the C compound in the buffer layer is controlled to be lower than the C/Si ratio of the Si compound and the C ...

Подробнее
20-09-2018 дата публикации

POLYMER SUBSTRATE WITH HARDCOAT LAYER, AND MANUFACTURING METHOD FOR SAME

Номер: US20180265731A1
Принадлежит:

The present invention provides a polymer substrate with a hardcoat layer exhibiting excellent environmental resistance and wear resistance. A polymer substrate () is 1-20 mm thick and a hardcoat layer () on the surface thereof comprises: an underlayer cured layer () with a thickness of 1-20 μm, and including 10-90 parts by weight of a multifunctional acrylate, and 90-10 parts by weight of inorganic oxide fine particles and/or a silicon compound hydrolytic condensate; and a silicon oxide layer () which is in direct contract with the underlayer cured layer, is formed by PE-CVD with an organosilicon compound as the starter material, and satisfies all of the following conditions (a)-(c): (a) the film thickness of the silicon oxide layer is 3.5-9.0 μm; (b) the maximum indentation depth of the surface of the silicon oxide layer by nanoindentation measurement at a maximum load of 1 mN is 150 nm or less; and (c) the limit compression ratio K of the silicon oxide layer is at most 0.975 in a 3-point bending test of the polymer substrate with a hardcoat layer having been subjected to indentation deformation that causes the surface on which the silicon oxide layer is layered to be indented. 2. The polymer substrate with hard coating layer according to claim 1 , wherein the ratio of infrared absorbance of the silicon oxide layer at a wave number of 930 cmto that at a wave number of 1020 cm′ (α/α) is 0.30 or less.3. The polymer substrate with hard coating layer according to claim 1 , wherein the ratio of infrared absorbance of the silicon oxide layer at a wave number of 1280 cmto that at a wave number of 1020 cm(α/α) is within the range of 0.002 to 0.020.4. The polymer substrate with hard coating layer according to claim 1 , wherein indentation hardness of the surface of the silicon oxide layer as determined by measuring nanoindentation under conditions of a maximum load of 1 mN is 3.0 GPa or more.5. The polymer substrate with hard coating layer according to claim 1 , wherein ...

Подробнее
20-09-2018 дата публикации

METHOD FOR MANUFACTURING A PART COATED WITH A PROTECTIVE COATING

Номер: US20180266257A1
Принадлежит:

A part include a refractory alloy including a niobium matrix having metal silicide inclusions present therein, the surface of the part being coated by a protective coating, the protective coating including a phase having the following stoichiometry: (NbTi)MCrSiX where M designates Fe, Co, or Ni, X designates one or more other elements that might be present, x lies in the range 0 to 1, x lies in the range 5 to 8.5, and the sum β+γ lies in the range 3 to 7; or NbM′SiX′ε′ where M′ designates Fe, Co, or Ni, X′ designates one or more other elements that might be present, η lies in the range 3.2 to 4.8, and θ lies in the range 6 to 8. 110.-. (canceled)11. A part comprising a refractory alloy comprising a niobium matrix having metal silicide inclusions present therein , the surface of the part being coated by a protective coating , the protective coating comprising a phase having the following stoichiometry:{'sub': x', '1-x', '3', 'β', 'γ', 'δ', 'ε, '(NbTi)MCrSiXwhere M designates Fe, Co, or Ni, X designates one or more other elements that might be present, x lies in the range 0 to 1, δ lies in the range 5 to 8.5, and the sum β+γ lies in the range 3 to 7; or'}{'sub': 4', 'η', 'θ', 'ε, 'NbM′SiX′′ where M′ designates Fe, Co, or Ni, X′ designates one or more other elements that might be present, η lies in the range 3.2 to 4.8, and θ lies in the range 6 to 8.'}12. A turbine engine including a part according to .13. An aircraft including a turbine engine according to .14. The part according to claim 11 , wherein a thickness of the protective coating formed lies in the range from 15 μm to 50 μm.15. The part according to claim 11 , wherein the protective coating comprises the phase of (NbTi)MCrSiX.16. The part according to claim 15 , wherein silicon is present in this phase at an atomic content lying in the range 44% to 48%.17. The part according to claim 11 , wherein the protective coating comprises the phase of NbM′SiX′′.18. The part according to claim 17 , wherein silicon is ...

Подробнее
15-10-2015 дата публикации

Low Emissivity Glass Incorporating Phosphorescent Rare Earth Compounds

Номер: US20150291812A1
Принадлежит: INTERMOLECULAR, INC.

Methods, and coated panels fabricated from the methods, are disclosed to form multiple coatings, (e.g., one or more infrared reflective layers), with minimal color change before and after heat treatments. The optical properties of the coating (e.g. the transmissivity and the IR emissivity) are generally coupled. In some embodiments, silicate materials are doped with rare earth elements. These doped silicate materials are able to absorb ultra-violet (UV) photons and emit photons in the visible range. This allows the transmissivity to be at least partially decoupled from the IR emissivity of the coated panel, resulting in a larger range of performance. 1. A method for making a coated article , the method comprising:forming a first layer above a first surface of a substrate, wherein the first layer consists of a rare earth-doped silicate;forming a second layer above a second surface of the substrate, wherein the second layer comprises silicon nitride, wherein the second layer is operable as a lower protective layer;forming one or more IR reflective stacks above the second layer, wherein each of the IR reflective stacks comprises a base oxide layer, a seed layer, an IR reflective layer, and a barrier layer;forming a third layer above the one or more IR reflective stacks, wherein the third layer comprises zinc, tin, and oxygen, wherein the third layer is operable as an upper oxide layer;forming a fourth layer above the third layer, wherein the fourth layer comprises zinc, and oxygen, wherein the fourth layer is operable as an optical filler layer; andforming a fifth layer above the fourth layer, wherein the fifth layer comprises silicon nitride, wherein the fifth layer is operable as an upper protective layer.2. A method as in wherein a rare earth dopant in the first layer is at least one of Ce claim 1 , Pr claim 1 , Nd claim 1 , Pm claim 1 , Sm claim 1 , Eu claim 1 , Gd claim 1 , Tb claim 1 , Dy claim 1 , Ho claim 1 , Er claim 1 , Tm claim 1 , Yb claim 1 , Lu claim 1 , ...

Подробнее
03-09-2020 дата публикации

Environment-resistive coated reinforcement fiber applicable to fiber-reinforced composite

Номер: US20200277727A1

A high-temperature-steam-oxidation-resistive coated reinforcement fiber applicable to a fiber reinforced composite, is provided with: a reinforcement fiber; a coating layer covering the reinforcement fiber and including a rare-earth silicate; an exfoliative layer intervening in an interface between the coating layer and the reinforcement fiber; and a supplemental coating layer covering the reinforcement fiber, the exfoliative layer and the coating layer.

Подробнее
03-09-2020 дата публикации

Volumetric Expansion Of Metal-Containing Films By Silicidation

Номер: US20200279772A1
Принадлежит:

Methods of producing a self-aligned structure are described. The methods comprise forming a metal-containing film in a substrate feature and silicidizing the metal-containing film to form a self-aligned structure comprising metal silicide. In some embodiments, the rate of formation of the self-aligned structure is controlled. In some embodiments, the amount of volumetric expansion of the metal-containing film to form the self-aligned structure is controlled. Methods of forming self-aligned vias are also described. 1. A method for producing a self-aligned structure , the method comprising:providing a substrate with a substrate surface with at least one feature formed therein, the at least one feature extending a distance into the substrate from the substrate surface and having a sidewall and bottom;forming a metal-containing film in the at least one feature and not outside the at least one feature; andexposing the metal-containing film to a silicon precursor to form a self-aligned structure of metal silicide that expands from the at least one feature.2. The method of claim 1 , wherein the self-aligned structure has a volume in the range of greater than 1 to less than 2 times the volume of the metal-containing film within the feature.3. The method of claim 1 , wherein forming the metal-containing film within the at least one feature comprises forming a metal-containing film on the substrate surface and within the at least one feature and removing the metal-containing film from the substrate surface outside of the at least one feature.4. The method of claim 1 , wherein the self-aligned structure is substantially orthogonal to the substrate surface.5. The method of claim 1 , wherein the metal-containing film comprises one or more of Co claim 1 , Mo claim 1 , W claim 1 , Ta claim 1 , Ti claim 1 , Ru claim 1 , Rh claim 1 , Cu claim 1 , Fe claim 1 , Mn claim 1 , V claim 1 , Nb claim 1 , Hf claim 1 , Zr claim 1 , Y claim 1 , Al claim 1 , Sn claim 1 , Cr claim 1 , Os claim 1 ...

Подробнее
10-09-2020 дата публикации

Methods for low temperature silicide formation

Номер: US20200283896A1
Принадлежит: Applied Materials Inc

Methods for forming silicide materials and source/drain devices are provided. The methods and devices can include methods for forming silicide films, including metal silicide and metal germanide silicide films, on germanium-containing film, such as used as a pMOS layer in a source/drain contact region. In one or more embodiments, a method of processing a substrate includes positioning the substrate within a processing chamber, where the substrate contains one or more germanium-containing films, heating the substrate to a temperature of about 100° C. to about 600° C., and exposing the substrate to one or more metal precursors and one or more silicon precursors during a vapor deposition process and forming a silicide film on the germanium-containing film, where the silicide film has a conformality of about 1% to about 50% of an average thickness of the silicide film.

Подробнее
20-10-2016 дата публикации

TANTALUM-CONTAINING FILM FORMING COMPOSITIONS AND VAPOR DEPOSITION OF TANTALUM-CONTAINING FILMS

Номер: US20160307708A1
Принадлежит:

Tantalum-containing film forming compositions are disclosed, along with methods of synthesizing the same, and methods of forming Tantalum-containing films on one or more substrates via vapor deposition processes using the Tantalum-containing film forming compositions. 2. The Tantalum-containing film forming composition of claim 1 , wherein R is tBu and R-Ris H.3. The Tantalum-containing film forming composition of claim 1 , wherein R is tBu claim 1 , Ris Me claim 1 , and R-Ris H.4. The Tantalum-containing film forming composition of claim 1 , wherein R is tBu claim 1 , Ris Et claim 1 , and R-Ris H.5. The Tantalum-containing film forming composition of claim 1 , wherein R is tBu claim 1 , Ris iPr claim 1 , and R-Ris H.6. The Tantalum-containing film forming composition of claim 5 , wherein R is tBu claim 5 , Ris tBu claim 5 , and R-Ris H.7. The Tantalum-containing film forming composition of claim 1 , wherein R is tBu claim 1 , Ris SiMe claim 1 , and R-Ris H.8. The Tantalum-containing film forming composition of claim 1 , wherein R is tBu; R claim 1 , R claim 1 , and Rare iPr; and Rand Rare H.9. The Tantalum-containing film forming composition of claim 1 , wherein R-Rare H and Rand Rare tAmyl.10. The Tantalum-containing film forming composition of claim 9 , wherein R is tBu.11. A method of forming a Tantalum-containing film claim 1 , the method comprising introducing into a reactor having a substrate therein a vapor of the Tantalum-containing film forming composition of ; and depositing at least part of the precursor onto the substrate.12. The method of claim 11 , further comprising introducing a reactant into the reactor.13. The method of claim 12 , wherein the reactant is selected from the group consisting of H claim 12 , HCO claim 12 , NH claim 12 , NH claim 12 , SiH claim 12 , SiH claim 12 , SiH claim 12 , SiHMe claim 12 , SiHEt claim 12 , N(SiH) claim 12 , hydrogen radicals thereof claim 12 , and mixtures thereof.14. The method of claim 12 , wherein the reactant ...

Подробнее
20-10-2016 дата публикации

Niobium-containing film forming compositions and vapor deposition of niobium-containing films

Номер: US20160307904A1

Niobium-containing film forming compositions are disclosed, along with methods of synthesizing the same, and methods of forming Niobium-containing films on one or more substrates via vapor deposition processes using the Niobium-containing film forming compositions.

Подробнее
26-10-2017 дата публикации

DEPOSITION OF METAL BORIDES AND SILICIDES

Номер: US20170306479A1
Принадлежит:

A method for depositing a metal film onto a substrate is disclosed. In particular, the method comprises pulsing a metal halide precursor onto the substrate and pulsing a reducing precursor onto the substrate. A reaction between the metal halide precursor and the reducing precursor forms a metal film. Specifically, the method discloses forming a metal boride or a metal silicide film. 1. A method of forming a metal boride or a metal silicide comprising:providing a substrate for processing in a reaction chamber; pulsing a metal halide precursor onto the substrate; and', 'purging an excess of the metal halide precursor from the reaction chamber; and, 'performing a metal halide precursor deposition onto the substrate, the performing the metal halide precursor deposition step comprises pulsing a reducing precursor onto the substrate; and', 'purging an excess of the reducing precursor from the reaction chamber;, 'performing a reducing precursor deposition onto the substrate, the performing the reducing precursor deposition step comprises{'sub': 5', '5', '4', '5', '4', '5', '4', '4', '4', '4', '4', '4', '4', '4', '5', '6', '5', '6', '5', '6', '5', '6, 'wherein the metal halide precursor comprises one of: tantalum pentabromide (TaBr), tantalum pentaiodide (TaI), niobium tetrabromide (NbBr), niobium pentabromide (NbBr), niobium tetraiodide (NbI), niobium pentaiodide (NbI), zirconium tetrafluoride (ZrF), zirconium tetrachloride (ZrCl), zirconium tetrabromide (ZrBr), zirconium tetraiodide (ZrI), hafnium tetrafluoride (HfF), hafnium tetrachloride (HfCl), hafnium tetrabromide (HfBr), hafnium tetraiodide (HfI), molybdenum pentafluoride (MoF), molybdenum hexafluoride (MoF), molybdenum pentachloride (MoCl), molybdenum hexachloride (MoCl), molybdenum pentabromide (MoBr), molybdenum hexabromide (MoBr), molybdenum pentaiodide (MoI), or molybdenum hexaiodide (MoI);'}{'sub': 2', '2', '2', '2', '2', '2', '2', '2', '2, 'wherein a reaction between the metal halide precursor and the reducing ...

Подробнее
03-11-2016 дата публикации

LAMINATE FILM, ORGANIC ELECTROLUMINESCENT DEVICE, PHOTOELECTRIC CONVERSION DEVICE, AND LIQUID CRYSTAL DISPLAY

Номер: US20160319432A1
Автор: Hasegawa Akira
Принадлежит: Sumitomo Chemical Company, Limited

Provided is laminate film having a substrate and at least one thin film layer which has been formed on at least one surface of the substrate, wherein at least one thin film layer satisfies all of conditions (i) to (iii) below: 2. The laminate film according to claim 1 , wherein the density Y is 1.34 g/cmto 2.65 g/cm.3. The laminate film according to claim 1 , wherein the density Y is 1.80 g/cmto 2.65 g/cm.4. The laminate film according to claim 1 , wherein the density X is 1.33 g/cmto 2.62 g/cm.5. An organic electroluminescence device having the laminate film according to .6. A photoelectric conversion device having the laminate film according to .7. A liquid crystal display having the laminate film according to . The present invention relates to a laminate film, an organic electroluminescence device, a photoelectric conversion device, and a liquid crystal display.A gas-barrier film can be suitably used as a packaging container for filling and packaging articles such as drinks and foods, cosmetics, and detergents. In recent years, there has been a proposal for a laminate film having a gas barrier property which is obtained by using a plastic film or the like as a substrate and laminating a thin film of silicon oxide, silicon nitride, silicon oxynitride, aluminum oxide, or the like on one surface of the substrate. For example, Patent Document 1 discloses a laminate film obtained by using an organic silicon compound gas and an oxygen gas as raw materials and forming a thin film layer on a plastic film by the CVD method.Patent Document 1: JP-A-2008-179102However, the aforementioned laminate film has not been sufficiently satisfactory in terms of the gas barrier property.The present invention has been made in view of such circumstances, and an object thereof is to provide a laminate film having a high gas barrier property. Also, another object of the present invention is to provide an organic electroluminescence device, a photoelectric conversion device, and a liquid ...

Подробнее
16-11-2017 дата публикации

Coating structure, heat exchanger, and method for manufacturing heat exchanger

Номер: US20170327943A1
Принадлежит: Denso Corp

A coating structure includes a base made of metal, a foundation layer provided on the base, and an insulation film provided on the foundation layer. The insulation film includes a plurality of layers, each layer of the plurality of layers being different in material, the plurality of layers being layered alternately with each other. The foundation layer is provided by a method other than a coating method using a surface chemical reaction occurring on the base, and a part of the foundation layer in contact with the base is amorphous. According to this, when a foreign material adheres on the base, the foreign material can be covered by the foundation layer. Since the insulation film is provided on the foundation layer, forming defects of the insulation film caused by the foreign material can be limited.

Подробнее
23-11-2017 дата публикации

CASTING SYSTEM FOR INVESTMENT CASTING PROCESS

Номер: US20170333978A1
Принадлежит:

An investment casting system includes a core having at least one fine detail, a shell positioned relative to said core, and a strengthening coating applied at least to the at least one fine detail. 1. An investment casting system comprising:a core having at least one fine detail;a shell positioned relative to said core; anda strengthening coating applied at least to said at least one fine detail.2. The investment casting system of claim 1 , wherein said strengthening coating is applied to an entirety of said core.3. The investment casting system of claim 1 , wherein said strengthening coating is applied to at least a portion of said shell.4. The investment casting system of claim 1 , wherein said core comprises at least two distinct core components and wherein said strengthening coating maintains a relative position of the at least two distinct cores.5. The investment casting system of claim 1 , wherein said strengthening coating is a non-reactive material.6. The investment casting system of claim 1 , wherein the at least one fine detail includes a negative space of at least one film cooling hole.7. The investment casting system of claim 1 , wherein the core includes at least one additively manufactured component.8. The investment casting system of claim 7 , wherein an exterior surface roughness of the strengthening coating is less than a surface roughness of the core.9. The investment casting system of claim 1 , wherein the core is an investment casting core of at least one of an airfoil claim 1 , a blade outer air seal (BOAS) claim 1 , and a combustor liner.10. The investment casting system of claim 1 , wherein the strengthening coating is at least one of a metal oxide claim 1 , a nitride claim 1 , a carbide and a silicide coating.11. The investment coating system of claim 1 , wherein the strengthening coating is one of a vapor deposition coating and a spray coating.12. A method of providing a casting system for an investment casting process comprising the step of ...

Подробнее
23-11-2017 дата публикации

STATIC THERMAL CHEMICAL VAPOR DEPOSITION WITH LIQUID PRECURSOR

Номер: US20170335451A1
Принадлежит:

Static thermal chemical vapor deposition treatment processes and static thermal chemical vapor deposition treatment systems are disclosed. The process includes providing an enclosed chamber configured to produce a material on a surface of an article within the enclosed chamber in response thermal energy being applied to a gaseous precursor, providing a liquid handling system in selective fluid communication with the enclosed chamber, flowing a liquid precursor through the liquid handling system, converting the liquid precursor to the gaseous precursor, and producing the material on the surface of the article in response to the thermal energy being applied to the gaseous precursor within the enclosed chamber. The system includes the enclosed chamber and the liquid handling system. 1. A static thermal treatment process , comprising:providing an enclosed chamber configured to produce a material on a surface of an article within the enclosed chamber in response thermal energy being applied to a gaseous precursor;providing a liquid handling system in selective fluid communication with the enclosed chamber;flowing a liquid precursor through the liquid handling system;converting the liquid precursor to the gaseous precursor; andproducing the material on the surface of the article in response to the thermal energy being applied to the gaseous precursor within the enclosed chamber.2. The static thermal treatment process of claim 1 , wherein the liquid handling system includes a purge system capable of selectively flowing an inert fluid through a flowpath of the liquid precursor.3. The static thermal treatment process of claim 1 , wherein the liquid handling system urges the liquid precursor in a direction substantially opposite the direction of gravity.4. The static thermal treatment process of claim 1 , wherein the liquid handling system includes a multiport valve.5. The static thermal treatment process of claim 1 , wherein the liquid handling system a batch processing ...

Подробнее
15-11-2018 дата публикации

Deposition of metal silicide layers on substrates and chamber components

Номер: US20180330951A1
Принадлежит: Applied Materials Inc

Embodiments of the present disclosure generally relate to methods and apparatus for depositing metal silicide layers on substrates and chamber components. In one embodiment, a method of forming a hardmask includes positioning the substrate having a target layer within a processing chamber, forming a seed layer comprising metal silicide on the target layer and depositing a tungsten-based bulk layer on the seed layer, wherein the metal silicide layer and the tungsten-based bulk layer form the hardmask. In another embodiment, a method of conditioning the components of a plasma processing chamber includes flowing an inert gas comprising argon or helium from a gas applicator into the plasma processing chamber, exposing a substrate support to a plasma within the plasma processing chamber and forming a seasoning layer including metal silicide on an aluminum-based surface of the substrate support.

Подробнее
30-11-2017 дата публикации

METHOD FOR MANUFACTURING SIC EPITAXIAL WAFER AND SIC EPITAXIAL GROWTH APPARATUS

Номер: US20170345658A1
Принадлежит:

A method for manufacturing a SiC epitaxial wafer according to one aspect of the present invention includes separately introducing, into a reaction space for SiC epitaxial growth, a basic N-based gas composed of molecules containing an N atom within the molecular structure but having neither a double bond nor a triple bond between nitrogen atoms, and a Cl-based gas composed of molecules containing a Cl atom within the molecular structure, and mixing the N-based gas and the Cl-based gas at a temperature equal to or higher than the boiling point or sublimation temperature of a solid product generated by mixing the N-based gas and the Cl-based gas. 1. A method for manufacturing a SiC epitaxial wafer , the method comprising:separately introducing, into a reaction space for SiC epitaxial growth, a basic N-based gas composed of molecules containing an N atom within a molecular structure thereof but having neither a double bond nor a triple bond between nitrogen atoms, and a Cl-based gas composed of molecules containing a Cl atom within a molecular structure thereof, andmixing the N-based gas and the Cl-based gas at a temperature equal to or higher than a boiling point or sublimation temperature of a solid product generated by mixing the N-based gas and the Cl-based gas.2. The method for manufacturing a SiC epitaxial wafer according to claim 1 , wherein a temperature of the entire reaction space is set to a temperature equal to or higher than a boiling point or sublimation temperature of a solid product generated by mixing the N-based gas and the Cl-based gas.3. The method for manufacturing a SiC epitaxial wafer according to claim 1 , wherein a pressure during mixing of the N-based gas and the Cl-based gas is not more than 15 kPa.4. The method for manufacturing a SiC epitaxial wafer according to claim 1 , wherein the N-based gas is a compound selected from the group consisting of methylamine (CHN) claim 1 , dimethylamine (CHN) claim 1 , trimethylamine (CHN) claim 1 , ...

Подробнее
07-12-2017 дата публикации

Production method of nuclear reactor structure

Номер: US20170349496A1
Принадлежит: Biden Co Ltd

A nuclear reactor structure configuring a pebble accommodating space of a pebble bed type nuclear reactor includes a core material including graphite and a ceramic/ceramic composition material covering a surface of the core material. According to a core material processing step (A) of processing the core material including graphite into a quadrangular prism, a bottom surface of which is an approximately isosceles trapezoid, a step (B) of obtaining a base material by covering the core material with an aggregate including a ceramic fiber, and a CVD step (C) of putting the base material into a CVD reactor and forming a SiC matrix in gaps of the aggregate, thereby forming a ceramic/ceramic composite material on a surface of the core material, the nuclear reactor structure capable of enhancing durability, preventing cracking, etc. from occurring, and preventing exposure of graphite as the core material from occurring, can be provided.

Подробнее
29-11-2018 дата публикации

DEPOSITION ON TWO SIDES OF A WEB

Номер: US20180342740A1
Принадлежит:

Apparatuses and methods for depositing materials on both sides of a web while it passes a substantially vertical direction are provided. In particular embodiments, a web does not contact any hardware components during the deposition. A web may be supported before and after the deposition chamber but not inside the deposition chamber. At such support points, the web may be exposed to different conditions (e.g., temperature) than during the deposition. Also provided are substrates having materials deposited on both sides that may be fabricated by the methods and apparatuses. 118.-. (canceled)19. A composition comprising:a web having a first side and a second side; andnanowires substrate-rooted to the web substrate surface on both the first side and the second side of the web substrate, wherein the nanowires are silicide nanowires.20. The composition of claim 19 , wherein the web is made of a material selected from the group consisting of copper claim 19 , copper alloy claim 19 , nickel claim 19 , nickel alloy claim 19 , and steel.21. The composition of claim 19 , wherein the web has a width of at least about 500 millimeters.22. The composition of claim 19 , wherein the web has a thickness of between about 5 micrometers and 50 micrometers.23. The composition of claim 19 , further comprising a second material coating the silicide nanowires.24. The composition of claim 23 , wherein the second material comprises electrochemically active material.25. The composition of claim 24 , wherein the electrochemically active material comprises at least one of lithium and amorphous silicon.26. The composition of claim 23 , wherein the second material comprises battery electrolyte material.27. The composition of claim 23 , wherein the second material comprises polymer binder.28. The composition of claim 19 , wherein the web is cut.29. A composition comprising:a web having a first side and a second side; andnanowires substrate-rooted to the web substrate surface on both the first side ...

Подробнее
24-12-2015 дата публикации

COBALT-CONTAINING COMPOUNDS, THEIR SYNTHESIS, AND USE IN COBALT-CONTAINING FILM DEPOSITION

Номер: US20150368282A1
Принадлежит:

Cobalt-containing compounds, their synthesis, and their use for the deposition of cobalt containing films are disclosed. The disclosed cobalt-containing compounds have one of the following formulae: wherein each of R, R, R, Rand Ris independently selected from Hydrogen; halogen; linear, cyclic or branched hydrocarbons; primary amino ligands (—NHR); or secondary amino ligands (—NRR′), with R and R′ independently being H or a linear, cyclic or branched hydrocarbon, provided at least one of R, R, or Rin Formula I and Ror Rin Formula II is an amino ligand. 2. The compound of claim 1 , wherein the Formula I compound includes one or two neutral adduct ligands selected from the group consisting of NMe claim 1 , NEt claim 1 , NiPr claim 1 , NMeEt claim 1 , NCH claim 1 , OCH claim 1 , MeO claim 1 , and EtO.3. The compound of claim 1 , wherein the compound has Formula I and is selected from the group consisting of (NMe)SiCo(CO) claim 1 , SiH(NMe)Co(CO) claim 1 , SiH(NMe)Co(CO) claim 1 , Si(NEt)Co(CO) claim 1 , SiH(NEt)Co(CO) claim 1 , SiH(NEt)Co(CO) claim 1 , Si(N-iPr)Co(CO) claim 1 , SiH(N-iPr)Co(CO) claim 1 , SiH(N-iPr)Co(CO) claim 1 , Si(NHtBu)Co(CO) claim 1 , SiH(NHtBu)Co(CO) claim 1 , SiH(NHtBu)Co(CO) claim 1 , SiCl(NMe)Co(CO) claim 1 , SiMe(NMe)Co(CO) claim 1 , (CH═CH)Si(Me)(NMe)Co(CO) claim 1 , (CH═CH)Si(Me)(NEt)Co(CO) claim 1 , (CH═CH)Si(Me)(N-iPr)Co(CO) claim 1 , (CH═CH)Si(NEt)Co(CO) claim 1 , and (NHSiMe)Si(Me)(H)Co(CO).4. The compound of claim 3 , wherein the compound is selected from the group consisting of SiH(NEt)Co(CO) claim 3 , Si(NMe)Co(CO) claim 3 , SiH(NiPr)Co(CO) claim 3 , and SiH(NHtBu)Co(CO).5. The compound of claim 1 , wherein the compound has Formula II and is selected from the group consisting of (CO)CoSi(NMe)Co(CO) claim 1 , (CO)CoSi(NEt)Co(CO) claim 1 , (CO)CoSi(N-iPr)Co(CO) claim 1 , (CO)CoSi(NMe)(H)Co(CO) claim 1 , (CO)CoSi(NEt)(H)Co(CO) claim 1 , (CO)CoSi(N-iPr)(H)Co(CO) claim 1 , (CO)CoSi(NHtBu)(H)Co(CO) claim 1 , (CO)CoSi(NHSiMe)(Me)Co(CO) ...

Подробнее
06-12-2018 дата публикации

MULTI-REGION DIFFUSION BARRIER CONTAINING TITANIUM, SILICON AND NITROGEN

Номер: US20180350657A1
Принадлежит:

The disclosed technology generally relates to semiconductor structures and their fabrication, and more particularly to diffusion barrier structures containing Ti, Si, N and methods of forming same. A method of forming an electrically conductive diffusion barrier comprises providing a substrate in a reaction chamber and forming a titanium silicide (TiSi) region on the substrate by alternatingly exposing the substrate to a titanium-containing precursor and a first silicon-containing precursor. The method additionally comprises forming a titanium silicon nitride (TiSiN) region on the TiSi region by alternatingly exposing the substrate to a titanium-containing precursor, a nitrogen-containing precursor and a second silicon-containing precursor. The method can optionally include, prior to forming the TiSi region, forming a titanium nitride (TiN) region by alternatingly exposing the substrate to a titanium-containing precursor and a nitrogen-containing precursor. 1. A method of forming an electrically conductive diffusion barrier , the method comprising:providing a substrate in a reaction chamber;forming a titanium silicide (TiSi) region of the diffusion barrier on the substrate by alternatingly exposing the substrate to a first titanium-containing precursor and a first silicon-containing precursor; andforming a titanium silicon nitride (TiSiN) region of the diffusion barrier on the TiSi region by alternatingly exposing the substrate to a second titanium-containing precursor, a nitrogen-containing precursor and a second silicon-containing precursor,wherein the TiSi region and the TiSiN region are formed in situ.2. The method of claim 1 , wherein the TiSi region and the TiSiN region are formed at a temperature between about 500° C. and about 700° C.3. The method of claim 1 , wherein forming the TiSi region and forming the TiSiN region comprises thermally reacting respective precursors without using plasma.4. The method of claim 1 , wherein the first silicon-containing ...

Подробнее
31-12-2015 дата публикации

GAS CLUSTER REACTOR FOR ANISOTROPIC FILM GROWTH

Номер: US20150376791A1
Принадлежит:

A method of forming a low temperature silicide film on a substrate includes supplying a source gas to a cluster formation chamber to form a gas cluster that is subsequently moved to an ionization-acceleration chamber to form a gas cluster ion beam (GCIB). The GCIB is injected into a processing chamber containing the substrate. A precursor gas is injected through an injection device located on a top portion of the processing chamber to form a silicide film on the substrate by bombarding the substrate with the GCIB in the presence of the precursor gas. 1. A gas cluster ion beam (GCIB) device , the device comprising:a source gas cluster formation chamber;an ionization-acceleration chamber connected to the cluster formation chamber;a processing chamber connected to the ionization-acceleration chamber;a precursor gas injection device positioned on a top portion of the processing chamber such that the precursor gas is directed at a surface of a substrate contained within the processing chamber; andan opening between the ionization-acceleration chamber and the processing chamber.2. The GCIB device of claim 1 , wherein the injection device comprises a device having a plurality of openings through which the precursor gas may flow.3. The GCIB device of claim 1 , further comprising:a mechanical scanning system in the processing chamber.4. The GCIB device of claim 1 , further comprising:an aperture in the opening, the aperture having a width capable of forming a collimated GCIB.5. The GCIB device of claim 4 , wherein the opening has a width capable of forming a broad GCIB. The present application is a divisional of and claims the benefit of priority of U.S. patent application Ser. No. 14/277,857, filed on May 15, 2014 with the U.S. Patent and Trademark Office (USPTO), the contents of which are herein incorporated by reference in its entirety.The present invention generally relates to semiconductor manufacturing and more particularly to low-temperature silicide film growth. ...

Подробнее
21-11-2019 дата публикации

METHOD OF PROCESSING SUBSTRATE AND SUBSTRATE PROCESSING APPARATUS

Номер: US20190355597A1
Принадлежит:

There is provided a method of processing a substrate by a substrate processing apparatus including a substrate mounting table having a refrigerant passage and a heater, and a chiller. The method includes adjusting a temperature of the substrate mounting table to a first temperature to process the substrate; and adjusting the temperature of the substrate mounting table to a second temperature higher than the first temperature to process the substrate. The temperature of the substrate mounting table becomes the second temperature by allowing the refrigerant at a first flow rate to flow from the chiller to the refrigerant passage and operating the heater. The temperature of the substrate mounting table becomes the first temperature by allowing the refrigerant at a second flow rate larger than the first flow rate to flow from the chiller to the refrigerant passage and operating the heater, or stopping an operation of the heater. 1. A method of processing a substrate by a substrate processing apparatus comprising a substrate mounting table arranged in a process container and internally including a refrigerant passage through which a refrigerant flows and a heater , and a chiller configured to circulate the refrigerant with the refrigerant passage , the method comprising:adjusting a temperature of the substrate mounting table to a first temperature to process the substrate; andadjusting the temperature of the substrate mounting table to a second temperature higher than the first temperature to process the substrate,wherein when the temperature of the substrate mounting table is adjusted from the first temperature to the second temperature, the refrigerant at a first flow rate for maintaining a set temperature of the chiller is allowed to flow from the chiller to the refrigerant passage, and the heater is operated so that the temperature of the substrate mounting table becomes the second temperature, andwherein when the temperature of the substrate mounting table is ...

Подробнее
12-11-2020 дата публикации

DEPOSITION OF METAL SILICIDE LAYERS ON SUBSTRATES AND CHAMBER COMPONENTS

Номер: US20200357643A1
Принадлежит:

Embodiments of the present disclosure generally relate to methods and apparatus for depositing metal silicide layers on substrates and chamber components. In one embodiment, a method of forming a hardmask includes positioning the substrate having a target layer within a processing chamber, forming a seed layer comprising metal silicide on the target layer and depositing a tungsten-based bulk layer on the seed layer, wherein the metal silicide layer and the tungsten-based bulk layer form the hardmask. In another embodiment, a method of conditioning the components of a plasma processing chamber includes flowing an inert gas comprising argon or helium from a gas applicator into the plasma processing chamber, exposing a substrate support to a plasma within the plasma processing chamber and forming a seasoning layer including metal silicide on an aluminum-based surface of the substrate support. 1. A method of conditioning a component of a plasma processing chamber , comprising:flowing an inert gas from a gas applicator into the plasma processing chamber, the inert gas comprising argon or helium;exposing a substrate support to a plasma within the plasma processing chamber; andforming a seasoning layer comprising a metal silicide on an aluminum-based surface of the component.2. The method of claim 1 , wherein a thickness of the seasoning layer is between about 10 nm to about 10000 nm.3. The method of claim 1 , wherein forming the seasoning layer comprising the metal silicide comprises:(A) flowing a metal hexafluoride mixed with argon from the gas applicator into the plasma processing chamber for a first time interval;(B) removing the metal hexafluoride mixed with the argon from the plasma processing chamber for a second time interval;(C) flowing silane mixed with helium from the gas applicator into the plasma processing chamber for a third time interval;(D) removing the silane mixed with the helium from the plasma processing chamber for a fourth time interval, the first, ...

Подробнее
11-10-2022 дата публикации

Niobium compound and method of forming thin film

Номер: US11466043B2
Принадлежит: Adeka Corp, SAMSUNG ELECTRONICS CO LTD

A niobium compound and a method of forming a thin film using the niobium compound, the compound being represented by the following General formula I: wherein, in General formula I, R 1 , R 4 , R 5 , R 6 , R 7 , and R 8 are each independently a hydrogen atom, a C1-C6 linear or branched alkyl group or a C3-C6 cyclic hydrocarbon group, at least one of R 4 , R 5 , R 6 , R 7 , and R 8 being a C1-C6 linear or branched alkyl group, and R 2 and R 3 are each independently a hydrogen atom, a halogen atom, a C1-C6 linear or branched alkyl group, or a C3-C6 cyclic hydrocarbon group.

Подробнее
10-11-2022 дата публикации

Methods and apparatus for enhancing selectivity of titanium and titanium silicides during chemical vapor deposition

Номер: US20220359209A1
Принадлежит: Applied Materials Inc

Methods and apparatus for selectively depositing a titanium material layer atop a substrate having a silicon surface and a dielectric surface are disclosed. In embodiments an apparatus is configured for forming a remote plasma reaction between titanium tetrachloride (TiCl 4 ), hydrogen (H 2 ) and argon (Ar) in a region between a lid heater and a showerhead of a process chamber at a first temperature of 200 to 800 degrees C.; and flowing reaction products into the process chamber to selectively form a titanium material layer upon the silicon surface of the substrate.

Подробнее
10-11-2022 дата публикации

ENHANCING GAPFILL PERFORMANCE OF DRAM WORD LINE

Номер: US20220359532A1
Принадлежит: Applied Materials, Inc.

Methods of forming memory devices are described. A molybdenum silicide nucleation layer is formed, and the substrate is soaked in a titanium precursor prior to a bulk molybdenum gap fill process. In other embodiments, a molybdenum silicide film is formed in a first process cycle and a second process cycle is performed where the substrate is exposed to a titanium precursor. In further embodiments, a substrate having at least one feature thereon is exposed to a first titanium precursor and a nitrogen-containing reactant. The substrate is then soaked in a second titanium precursor, and then is exposed to a first molybdenum precursor followed by exposure to a silane to form a molybdenum silicide layer on a surface of the substrate. 1. A method of forming a film , the method comprising:forming a molybdenum-silicide film in a process cycle comprising sequential exposure of a substrate to a molybdenum precursor, purge gas, a silane precursor, and purge gas, the substrate having at least one feature thereon; andsoaking the substrate in a titanium precursor, wherein the substrate is soaked prior to the process cycle or wherein the substrate is soaked after the process cycle.2. The method of claim 1 , wherein purging comprises one or more of applying a vacuum or flowing a purge gas over the substrate.3. The method of claim 1 , further comprising purging the substrate of the titanium precursor.4. The method of claim 1 , further comprising exposing the substrate to a second molybdenum precursor and a reactant to fill the at least one feature with a bulk molybdenum film.5. The method of claim 4 , further comprising annealing the substrate claim 4 , wherein the bulk molybdenum film has line bending of less than 2 nm claim 4 , there is no delamination of the bulk molybdenum film claim 4 , and the bulk molybdenum film does not have voids.6. A method of forming a film claim 4 , the method comprising:forming a molybdenum-silicide film in a first process cycle comprising sequential ...

Подробнее
17-11-2022 дата публикации

Semiconductor devices and methods of manufacturing

Номер: US20220367194A1

In a semiconductor structure, a first conductive feature is formed in a trench by PVD and a glue layer is then deposited on the first conductive feature in the trench before CVD deposition of a second conductive feature there-over. The first conductive feature acts as a protection layer to keep silicide from being damaged by later deposition of metal or a precursor by CVD. The glue layer extends along the extent of the sidewall to enhance the adhesion of the second conductive features to the surrounding dielectric layer.

Подробнее
22-12-2022 дата публикации

METHODS AND APPARATUS FOR PROCESSING A SUBSTRATE

Номер: US20220403505A1
Принадлежит:

Methods and apparatus for processing a substrate is provided herein. For example, a method for processing a substrate comprises depositing a silicide layer within a feature defined in a layer on a substrate, forming one of a metal liner layer or a metal seed layer atop the silicide layer within the feature via depositing at least one of molybdenum (Mo) or tungsten (W) using physical vapor deposition, and depositing Mo using at least one of chemical vapor deposition or atomic layer deposition atop the at least one of the metal liner layer or the metal seed layer, without vacuum break. 1. A method for processing a substrate , comprising:depositing a silicide layer within a feature defined in a layer on a substrate;forming one of a metal liner layer or a metal seed layer atop the silicide layer within the feature via depositing at least one of molybdenum (Mo) or tungsten (W) using physical vapor deposition; anddepositing Mo using at least one of chemical vapor deposition or atomic layer deposition atop the at least one of the metal liner layer or the metal seed layer, without vacuum break.2. The method of claim 1 , wherein the feature is one of a trench claim 1 , a via claim 1 , or a dual damascene structure claim 1 , and wherein the Mo is deposited atop the one of the metal liner layer or the metal seed layer to one of selectively fill or conformally fill the feature.3. The method of claim 2 , wherein claim 2 , prior to performing the at least one of chemical vapor deposition or atomic layer deposition to deposit Mo to one of selectively fill or conformally fill the feature claim 2 , further comprising depositing a nucleation layer atop the one of the metal liner layer or the metal seed layer.4. The method of claim 3 , further comprising performing physical vapor deposition to deposit additional Mo atop the Mo deposited atop the one of the metal liner layer or the metal seed layer to one of selectively fill or conformally fill the feature claim 3 , without vacuum ...

Подробнее
09-09-1997 дата публикации

Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor

Номер: US5665640A
Принадлежит: Materials Research Corp, Sony Corp

A method and apparatus for depositing a film on a substrate by plasma-enhanced chemical vapor deposition at temperatures substantially lower than conventional thermal CVD temperatures comprises placing a substrate within a reaction chamber and exciting a first gas upstream of the substrate to generate activated radicals of the first gas. The substrate is rotated within the deposition chamber to create a pumping action which draws the gas mixture of first gas radicals to the substrate surface. A second gas is supplied proximate the substrate to mix with the activated radicals of the first gas and the mixture produces a surface reaction at the substrate to deposit a film. The pumping action draws the gas mixture down to the substrate surface in a laminar flow to reduce recirculation and radical recombination such that a sufficient amount of radicals are available at the substrate surface to take part in the surface reaction. Another method utilizes a gas-dispersing showerhead that is biased with RF energy to form an electrode which generates activated radicals and ions in a concentrated plasma close to the substrate surface. The activated plasma gas radicals and ions utilized in the invention contribute energy to the surface reaction such that the film may be deposited at a substantially lower deposition temperature that is necessary for traditional thermal CVD techniques. Furthermore, the activation of these species reduces the temperature needed to complete the surface reaction. The method is particularly useful in depositing titanium-containing films at low temperatures.

Подробнее
15-12-2020 дата публикации

Deposition of metal borides and silicides

Номер: US10865475B2
Принадлежит: ASM IP Holding BV

A method for depositing a metal film onto a substrate is disclosed. In particular, the method comprises pulsing a metal halide precursor onto the substrate and pulsing a reducing precursor onto the substrate. A reaction between the metal halide precursor and the reducing precursor forms a metal film. Specifically, the method discloses forming a metal boride or a metal silicide film.

Подробнее
13-10-2015 дата публикации

Method for producing gas barrier plastic molded body

Номер: CA2822597C
Принадлежит: Kirin Brewery Co Ltd

The purpose of the present invention is to provide a method for forming a gas barrier thin film, which is substantially colorless and has gas barrier properties, on the surface of a plastic molded body by a heating element CVD method using only starting material gases that are highly safe. A method for producing a gas barrier plastic molded body according to the present invention is a method for producing a gas barrier plastic molded body (90), wherein a gas barrier thin film (92) is formed on the surface of a plastic molded body (91). This method for producing a gas barrier plastic molded body (90) comprises a film formation step in which the gas barrier thin film (92) is formed on the surface of the plastic molded body by a heating element CVD method using an organic silane compound represented by general formula (1) as a main starting material gas and using an oxidation gas as an additive gas, while using a heating element that contains tantalum (Ta) as a main constituent element. H3Si-Cn-X (1) In formula (1), n represents 2 or 3, and X represents SiH3, H or NH2.

Подробнее
22-05-2018 дата публикации

Organoaminodisilane precursors and methods for depositing films comprising same

Номер: US9978585B2
Принадлежит: Versum Materials US LLC

Described herein are precursors and methods for forming silicon-containing films. In one aspect, there is a precursor of following Formula I: wherein R 1 and R 3 are independently selected from linear or branched C 3 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 1 to C 6 dialkylamino group, an electron withdrawing and a C 6 to C 10 aryl group; R 2 and R 4 are independently selected from hydrogen, a linear or branched C 3 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 1 to C 6 dialkylamino group, an electron withdrawing, and a C 6 to C 10 aryl group; and wherein any one, all, or none of R 1 and R 2 , R 3 and R 4 , R 1 and R 3 , or R 2 and R 4 are linked to form a ring.

Подробнее
22-10-2018 дата публикации

Functional thin film and method of its manufacture

Номер: RU2670303C2

FIELD: manufacturing technology.SUBSTANCE: invention relates to a functional thin film that includes a hybrid organic / inorganic thin film and a metal oxide layer, as well as a method for its manufacturing. Organic / inorganic hybrid thin film of the functional thin film includes a new functional group and is formed by the molecular layering method, in which an inorganic precursor and an organic precursor are alternately used.EFFECT: functional thin films obtained by this method can be applied in the field of nanodesign for the manufacture of semiconductors and electronic devices, chemical sensors and biosensors, in the field of development of nanotribology, surface modifications, nanoelectronic machine systems and in non-volatile memory devices.16 cl, 19 dwg, 1 tbl РОССИЙСКАЯ ФЕДЕРАЦИЯ (19) RU (11) (13) 2 670 303 C2 (51) МПК C23C 16/00 (2006.01) C23C 16/448 (2006.01) B32B 15/04 (2006.01) ФЕДЕРАЛЬНАЯ СЛУЖБА ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ (12) ОПИСАНИЕ ИЗОБРЕТЕНИЯ К ПАТЕНТУ (52) СПК C23C 16/00 (2018.08); C23C 16/448 (2018.08); B32B 15/04 (2018.08) (21)(22) Заявка: 2016111693, 04.11.2013 (24) Дата начала отсчета срока действия патента: 22.10.2018 Приоритет(ы): (30) Конвенционный приоритет: 30.08.2013 KR 10-2013-0104463 (43) Дата публикации заявки: 06.10.2017 Бюл. № 28 (85) Дата начала рассмотрения заявки PCT на национальной фазе: 30.03.2016 (86) Заявка PCT: KR 2013/009909 (04.11.2013) (87) Публикация заявки PCT: R U 2 6 7 0 3 0 3 WO 2015/030297 (05.03.2015) Адрес для переписки: 105082, Москва, Спартаковский пер., д. 2, стр. 1, секция 1, этаж 3, ЕВРОМАРКПАТ (54) ФУНКЦИОНАЛЬНАЯ ТОНКАЯ ПЛЕНКА И СПОСОБ ЕЕ ИЗГОТОВЛЕНИЯ (57) Реферат: Изобретение относится к функциональной прекурсор. Функциональные тонкие пленки, тонкой пленке, которая включает гибридную полученные данным способом, могут найти органическую/неорганическую тонкую пленку и применение в области наноконструирования для слой оксида металла, а также к способу ее изготовления полупроводников и электронных ...

Подробнее
27-11-2020 дата публикации

有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法

Номер: CN105801612B
Принадлежит: Versum Materials US LLC

有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法。本文描述了形成含硅薄膜的前体和方法。一方面,提供式I的前体: 其中R 1 选自直链或支链C 3 ‑C 10 烷基、直链或支链C 3 ‑C 10 烯基、直链或支链C 3 ‑C 10 炔基、C 1 ‑C 6 二烷基氨基、吸电子基团和C 6 ‑C 10 芳基;R 2 选自氢、直链或支链C 1 ‑C 10 烷基、直链或支链C 3 ‑C 6 烯基、直链或支链C 3 ‑C 6 炔基、C 1 ‑C 6 二烷基氨基、C 6 ‑C 10 芳基、直链或支链C 1 ‑C 6 氟化烷基、吸电子基团和C 4 ‑C 10 芳基;任选地其中R 1 和R 2 连接在一起形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2。

Подробнее
27-05-2015 дата публикации

Method for producing gas barrier plastic molded body

Номер: KR101523454B1
Принадлежит: 기린비루 가부시키가이샤

본 발명의 목적은, 플라스틱 성형체의 표면에, 발열체 CVD법으로, 안정성이 높은 원료 가스만을 사용하여, 실질적으로 무색이면서 또한 가스 배리어성을 갖는 가스 배리어 박막을 형성하는 방법을 제공하는 것이다. 본 발명에 따른 가스 배리어성 플라스틱 성형체의 제조 방법은, 플라스틱 성형체(91)의 표면에, 가스 배리어 박막(92)을 형성하는 가스 배리어성 플라스틱 성형체(90)의 제조 방법에 있어서, 플라스틱 성형체의 표면에, 발열체 CVD법으로, 주된 원료 가스로서 일반식(화학식 1)으로 표시되는 유기 실란계 화합물을 사용하고, 또한 첨가 가스로서 산화 가스를 사용하고, 또한 탄탈(Ta)을 주된 구성 원소로서 포함하는 발열체를 사용하여, 가스 배리어 박막(92)을 형성하는 성막 공정을 갖는다. (화학식 1) H 3 Si-Cn-X 화학식 1에서, n은 2 또는 3이며, X는 SiH 3 , H 또는 NH 2 이다. An object of the present invention is to provide a method for forming a gas barrier thin film which is substantially colorless and has gas barrier property by using only a highly stable raw material gas on the surface of a plastic molded article by a heating element CVD method. The method for producing a gas-barrier plastic molded article according to the present invention is a method for producing a gas-barrier plastic molded article 90 for forming a gas-barrier thin film 92 on the surface of a plastic molded article 91, , An organosilane compound represented by the general formula (1) is used as a main raw material gas by a heating element CVD method, an oxidizing gas is used as an additive gas and tantalum (Ta) is used as a main constituent element And a film forming step of forming the gas barrier thin film 92 by using a heating element. (1) ???????? H 3 Si-Cn-X In formula (1), n is 2 or 3, and X is SiH 3 , H or NH 2 .

Подробнее
14-11-2019 дата публикации

Organoaminodisilane precursors and methods for depositing films comprising same

Номер: KR102044666B1

실리콘-함유 막을 제조하는 전구체 및 방법이 본원에 기재되어 있다. 일 양태에서, 하기 화학식 (I)의 전구체가 있다: 상기 식에서 R 1 및 R 3 은 각각 독립적으로 선형 또는 분지형 C 1 내지 C 10 알킬기, 선형 또는 분지형 C 3 내지 C 10 알케닐기, 선형 또는 분지형 C 3 내지 C 10 알키닐기, C 1 내지 C 6 디알킬아미노기, 전자 끄는 기 및 C 6 내지 C 10 아릴기로부터 선택되고; R 2 및 R 4 은 각각 독립적으로 수소, 선형 또는 분지형 C 3 내지 C 10 알킬기, 선형 또는 분지형 C 3 내지 C 10 알케닐기, 선형 또는 분지형 C 3 내지 C 10 알키닐기, C 1 내지 C 6 디알킬아미노기, 전자 끄는 기, 및 C 6 내지 C 10 아릴기로부터 선택되며; 여기서, R 1 과 R 2 , R 3 과 R 4 , R 1 과 R 3 , 또는 R 2 와 R 4 중의 어느 하나 또는 이들 모두는 함께 연결되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성하거나, R 1 과 R 2 , R 3 과 R 4 , R 1 과 R 3 , 또는 R 2 와 R 4 는 어느 것도 함께 연결되지 않아서 고리를 형성하지 않는다. Described herein are precursors and methods of making silicon-containing films. In one aspect, there is a precursor of formula (I): Wherein R 1 and R 3 are each independently a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, C 1 to C 6 dialkylamino group, electron withdrawing group and C 6 to C 10 aryl group; R 2 and R 4 are each independently hydrogen, linear or branched C 3 to C 10 alkyl group, linear or branched C 3 to C 10 alkenyl group, linear or branched C 3 to C 10 alkynyl group, C 1 to C 6 dialkylamino group, electron withdrawing group, and C 6 to C 10 aryl group; Wherein any one or both of R 1 and R 2 , R 3 and R 4 , R 1 and R 3 , or R 2 and R 4 are linked together and substituted or unsubstituted aromatic rings or substituted or unsubstituted aliphatic Form a ring selected from the ring, or R 1 and R 2 , R 3 and R 4 , R 1 and R 3 , or R 2 and R 4 , are not linked together to form a ring.

Подробнее
08-04-2015 дата публикации

유기아미노디실란 전구체 및 이를 포함하는 막을 증착시키는 방법

Номер: KR20150037792A

본원에서는 전구체 및 실리콘-함유 막을 형성시키는 방법을 기재하고 있다. 일 양태로, 본원에서는 하기 화학식(I)의 전구체를 제공하고 있다: (I) 상기 식에서, R 1 은 선형 또는 분지형 C 3 내지 C 10 알킬기, 선형 또는 분지형 C 3 내지 C 10 알케닐기, 선형 또는 분지형 C 3 내지 C 10 알키닐기, C 1 내지 C 6 디알킬아미노기, 전자 끄는 기, C 6 내지 C 10 아릴기로부터 선택되고; R 2 는 수소, 선형 또는 분지형 C 1 내지 C 10 알킬기, 선형 또는 분지형 C 3 내지 C 6 알케닐기, 선형 또는 분지형 C 3 내지 C 6 알키닐기, C 1 내지 C 6 디알킬아미노기, C 6 내지 C 10 아릴기, 선형 또는 분지형 C 1 내지 C 6 불소화된 알킬기, 전자 끄는 기, 및 C 4 내지 C 10 아릴기로부터 선택되고; 임의로, R 1 과 R 2 는 함께 연결되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성하고; n=1 또는 2이다.

Подробнее
08-12-2015 дата публикации

Nickel bis diazabutadiene precursors, their synthesis, and their use for nickel containing films depositions

Номер: US9206507B2

Disclosed are homoleptic diazabutadiene nickel precursors used for the vapor deposition of nickel-containing films. The precursors have the general formula Ni(R-DAD) 2 , wherein R-DAD stands for substituted 1,4-diazabuta-1,3-diene ligands. The sole presence of the Ni—N bonds was also considered to avoid too high intrusion of other elements, such as carbon, into the nickel-containing films. The flexibility of the Ni—N bond in terms of film deposition also allows using the molecules for nickel, nickel-nitride, nickel-carbonitride, nickel oxide or any other type of nickel-containing films. The nickel-containing film depositions can be carried out by thermal and/or plasma-enhanced CVD, ALD, and pulse CVD or any other type of depositions methods.

Подробнее
06-09-2022 дата публикации

Processing methods comprising positioning a substrate with a surface in a processing chamber

Номер: KR102441431B1
Автор: 이홍 첸, 켈빈 찬

기판 상에 핵형성(nucleation) 층을 형성하기 위한 방법들이 개시된다. 몇몇 실시예들에서, 프로세싱 방법은, 기판의 표면 상에 핵형성 층을 형성하기 위해, 금속 전구체를 포함하는 제 1 반응성 가스 및 할로겐화된 실란(halogenated silane)을 포함하는 제 2 반응성 가스에 대한 순차적 노출을 포함한다. Methods for forming a nucleation layer on a substrate are disclosed. In some embodiments, the processing method is sequential for a first reactive gas comprising a metal precursor and a second reactive gas comprising a halogenated silane to form a nucleation layer on a surface of the substrate. including exposure.

Подробнее
19-11-2020 дата публикации

Nmos metal gate materials, manufacturing methods, and equipment using cvd and ald processes with metal based precursors

Номер: KR102180890B1

본 발명의 실시예들은 일반적으로 금속-함유 물질들을 증착하기 위한 방법들과 그 조성들을 제공한다. 상기 방법들은, 열분해, CVD, 펄스-CVD 또는 ALD를 포함하는 기상 증착 프로세스에 의해, 금속, 금속 탄화물, 금속 규화물, 금속 질화물 및 금속 탄화물 유도체들을 형성하는 증착 프로세스들을 포함한다. 일 실시예에서, 10 초과의 유전상수를 가진 유전체 물질을 증착하는 단계, 유전체 물질에 내에 피쳐 데피니션을 형성하는 단계, 피쳐 데피니션의 측벽들과 바닥에 컨포멀하게 일함수 물질을 증착하는 단계, 및 피쳐 데피니션을 충진하도록 일함수 물질 상에 금속 게이트 충진 물질을 증착하는 단계를 포함하고, 일함수 물질은 화학식(MX Y )을 가진 적어도 하나의 금속-할로겐화물 전구체를 반응시킴으로써 증착되며, 여기서, M은 탄탈륨, 하프늄, 티타늄 및 란타늄이고, X는 불소, 염소, 브롬 또는 요오드로 이루어진 군으로부터 선택된 할로겐화물이며, y는 3 내지 5인, 기판 프로세싱 방법이 제공된다. Embodiments of the present invention generally provide methods and compositions thereof for depositing metal-containing materials. The methods include deposition processes to form metals, metal carbides, metal silicides, metal nitrides and metal carbide derivatives by a vapor deposition process including pyrolysis, CVD, pulse-CVD or ALD. In one embodiment, depositing a dielectric material having a dielectric constant greater than 10, forming a feature definition within the dielectric material, depositing a work function material conformally to the sidewalls and bottom of the feature definition, and Depositing a metal gate fill material on the work function material to fill the feature definition, wherein the work function material is deposited by reacting at least one metal-halide precursor having the formula (MX Y ), wherein M Is tantalum, hafnium, titanium and lanthanum, X is a halide selected from the group consisting of fluorine, chlorine, bromine or iodine, and y is 3 to 5, a substrate processing method is provided.

Подробнее
11-08-2012 дата публикации

Novel methods for making and using halosilylgermanes

Номер: TWI370107B
Принадлежит: Univ Arizona

Подробнее
15-12-2015 дата публикации

Organoaminodisilane precursors and methods for depositing films comprising same

Номер: KR101577773B1

실리콘-함유 막을 제조하는 전구체 및 방법이 본원에 기재되어 있다. 일 양태에서, 하기 화학식 (I)의 전구체가 있다: 상기 식에서 R 1 및 R 3 은 각각 독립적으로 선형 또는 분지형 C 3 내지 C 10 알킬기, 선형 또는 분지형 C 3 내지 C 10 알케닐기, 선형 또는 분지형 C 3 내지 C 10 알키닐기, C 1 내지 C 6 디알킬아미노기, 전자 끄는 기 및 C 6 내지 C 10 아릴기로부터 선택되고; R 2 및 R 4 은 각각 독립적으로 수소, 선형 또는 분지형 C 3 내지 C 10 알킬기, 선형 또는 분지형 C 3 내지 C 10 알케닐기, 선형 또는 분지형 C 3 내지 C 10 알키닐기, C 1 내지 C 6 디알킬아미노기, 전자 끄는 기, 및 C 6 내지 C 10 아릴기로부터 선택되며; 여기서, R 1 과 R 2 , R 3 과 R 4 , R 1 과 R 3 , 또는 R 2 와 R 4 중의 어느 하나 또는 이들 모두는 함께 연결되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성하거나, R 1 과 R 2 , R 3 과 R 4 , R 1 과 R 3 , 또는 R 2 와 R 4 는 어느 것도 함께 연결되지 않아서 고리를 형성하지 않는다. Precursors and methods for making silicon-containing films are described herein. In one aspect, there is a precursor of formula (I) Wherein R 1 and R 3 are each independently a linear or branched C 3 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 1 to C 6 dialkylamino groups, electron withdrawing groups and C 6 to C 10 aryl groups; R 2 and R 4 are each independently hydrogen, linear or branched C 3 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl groups, linear or branched C 3 to C 10 alkynyl group, C 1 to C 6 dialkylamino groups, electron withdrawing groups, and C 6 to C 10 aryl groups; Wherein either or both of R 1 and R 2 , R 3 and R 4 , R 1 and R 3 , or R 2 and R 4 are linked together to form a substituted or unsubstituted aromatic ring or a substituted or unsubstituted aliphatic R 1 and R 2 , R 3 and R 4 , R 1 and R 3 , or R 2 and R 4 Are not connected together to form a loop.

Подробнее
24-03-2010 дата публикации

Novel methods for making and using halosilylgermanes

Номер: CN101678665A
Принадлежит: Arizona State University ASU

本发明提供分子式Si x Ge y H z-a X a 的化合物和制备该化合物的方法,其中X为卤素,x、y、z和a在本文中定义,以及使用本发明的化合物在硅衬底上沉积高Ge含量Si膜的方法。

Подробнее
06-01-2003 дата публикации

Method and apparatus for producing thin films

Номер: KR100355913B1

종래의 열 CVD 온도보다 충분히 낮은 온도에서 플라즈마 보강 화학증착에 의해 기판(22) 상에 막을 증착시키기 위해, 기판이 반응 챔버(12) 내에 배치되고 제 1 가스는 기판의 상류에서 여기되어 제 1 가스의 활성 라디컬을 발생시킨다. 제 2 가스는 기판에 인접하게 제공되어 제 1 가스의 활성 라디컬과 혼합되고 그 혼합물은 기판에 표면 반응을 생성하여 막을 증착시킨다. 기판의 회전은 가스 혼합물을 층류(29)에서 기판 표면으로 끌어당겨서 재순환과 라디컬 재결합을 감소시킨다. 다른 증착 방법은 활성 라디컬 및 이온을 집중된 플라즈마에서 기판 표면에 인접하게 발생시키는 전극을 형성하기 위해 RF 에너지로 바이어스되는 가스 분산용 샤워 헤드(298)를 사용한다. In order to deposit the film on the substrate 22 by plasma enhanced chemical vapor deposition at a temperature sufficiently lower than the conventional thermal CVD temperature, the substrate is placed in the reaction chamber 12 and the first gas is excited upstream of the substrate to provide a first gas. Generates active radicals. The second gas is provided adjacent to the substrate and mixed with the active radicals of the first gas and the mixture creates a surface reaction on the substrate to deposit the film. Rotation of the substrate draws the gas mixture from the laminar flow 29 to the substrate surface to reduce recycling and radical recombination. Another deposition method uses a gas distribution shower head 298 that is biased with RF energy to form an electrode that generates active radicals and ions proximate the substrate surface in a concentrated plasma.

Подробнее
15-06-2006 дата публикации

Atomic layer deposition of hafnium-based high-k dielectric

Номер: KR20060066126A
Принадлежит: 에비자 테크놀로지, 인크.

하프늄-기재 유전체막을 증착하는 방법이 제공된다. 상기 방법은 하프늄 전구체를 포함하는 하나 이상의 반응물과 오존을 사용하는 원자층 증착을 포함한다. 또한 반도체 소자가 제공된다. 상기 소자는 기판, 기판의 상부에 형성된 하프늄-기재 유전체층, 및 상기 기판과 상기 하프늄-기재 유전체층 사이에 형성된 계면층을 포함하며, 상기 계면층은 실리콘 이산화물을 포함하며 결정성 구조를 갖는다.

Подробнее
18-08-2014 дата публикации

Epitaxial silicon carbide single-crystal substrate and method for producing the same

Номер: KR101430217B1

오프 각도가 1°이상 6°이하인 탄화규소 단결정 기판 위에, 도핑 밀도의 면내 균일성이 우수한 탄화규소 에피택셜막을 가진 에피택셜 탄화규소 단결정 기판으로서, 이 에피택셜막이, 0.5 ㎛ 이하인 도프층과 0.1 ㎛ 이하인 논-도프층을 반복하여 성장시키고, 재료 가스 중의 규소 원자수에 대한 탄소 원자수의 비(C/Si비)를 1.5 이상 2.0 이하로 하여 도프층을 형성하고, C/Si비를 0.5 이상 1.5 미만으로 하여 논-도프층을 형성한다. 오프 각도가 작은 탄화규소 단결정 기판 위에, 고품질이고 도핑 밀도의 면내 균일성이 우수한 탄화규소 에피택셜막을 가진 에피택셜 탄화규소 단결정 기판이 제공된다. An epitaxial silicon carbide single crystal substrate having a silicon carbide epitaxial film excellent in in-plane uniformity of doping density on a silicon carbide single crystal substrate having an off angle of 1 DEG to 6 DEG, the epitaxial film comprising a doped layer of 0.5 mu m or less and a doped layer of 0.1 mu m (C / Si ratio) of the number of carbon atoms to the number of silicon atoms in the material gas is not less than 1.5 and not more than 2.0 to form a doped layer, and a C / Si ratio of not less than 0.5 1.5 < / RTI > to form a non-doped layer. There is provided an epitaxial silicon carbide single crystal substrate having a silicon carbide epitaxial film of high quality and excellent in in-plane uniformity of doping density on a silicon carbide single crystal substrate having a small off angle.

Подробнее