Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 1844. Отображено 198.
27-07-2012 дата публикации

ГАЗОЗАРЯЖАЮЩИЙ КОНТЕЙНЕР, АППАРАТ АТОМНОГО ЗОНДА И СПОСОБ АНАЛИЗА ПОЛОЖЕНИЯ ВОДОРОДА В МАТЕРИАЛЕ

Номер: RU2010151790A
Принадлежит:

... 1. Газозаряжающий контейнер, содержащий: ! держатель образца, который удерживает игловидный материал; ! часть, подающую газообразный дейтерий, которая заряжает газообразный дейтерий в игловидный материал, удерживаемый держателем образца; и ! нагревающую часть, которая нагревает игловидный материал, удерживаемый держателем образца, ! при этом игловидный материал охлаждается путем блокировки тепла, выделяемого нагревающей частью, после того, как игловидный материал нагрет нагревающей частью. ! 2. Газозаряжающий контейнер по п. 1, в котором: ! игловидный материал охлаждается путем отвода нагревающей части от держателя образца после того, как игловидный материал нагрет с помощью нагревающей части. ! 3. Газозаряжающий контейнер по п. 1, в котором: ! нагревающая часть представляет собой оптическую нагревающую часть, и игловидный материал охлаждается путем остановки оптической нагревающей части после того, как игловидный материал нагрет с помощью оптической нагревающей части. ! 4. Газозаряжающий ...

Подробнее
06-12-2012 дата публикации

Gasfeldionisations-Ionenquelle und Ionenstrahlvorrichtung

Номер: DE112010004053T5

Es wird eine Gasfeldionisations-Ionenquelle beschrieben, die schwere Ionen mit großer Helligkeit emittieren kann, die zum Bearbeiten einer Probe geeignet sind. Die Gasfeldionisations-Ionenquelle der vorliegenden Erfindung umfaßt eine Temperatursteuerung, die die Temperatur am vorderen Ende einer Emitterelektrode (1) und die Temperatur eines Gaseinführungsöffnungsabschnitts (3) einer Gaszuführungseinheit individuell kontrolliert.

Подробнее
31-08-2017 дата публикации

Verfahren und Vorrichtung zum dauerhaften Reparieren von Defekten fehlenden Materials einer photolithographischen Maske

Номер: DE102016203094A1
Принадлежит:

Die vorliegende Anmeldung bezieht sich auf ein Verfahren zum dauerhaften Reparieren von Defekten (260, 270, 280) fehlenden Materials einer photolithographischen Maske (105, 210, 220), die Schritte aufweisend: (a) Bereitstellen zumindest eines Kohlenstoff enthaltenden Präkursor-Gases und zumindest eines Oxidationsmittels an einer zu reparierenden Stelle der photolithographischen Maske (105, 210, 220); (b) Initiieren einer Reaktion des zumindest einen Kohlenstoff enthaltenden Präkursor-Gases mit Hilfe zumindest einer Energiequelle (127) an der Stelle fehlenden Materials zum Abscheiden von Material an der Stelle fehlenden Materials, wobei das abgeschiedene Material (460, 670, 880) zumindest ein Reaktionsprodukt des reagierten zumindest einen Kohlenstoff enthaltenden Präkursor-Gases umfasst; und (c) Kontrollieren eines Gasmengenstroms des zumindest einen Oxidationsmittels zum Minimieren eines Kohlenstoffanteils des abgeschiedenen Materials (460, 670, 880).

Подробнее
06-02-2014 дата публикации

Gas charge container, atom probe apparatus, and method for analyzing hydrogen position in material

Номер: AU2009263350B2
Принадлежит:

A gas charge container comprises a sample holder that holds a needle-shaped material, a heavy hydrogen gas supply means that charges the heavy hydrogen gas in the needle-shaped material held by the sample holder, and a heating means that heats the needle-shaped material held by the sample holder. After the needle-shaped material is heated by the heating means, the needle-shaped material is cooled off by blocking the heat generated by the heating means.

Подробнее
17-09-2014 дата публикации

VACUUM ISOLATION VALVE

Номер: CN104048103A
Автор: CHO, HANJUNG, KIM, SANGHO
Принадлежит:

The vacuum isolation valve includes a housing installed between a chamber and a chamber cleaning gas supply and including a tube connecting the chamber to the chamber cleaning gas supply; a tube isolator formed at a predetermined position of the tube and used for blocking the tube selectively; a first blocking unit which is installed to the housing and moves along the first direction and selectively blocks the open first surface of the tube isolator and maintains communication between the chamber and the chamber cleaning gas supply; and a second blocking unit installed to the housing, for, when the first blocking unit moves in the first direction, maintaining communication between the chamber and the chamber cleaning gas supply by moving in a second direction and pressing the first blocking unit and for, when the first blocking unit moves in an opposite direction to the first direction, moving along the second direction, being inserted into the tube isolator through the first surface, clinging ...

Подробнее
22-09-2017 дата публикации

For the establishment of the current in the ion implantation of the ribbon-shaped ion beam method and its ion beam system

Номер: CN0104835709B
Автор:
Принадлежит:

Подробнее
17-07-2009 дата публикации

Ion implantation device useful in semiconductor industry, comprises hydrogen source, system for continuously supplying deionized water to source, gas housing, ionization chamber, and unit for detecting abnormality and/or leak of hydrogen

Номер: FR0002926301A1
Принадлежит:

L'invention concerne un dispositif implanteur ionique, dans lequel la source d'hydrogène est un générateur d'hydrogène apte à générer de l'hydrogène à partir d'une réaction chimique ou électrochimique.

Подробнее
10-10-2018 дата публикации

라디칼을 운반하기 위한 배열체 및 방법

Номер: KR0101905618B1

... 본 발명은 라디칼들을 운반하기 위한 배열체에 관한 것이다. 이 배열체는 플라즈마 발생기 및 안내 본체를 포함한다. 플라즈마 발생기는 내부에 플라즈마가 형성될 수 있는 챔버(2)를 포함한다. 챔버는 입력 가스를 수용하기 위한 유입구(5) 및 플라즈마 및 그 안에 생성된 라디칼들을 중 하나 이상의 제거를 위한 하나 또는 둘 이상의 유출구(6)들을 갖는다. 안내 본체는 중공형이고 플라즈마 내에 형성된 라디칼들을 오염물질 퇴적물이 제거될 영역 또는 용적을 향하여 안내하기 위해 배열된다. 챔버 유입구는 안내 몸체 내에 유동을 생성하도록 챔버 내로 펄스형 압력을 제공하기 위한 압력 장치(40)에 커플링된다.

Подробнее
30-01-2012 дата публикации

TECHNIQUE FOR IMPROVING THE PERFORMANCE AND EXTENDING THE LIFETIME OF AN ION SOURCE WITH GAS DILUTION

Номер: KR0101108895B1
Автор:
Принадлежит:

Подробнее
03-11-2011 дата публикации

SUBSTRATE SUPPORT STRUCTURE, CLAMP PREPARATION UNIT, AND LITHOGRAPHY SYSTEM

Номер: KR1020110120333A
Автор:
Принадлежит:

Подробнее
01-08-2007 дата публикации

Systems and methods that mitigate contamination and modify surface characteristics during ion implantation processes through the introduction of gases

Номер: TW0200729306A
Принадлежит:

A contamination mitigation or surface modification system for ion implantation processes includes a gas source, a controller, a valve, and process chamber. The gas source provides delivery of a gas, be it atmospheric or reactive, to the valve and is controlled by the controller. The valve is located on or about the process chamber and controllably adjusts flow rate and/or composition of the gas to the process chamber. The process chamber holds a target device, such as a target wafer and permits interaction of the gas with an ion beam to mitigate contamination of the target wafer and/or to modify the existing properties of the processing environment or target device to change a physical or chemical state or characteristic thereof. The controller selects and adjusts composition of the gas and flow rate according to contaminants present within the ion beam, or lack thereof, as well total or partial pressure analysis.

Подробнее
30-04-2013 дата публикации

ISOTOPICALLY-ENRICHED BORON-CONTAINING COMPOUNDS, AND METHODS OF MAKING AND USING SAME

Номер: SG0000188215A1

An isotopically-enriched, boron-containing compound comprising two or more boron atoms and at least one fluorine atom, wherein at least one of the boron atoms contains a desired isotope of boron in a concentration or ratio greater than a natural abundance concentration or ratio thereof. The compound may have a chemical formula of B2F4. Synthesis methods for such compounds, and ion implantation methods using such compounds, are described, as well as storage and dispensing vessels in which the isotopically-enriched, boron-containing compound is advantageously contained for subsequent dispensing use.

Подробнее
21-04-2016 дата публикации

PLASMA DEVICE

Номер: TWI531280B

Подробнее
04-01-2007 дата публикации

REPLACEMENT GATE FIELD EFFECT TRANSISTOR WITH GERMANIUM OR SIGE CHANNEL AND MANUFACTURING METHOD FOR SAME USING GAS-CLUSTER ION IRRADIATION

Номер: WO2007002130A2
Принадлежит:

A self-aligned MISFET transistor (500H) on a silicon substrate (502), but having a graded SiGe channel or a Ge channel. The channel (526) is formed using gas-cluster ion beam (524) irradiation and provides higher channel mobility than conventional silicon channel MISFETs. A manufacturing method for such a transistor is based on a replacement gate process flow augmented with a gas-cluster ion beam processing step or steps to form the SiGe or Ge channel. The channel may also be doped by gas-cluster ion beam processing either as an auxiliary step or simultaneously with formation of the increased mobility channel.

Подробнее
24-05-2012 дата публикации

HYDROGEN COGAS FOR CARBON IMPLANT

Номер: WO2012067652A1
Принадлежит:

A system, apparatus and method for increasing ion source lifetime in an ion implanter are provided. Oxidation of the ion source and ion source chamber poisoning resulting from a carbon and oxygen-containing source gas is controlled by utilizing a hydrogen co-gas, which reacts with free oxygen atoms to form hydroxide and water.

Подробнее
19-05-2011 дата публикации

GAS DELIVERY FOR BEAM PROCESSING SYSTEMS

Номер: WO2011060444A3
Принадлежит:

Gas flow from multiple gas sources into a sample chamber of a beam system is controlled by a cycling valve for each gas source, with the gas pressure in the sample chamber being determined by the relative time that the valve is opened and the upstream pressure at the valve. A gas valve positioned inside the vacuum chamber allows rapid response in shutting off a gas. In some preferred embodiments, a precursor gas is supplied from a solid or liquid material in a container that remains outside the vacuum system while in use and which is readily connected or disconnected to the gas injection system without significant leakage.

Подробнее
06-12-2007 дата публикации

ION IMPLANTATION ION SOURCE, SYSTEM AND METHOD

Номер: US20070278417A1
Принадлежит:

Various aspects of the invention provide improved approaches and methods for efficiently: Vaporizing decaborane and other heat-sensitive materials via a novel vaporizer and vapor delivery system; Delivering a controlled, low-pressure drop flow of vapors, e.g. decaborane, into the ion source; Ionizing the decaborane into a large fraction of B10Hx+; Preventing thermal dissociation of decaborane; Limiting charge-exchange and low energy electron-induced fragmentation of B10Hx+; Operating the ion source without an arc plasma, which can improve the emittance properties and the purity of the beam; Operating the ion source without use of a strong applied magnetic field, which can improve the emittance properties of the beam; Using a novel approach to produce electron impact ionizations without the use of an arc discharge, by incorporation of an externally generated, broad directional electron beam which is aligned to pass through the ionization chamber to a thermally isolated beam dump; Providing ...

Подробнее
18-06-2009 дата публикации

System and Method for Processing an Object

Номер: US2009152459A1
Принадлежит:

A system and a method for processing and inspecting an object are provided, wherein the system comprises a particle beam column, an object holder and a gas supply apparatus. Thereby, the object holder is formed comprising a base, a first table displaceable relative to the base, a second table displaceable relative to the first table and a third table rotatable relative to the second table, wherein the cannula of the gas supply apparatus is fixed at the first table.

Подробнее
16-01-2020 дата публикации

DEVICE AND METHOD FOR REMOVING A LAYER FROM A SUBSTRATE

Номер: US20200016634A1
Принадлежит:

At least one device () and at least one method for removing a layer () from a substrate () by applying a pulsed high voltage are disclosed. For this purpose, at least one pressure plasma burner () operating at atmospheric-pressure, a high-voltage source (), and a supply of process gas () are required. Via a gas line (), the supply of process gas () is connected with an inlet () of the plasma burner (). The plasma burner () has a nozzle () through which a plasma jet () emerges. The high-voltage source () is configured such that a pulsed high voltage is applied between the plasma burner () and an electrically conductive element (), which pulsed high voltage reaches a breakdown voltage in the region () of the conductive element (). 1. A device for removing a layer on a substrate , wherein the substrate is an electrically conductive substrate or an electrically conductive element , comprising:at least one plasma burner operating at atmospheric-pressure;a high-voltage source connected to an electrode inside the plasma burner and connected to a housing of the plasma burner;a supply of process gas connected via a gas line to an inlet of the plasma burner;a nozzle formed in the plasma burner, through which a plasma jet emerges,wherein the high-voltage source is configured such that the high voltage applied at the electrode between the plasma burner and an electrically conductive element, a pulsed high voltage is applied, which reaches a breakdown voltage in a region of the electrically conductive element.2. The device as recited in claim 1 , wherein the pulsed high voltage is a unipolar pulse sequence having a frequency of 10 kHz to 1 MHz claim 1 , and/or the high voltage has a maximum amount of the potential of 1 kV to 100 kV related to the potential of the electrically conductive substrate or the electrically conductive element claim 1 , and/or the pulsed high voltage has an edge steepness of >10V/s.3. The device as recited in claim 1 , wherein the pulsed high voltage is ...

Подробнее
18-06-2020 дата публикации

FLUORINE ION IMPLANTATION SYSTEM WITH NON-TUNGSTEN MATERIALS AND METHODS OF USING

Номер: US20200194265A1
Принадлежит:

A system and method for fluorine ion implantation is described, which includes a fluorine gas source used to generate a fluorine ion species for implantation to a subject, and an arc chamber that includes one or more non-tungsten materials (graphite, carbide, fluoride, nitride, oxide, ceramic). The system minimizes formation of tungsten fluoride during system operation, thereby extending source life and promoting improved system performance. Further, the system can include a hydrogen and/or hydride gas source, and these gases can be used along with the fluorine gas to improve source lifetime and/or beam current.

Подробнее
31-01-2013 дата публикации

System and Method for Ion Implantation with Improved Productivity and Uniformity

Номер: US20130026356A1
Принадлежит: Axcelis Technologies Inc.

A method comprising introducing an injected gas (e.g., Argon, Xenon) into a beam line region comprising a magnetic scanner is provided herein. The injected gas improves beam current by enhancing (e.g., increasing, decreasing) charge neutralization of the magnetic ion beam (e.g., the ion beam at regions where the scanning magnetic field is non-zero) thereby reducing the current loss due to the zero field effect (ZFE). By reducing the current loss in regions having a magnetic field, the magnetic beam current is increased (e.g., the beam current is increased in regions where the magnetic field is non-zero) raising the overall beam current in a uniform manner over an entire scan path and thereby reducing the effect of the ZFE. In other words, the ZFE is removed by effectively minimizing it through an increase in the magnetized beam current.

Подробнее
29-08-2023 дата публикации

Multidimensional printer

Номер: US0011738312B2

A multidimensional printer makes a multidimensional structure from a liquid composition and includes: an energetic crosslinking particle source; a vacuum chamber that receives energetic crosslinking particles from the energetic crosslinking particle source; a membrane that transmits the energetic crosslinking particles; and a sample chamber that: receives a liquid composition that includes a solvent and polymers, the polymers including a cross-linkable moiety subjected to the energetic crosslinking particles such that portions of the polymers proximate to the cross-linkable moieties subjected to the energetic crosslinking particles crosslink to form a solid crosslinked polymer structure, wherein the membrane isolates a vacuum of the vacuum chamber from vapor of the liquid composition in the sample chamber.

Подробнее
03-11-2022 дата публикации

ELECTRON BEAM APPARATUS AND METHOD FOR CONTROLLING ELECTRON BEAM APPARATUS

Номер: US20220351934A1
Принадлежит: Hitachi High-Tech Corporation

The invention provides an electron beam apparatus that reduces a time required for an electron gun chamber to which a sputter ion pump and a non-evaporable getter pump are connected to reach an extreme high vacuum state. The electron beam apparatus includes an electron gun configured to emit an electron beam and the electron gun chamber to which the sputter ion pump and the non-evaporable getter pump are connected. The electron beam apparatus further includes a gas supply unit configured to supply at least one of hydrogen, oxygen, carbon monoxide, and carbon dioxide to the electron gun chamber.

Подробнее
14-11-2012 дата публикации

Номер: JP0005074226B2
Автор:
Принадлежит:

Подробнее
11-10-2018 дата публикации

Verfahren zum Messen eines Abstands eines Bauteils zu einem Objekt sowie zum Einstellen einer Position eines Bauteils in einem Teilchenstrahlgerät, Computerprogrammprodukt, Teilchenstrahlgerät sowie Gaszuführungseinrichtung

Номер: DE102014220122B4

Verfahren zum Bestimmen eines Abstands mindestens eines sich in einer ersten Ausgangsposition befindenden Bauteils (21, 26, 48, 52, 139) eines Teilchenstrahlgeräts (1) zu einem Objekt (4), das sich in einer zweiten Ausgangsposition befindet, wobei mit dem Teilchenstrahlgerät (1) das Objekt (4) analysiert und/oder bearbeitet wird und wobei das Bauteil (21, 26, 48, 52, 139) als eine Gaszuführungseinrichtung, als ein Teilchendetektor und/oder als ein Strahlendetektor ausgebildet ist, wobei das Teilchenstrahlgerät (1) umfasst:- mindestens einen Strahlerzeuger (5) zur Erzeugung eines Teilchenstrahls mit geladenen Teilchen,- mindestens eine Objektivlinse (16) zur Fokussierung des Teilchenstrahls auf das Objekt (4), und- mindestens einen Vakuumbereich (3), in welchem das Bauteil (21, 26, 48, 52 139) angeordnet ist, wobei das Verfahren die folgenden Schritte aufweist:- Bewegen des Bauteils (21, 26, 48, 52, 139) von der ersten Ausgangsposition des Bauteils (21, 26, 48, 52, 139) aus relativ in Richtung ...

Подробнее
11-12-2014 дата публикации

Ionenquelle für die Gasfeldionisation und Ionenstrahlvorrichtung

Номер: DE112013001363T5

Bei einer herkömmlichen Ionenquelle für die Gasfeldionisation war es nicht möglich, eine Analyse mit einem hohen S/N-Verhältnis und eine maschinelle Bearbeitung mit hoher Geschwindigkeit durchzuführen, da der Strombetrag des Ionenstrahls gering war. Im Hinblick auf diese Probleme wurde die vorliegende Erfindung gemacht. Ihr Ziel besteht darin, einen hohen Ionenstrahlstrom zu erreichen, wobei die Wahrscheinlichkeit einer Schädigung der Emitterelektrode verringert wird. Die vorliegende Erfindung ist durch ein Verfahren gekennzeichnet, bei dem ein Ionenstrahl in mindestens zwei Betriebszuständen emittiert wird, einschließlich eines ersten Betriebszustands, bei dem beim Anlegen einer ersten Extraktionsspannung und beim Einstellen des Gasdrucks auf einen ersten Gasdruck Ionen aus einer ersten Ionenemissionsregion am Scheitelpunkt der Emitterelektrode emittiert werden, und eines zweiten Betriebszustands, bei dem beim Anlegen einer zweiten Extraktionsspannung, die höher als die erste Extraktionsspannung ...

Подробнее
25-09-2013 дата публикации

Ion implanter system including remote dopant source, and method comprising same

Номер: CN103329252A
Принадлежит:

Dopant source gas supply arrangements and methods are described, wherein one or more dopant source gas supply vessels is contained inside an outer enclosure of an ion implantation system, e.g., in a gas box within such enclosure. In one implementation, a dopant source gas supply vessel is positioned in remote relationship to the gas box of the ion implantation system, with a dopant source gas local vessel in the gas box, and a supply line interconnecting the dopant source gas supply vessel in supply relationship to the dopant source gas local vessel, in which the supply line is adapted to flow dopant source gas from the supply vessel to the local vessel only when the ion implantation system is in a non-operational state, and to be evacuated or filled with an inert pressurized gas when the ion implantation system is in an operational state.

Подробнее
02-08-2019 дата публикации

Gas injection system for ion beam device

Номер: CN0110088873A
Автор:
Принадлежит:

Подробнее
19-07-2017 дата публикации

신속 가스 전환 장치를 구비한 가스 클러스터 이온 빔 시스템

Номер: KR0101755061B1
Принадлежит: 텔 에피온 인크

... 본 발명은, 기판(152, 252)을 가스 클러스터 이온 빔(GCIB)(128, 128A, 128A')으로 조사하는 처리 시스템(100, 100', 100")을 제공한다. 이 처리 시스템(100, 100', 100")은, 가스 클러스터 빔을 형성하여 노즐 출구(110b)를 통해 출사하는 노즐(110, 1010)과, 이 노즐(110, 1010)의 상류측에 인접 배치된 정체(停滯) 챔버(116, 1016)를 포함한다. 상기 정체 챔버(116, 1016)는 입구(116a, 1016a)를 구비하고, 상기 노즐(110, 1010)은 단일 가스 클러스터 빔을 기판(152, 252)을 향해 유도하도록 구성되어 있다. 노즐 출구(110b)의 하류측에는 이온화 장치(122)가 배치되어 있고, 이 이온화 장치는 가스 클러스터 빔(118)을 이온화하여 GCIB(128, 128A, 128A')를 형성하도록 구성되어 있다. 또한, 상기 처리 시스템(100, 100', 100")은, 상기 정체 챔버(116, 1016)의 입구(116a, 1016a)와 유체 연통되어 있는 가스 공급부(115, 1015)를 포함하고, 이 가스 공급부는, 가스 공급원(111, 1011)과, 상기 가스 공급원(111, 1011)과 상기 노즐(110, 1010)의 사이에서 가스의 흐름을 제어하도록 상기 가스 공급원(111, 1011)과 상기 노즐(110, 1010)의 사이에 위치해 있는 밸브(113, 1013)를 구비한다.

Подробнее
23-04-2015 дата публикации

Номер: KR1020150043971A
Автор:
Принадлежит:

Подробнее
07-08-2008 дата публикации

A MULTI-SOURCE PLASMA FOCUSED ION BEAM SYSTEM

Номер: WO000002008094297A2
Принадлежит:

The present invention provides a plasma ion beam system that includes multiple gas sources and that can be used for performing multiple operations using different ion species to create or alter submicron features of a work piece. The system preferably uses an inductively coupled, magnetically enhanced ion beam source, suitable in conjunction with probe-forming optics sources to produce ion beams of a wide variety of ions without substantial kinetic energy oscillations induced by the source, thereby permitting formation of a high resolution beam.

Подробнее
26-09-2013 дата публикации

ARRANGEMENT AND METHOD FOR TRANSPORTING RADICALS

Номер: WO2013139878A2
Автор: KRUIT, Pieter, SMITS, Marc
Принадлежит:

The invention relates to an arrangement for transporting radicals. The arrangement includes a plasma generator and a guiding body. The plasma generator includes a chamber (2) in which a plasma may be formed. The chamber has an inlet (5) for receiving an input gas, and one or more outlets (6) for removal of at least one of the plasma and radicals created therein. The guiding body is hollow and is arranged for guiding radicals formed in the plasma towards an area or volume at which contaminant deposition is to be removed. The chamber inlet is coupled to a pressure device (40) for providing a pulsed pressure into the chamber so as to create a flow in the guiding body.

Подробнее
24-05-2007 дата публикации

SYSTEMS AND METHODS THAT MITIGATE CONTAMINATION AND MODIFY SURFACE CHARACTERISTICS DURING ION IMPLANTATION PROCESSES THROUGH THE INTRODUCTION OF GASES

Номер: WO000002007059052A3
Принадлежит:

A contamination mitigation or surface modification system for ion implantation processes includes a gas source (209) , a controller (204) , a valve (210) , and a process chamber (111) . The gas source provides delivery of a gas, be it atmospheric or reactive, to the valve and is controlled by the controller. The valve is located on or about the process chamber and controllably adjusts flow rate and/or composition of the gas to the process chamber. The process chamber holds a target device, such as a target wafer and permits interaction of the gas with an ion beam to mitigate contamination of the target wafer and/or to modify the existing properties of the processing environment or target device to change a physical or chemical state or characteristic thereof . The controller selects and adjusts composition of the gas and flow rate according to contaminants present within the ion beam, or lack thereof , as well total or partial pressure analysis.

Подробнее
27-03-2008 дата публикации

Controlling the flow of vapors sublimated from solids

Номер: US2008073559A1
Принадлежит:

A vapor delivery system for delivering a steady flow of sublimated vapor to a vacuum chamber comprises a vaporizer of solid material, a mechanical throttling valve, and a pressure gauge, followed by a vapor conduit to the vacuum chamber. The vapor flow rate is determined by both the temperature of the vaporizer and the setting of the conductance of the mechanical throttle valve located between the vaporizer and the vacuum chamber. The temperature of the vaporizer is determined by closed-loop control to a set-point temperature. The mechanical throttle valve is electrically controlled, e.g. the valve position is under closed-loop control to the output of the pressure gauge. In this way the vapor flow rate can be generally proportional to the pressure gauge output. All surfaces exposed to the vapor from the vaporizer to the vacuum chamber are heated to prevent condensation. A gate valve and a rotary butterfly valve are shown acting as the upstream throttling valve. Employing a fixed charge ...

Подробнее
05-11-2020 дата публикации

HIGH POWER WAFER COOLING

Номер: US20200350139A1
Принадлежит:

A gas generation system for an ion implantation system has a hydrogen generator configured to generate hydrogen gas within an enclosure. A chuck, such as an electrostatic chuck, supports a workpiece in an end station of the ion implantation system, and a delivery system provides the hydrogen gas to the chuck. The hydrogen gas can be provided through the chuck to a backside of the workpiece. Sensors can detect a presence of the hydrogen gas within the enclosure. A controller can control the hydrogen generator. An exhaust system can pass air through the enclosure to prevent a build-up of the hydrogen gas within the enclosure. A purge gas system provides a dilutant gas to the enclosure. An interlock system can control the hydrogen generator, delivery system, purge gas system, and exhaust system to mitigate hydrogen release based on a signal from the one or more sensors.

Подробнее
03-04-2018 дата публикации

Physical vapor deposition system using backside gas cooling of workpieces

Номер: US0009932668B2

A circular PVD chamber has a plurality of sputtering targets mounted on a top wall of the chamber. A pallet in the chamber is coupled to a motor for rotating the pallet about its center axis. The pallet has a diameter less than the diameter of the circular chamber. The pallet is also shiftable in an XY direction to move the center of the pallet beneath any of the targets so all areas of a workpiece supported by the pallet can be positioned directly below any one of the targets. A scanning magnet is in back of each target and is moved, via a programmed controller, to only be above portions of the workpiece so that no sputtered material is wasted. For depositing a material onto small workpieces, a cooling backside gas volume is created between the pallet and the underside of sticky tape supporting the workpieces.

Подробнее
28-04-2016 дата публикации

METHOD FOR MEASURING A DISTANCE OF A COMPONENT FROM AN OBJECT AND FOR SETTING A POSITION OF A COMPONENT IN A PARTICLE BEAM DEVICE

Номер: US20160118216A1
Принадлежит:

The system described herein determines a distance of a component of a particle beam device from an object to the particle beam device and sets a position of the component in the particle beam device. The component is moved from a first starting position of the component relatively in the direction of an object, which is located in a second starting position, until the component makes contact with the object. When the component makes contact with the object, an adjusting path covered by the component and/or the object during the movement is determined. The adjusting path runs along a straight line that joins a first point on the component in the first starting position to a second point on the object in the second starting position that is arranged closest to the first point on the component along this line. The adjusting path corresponds to the distance. 119-. (canceled)20. A method for determining a distance of at least one component , which is located in a first starting position , of a particle beam device from an object , which is located in a second starting position , wherein the object is analyzed and/or processed by the particle beam device and wherein the component is formed as a gas feeding device , as a particle detector and/or as a beam detector , the particle beam device including at least one beam generator for generating a particle beam with charged particles , at least one objective lens for focusing the particle beam onto the object , and at least one vacuum region , in which the component is arranged , the method comprising:moving the component from the first starting position of the component relatively in the direction of the object, which is located in the second starting position, until the component makes contact with the object; andwhen the component makes contact with the object, determining an adjusting path covered by the component and/or the object during the movement, the adjusting path running along a straight line that joins a first ...

Подробнее
26-07-2016 дата публикации

Multi-source plasma focused ion beam system

Номер: US0009401262B2
Принадлежит: FEI COMPANY, FEI CO, FEI Company

The present invention provides a plasma ion beam system that includes multiple gas sources and that can be used for performing multiple operations using different ion species to create or alter submicron features of a work piece. The system preferably uses an inductively coupled, magnetically enhanced ion beam source, suitable in conjunction with probe-forming optics sources to produce ion beams of a wide variety of ions without substantial kinetic energy oscillations induced by the source, thereby permitting formation of a high resolution beam.

Подробнее
20-09-2016 дата публикации

Radical generator and molecular beam epitaxy apparatus

Номер: US0009447518B2

A radical generator includes a supply tube, a plasma-generating tube, a coil winding about an outer circumference of the plasma-generating tube, for generating an inductively coupled plasma in the plasma-generating tube, an electrode for generating a capacitively coupled plasma in the plasma-generating tube and adding the capacitively coupled plasma to the inductively coupled plasma, and a parasitic-plasma-preventing tube including a dielectric material which extends from a bottom of the plasma-generating tube to an opening of the supply tube in a space between the bottom and the opening, and a tip part thereof is inserted into the supply tube to cover an inner wall of the supply tube for preventing a generation of a parasitic plasma between the electrode and the inner wall of the supply tube.

Подробнее
27-04-2023 дата публикации

DEVICE FOR OBSERVING PERMEATION AND DIFFUSION PATH OF OBSERVATION TARGET GAS, OBSERVATION TARGET GAS MEASURING METHOD, POINT-DEFECT LOCATION DETECTING DEVICE, POINT-DEFECT LOCATION DETECTING METHOD, AND OBSERVATION SAMPLES

Номер: US20230127466A1

The device for observing permeation and diffusion path of observation target gas includes: a scanning electron microscope 15; an observation target ion detecting unit 20; an observation target gas supply unit 19; a diaphragm-type sample holder 12, to which the sample is mounted in attachable/detachable state, as a diaphragm dividing between the analysis chamber 11 and the observation target gas pipe 14; and a control unit 50. The control unit acquires a SEM image and at the same time detects the observation target gas, which diffuses within the sample and is discharged to the surface of the sample, by electron stimulated desorption, in a state where stress is applied to the sample due to differential pressure generated between the analysis chamber and the observation target gas pipe by supplying the observation target gas, and obtains an ESD image of the observation target ions.

Подробнее
19-12-2023 дата публикации

Broad-energy spectrum electron gun

Номер: US0011848174B2

Various embodiments of the present technology generally relate to devices and methods for generating and directing energetic electrons toward a target. More specifically, some embodiments relate to devices, systems, and methods for generating and directing energetic electrons based in the photoelectric effect and directing electric field-focused beams of the energetic electrons toward a target. Electron guns according to the present technology include one or more light sources to stimulate electron transmission, and a series of differentially charged stages to provide a hollow path allowing electrons generated by the photoelectric effect of the light irradiated on interior surfaces defining the path through the stages to travel to an exit of the electron gun. Each of the differentially charged stages have a different potential, thereby providing electrons having two or more different and tunable energy levels exiting as a beam from the electron gun.

Подробнее
06-02-2024 дата публикации

Electron beam apparatus and method for controlling electron beam apparatus

Номер: US0011894211B2

The invention provides an electron beam apparatus that reduces a time required for an electron gun chamber to which a sputter ion pump and a non-evaporable getter pump are connected to reach an extreme high vacuum state. The electron beam apparatus includes an electron gun configured to emit an electron beam and the electron gun chamber to which the sputter ion pump and the non-evaporable getter pump are connected. The electron beam apparatus further includes a gas supply unit configured to supply at least one of hydrogen, oxygen, carbon monoxide, and carbon dioxide to the electron gun chamber.

Подробнее
25-01-2018 дата публикации

АГРЕГАТ И СПОСОБ ПЕРЕНОСА РАДИКАЛОВ

Номер: RU2642494C2

Изобретение относится к агрегату для переноса радикалов, например для удаления отложений загрязнения.. Агрегат включает генератор плазмы и направляющее тело. Генератор плазмы включает камеру (2), в которой может быть образована плазма. Камера имеет впуск (5) для приема вводимого газа и один или более выпусков (6) для удаления по меньшей мере одного из плазмы и радикалов, созданных в ней. Направляющее тело является полым и выполнено с возможностью направления радикалов, образованных в плазме, к области или объему, в котором отложение загрязнения подлежит удалению. Впуск камеры соединен с устройством (40) давления для обеспечения пульсирующего давления в камере так, чтобы создавать поток в направляющем теле. Технический результат - повышение эффективности удаления загрязнений. 3 н. и 18 з.п. ф-лы, 13 ил.

Подробнее
20-02-2019 дата публикации

АГРЕГАТ И СПОСОБ ПЕРЕНОСА РАДИКАЛОВ

Номер: RU2017146228A
Принадлежит:

Подробнее
10-05-2016 дата публикации

АГРЕГАТ И СПОСОБ ПЕРЕНОСА РАДИКАЛОВ

Номер: RU2014142037A
Принадлежит:

... 1. Система электронно-лучевой литографии, содержащая:- генератор элементарных лучей для генерирования множества элементарных лучей;- множество элементов управления элементарным лучом для управления элементарными лучами, причем по меньшей мере один из элементов управления элементарным лучом содержит апертурную решетку;- генератор плазмы, содержащий камеру (2), в которой может быть образована плазма, причем камера содержит впуск (5) для приема вводимого газа и один или более выпусков (6) для удаления по меньшей мере одного из плазмы и радикалов, созданных в ней; и- полое направляющее тело (9) для направления образованных в плазме радикалов к апертурной решетке, на которой подлежит удалению отложение загрязнения;- причем камера снабжена ограничителем потока.2. Система электронно-лучевой литографии по п. 1, в которой ограничителем потока является перфорированная стенка.3. Система электронно-лучевой литографии по п. 1, дополнительно содержащая регулятор (8) давления, имеющий впуск с первой площадью ...

Подробнее
09-05-2018 дата публикации

Elektronenmikroskop

Номер: DE112014003791B4

Elektronenmikroskop mit einer Elektronenquelle (1) zum Bestrahlen einer Probe mit einem Elektronenstrahl und mit einem Detektor (49, 50, 51, 55) zum Erfassen der an der Probe bei der Bestrahlung mit dem Elektronenstrahl erzeugten Elektronen zum Erzeugen einer Abbildung der Probe auf der Basis des Ausgangssignals vom Detektor,wobei das Elektronenmikroskop umfaßteinen Probenhalter (53) zum Halten der Probe;einen Gaseinlaßabschnitt (60) zum Zuführen eines Gases zu der Probe;einen Anzeigeabschnitt (39) zum Anzeigen der erzeugten Abbildung; undeine Steuereinheit (81) zum Steuern des Gaseinlaßabschnitts,dadurch gekennzeichnet, dassim Probenhalter ein Vakuum-Messgerät (65) zum Erfassen des Vakuum-Grads in der Nähe der Probe angeordnet ist,die Steuereinheit (81) zum Steuern des Gaseinlaßabschnitts so eingerichtet ist, dass sich der vom Vakuum-Messgerät (65) erfasste Vakuum-Grad in einer vorgegebenen Zeit erhöht und die Migration in der Probe reduziert ist,eine Mehrzahl der Detektoren (49, 50, 51 ...

Подробнее
10-05-2012 дата публикации

Ionenstrahlvorrichtung zur Bearbeitung eines Substrats

Номер: DE102010040324B3
Принадлежит: ASPHERICON GMBH

Ionenstrahlvorrichtung (1) zur Bearbeitung eines Substrats (2) mittels eines Ionenstrahls (I), umfassend eine Ionenstrahlquelle (1.1) zur Erzeugung des Ionenstrahls (I) und zumindest eine zwischen der Ionenstrahlquelle (1.1) und dem Substrat (2) angeordnete Blende (1.3) zur Einstellung eines Querschnitts des Ionenstrahls (I), wobei der Ionenstrahl (I) durch die Blende (1.3) führbar ist und wobei die Blende (1.3) aus kohlenstoffhaltigem Material gebildet ist, dadurch gekennzeichnet, dass eine Zuführungseinheit (1.4) zur Zuführung eines mit Kohlenstoff reaktiven Eduktes (E) vorgesehen ist, wobei die Zuführungseinheit (1.4) derart angeordnet ist, dass das Edukt (E) in einer gerichteten Strömung zwischen die Blende (1.3) und das Substrat (2) führbar ist, so dass mittels des Ionenstrahls (I) aus der Blende (1.3) gelöster Kohlenstoff oxidiert, wobei der äußere, dem Substrat (2) zugewandte Bereich der Blende (1.3) kegelstumpfförmig ausgebildet ist und eine Innenseite der Blende (1.3) derart trichterförmig ...

Подробнее
25-10-2012 дата публикации

Prozessierungssystem

Номер: DE102011018460A1
Принадлежит:

Ein Prozessierungssystem umfaßt eine erste Teilchenstrahlsäule mit einer Fokussierlinse 61, um einen Teilchenstrahl auf einen Wechselwirkungsbereich zu richten, einen Objekthalter, um ein Objekt 13 in dem Wechselwirkungsbereich anzuordnen und das Objekt um eine quer zu dem Teilchenstrahl orientierte Kippachse 53 zu verkippen, und eine Gaszuführungsanordnung 15, welche eine Ringleitung 63 und eine Gaszuführungsleitung 65 umfasst, welche in die Ringleitung mündet, um Gas 71 aus einem Gasreservoir 74 in die Ringleitung einzuspeisen; wobei die Ringleitung sich ringartig um den Teilchenstrahl herum erstreckt, zwischen der Fokussierlinse und dem Wechselwirkungsbereich angeordnet ist und auf einer dem Wechselwirkungsbereich zuweisenden Seite eine Mehrzahl von Austrittsöffnungen für das Gas, und wobei die Ringleitung eine Halterung aufweist, welche dazu konfiguriert ist, die Ringleitung um eine zu der Kippachse des Objekthalters parallele Schwenkachse 83 zu verschwenken.

Подробнее
14-07-2010 дата публикации

Method forming doped layer on wafer

Номер: CN0101777490A
Принадлежит:

The invention provides a method of forming a doped layer on a wafer, comprising supplying a dopant gas in an arc chamber of an ion source. A dilutant is supplied to dilute the dopant gas. The dilutant comprises about 98.5 wt. % xenon and about 1.5 wt. % hydrogen. An ion beam is generated from the diluted dopant gas using the ion source. The ion beam is jetted to the wafer to form a doped layer on the wafer.

Подробнее
11-12-2013 дата публикации

System and method of controlling broad beam uniformity

Номер: CN102124538B
Принадлежит:

Подробнее
19-08-2015 дата публикации

For capillary auxiliary of determining the end point of the flow-of-control

Номер: CN103026478B
Автор:
Принадлежит:

Подробнее
19-08-2013 дата публикации

BORON ION IMPLANTATION USING ALTERNATIVE FLUORINATED BORON PRECURSORS, AND FORMATION OF LARGE BORON HYDRIDES FOR IMPLANTATION

Номер: KR0101297964B1
Автор:
Принадлежит:

Подробнее
23-03-2017 дата публикации

플라즈마 처리 장치 및 플라즈마 처리 방법

Номер: KR0101719423B1

... (과제) 헌팅 등의 문제를 해결 가능한 가스 공급 기술을 제공한다. (해결 수단) 2개의 제1 공급부(21a)가, 개구부(22a)로부터 챔버(11) 내에 일정한 제1 공급량으로 반응성 가스를 공급한다. 제2 공급부(21b)가, 개구부(22b)로부터 챔버(11) 내에 가변의 제2 공급량으로 반응성 가스를 공급한다. 플라즈마 처리 중에는, PEM법에 의한 피드백 제어로 제2 공급량이 조정된다. 이와 같이, 스퍼터링 장치(10)가 제2 공급량의 피드백 제어를 행하는 1개의 제2 공급부(21b)를 가지므로, 복수의 피드백 제어가 존재하는 것에 기인하는 헌팅의 문제가 해소된다.

Подробнее
31-01-2018 дата публикации

채널을 따라 변화하는 단면적들을 갖는 토로이달 플라즈마 채널

Номер: KR0101823993B1
Автор: 후, 차오린, 첸, 싱

... 본 발명은, 토로이달 플라즈마 챔버(201)를 포함하는, 가스 흐름 패턴들 및 가스-플라즈마 상호작용들을 조정하기 위한 어셈블리에 관한 것이다. 토로이달 플라즈마 챔버는, 모두 연결되어 있는, 주입 부재(213), 출력 부재(217), 제 1 측면 부재(205) 및 제 2 측면 부재(209)를 갖는다. 제 1 측면 부재(205)는, 제 1 측면 부재의 적어도 일부분에 제 1 내부 단면적 및 제 1 측면 부재의 적어도 다른 부분에 제 2 내부 단면적을 갖고, 여기서, 제 1 내부 단면적과 제 2 내부 단면적은 상이하다. 제 2 측면 부재(209)는, 제 2 측면 부재의 적어도 일부분에 제 3 내부 단면적 및 제 2 측면 부재의 적어도 다른 부분에 제 4 내부 단면적을 갖고, 여기서, 제 3 내부 단면적과 제 4 내부 단면적은 상이하다.

Подробнее
21-10-2010 дата публикации

ION SOURCE GAS REACTOR

Номер: KR1020100113531A
Автор:
Принадлежит:

Подробнее
26-01-2016 дата публикации

규소 풍부 전구체 조성물 및 이를 이용하기 위한 장치 및 방법

Номер: KR1020160009572A
Принадлежит:

... 본 발명은, 동위원소 풍부한 규소 전구체 조성물이 결여된 상응하는 이온 주입에 비해 이온 주입에서 이온 주입 시스템의 성능을 향상시키는데 유용한 동위원소-풍부한 규소 전구체 조성물에 관한 것이다. 규소 도판트 조성물은28Si,29Si, 및30Si 중 하나 이상이 자연 존재비 초과량으로 동위원소-풍부한 하나 이상의 규소 화합물을 포함하고, 보조-종 가스 및 희석 가스 중 하나 이상을 포함하는 보충 가스를 포함할 수 있다. 또한, 이런 규소 도판트 조성물을 이온 주입기에 제공하기 위한 도판트 가스 공급 장치뿐만 아니라 이런 도판트 가스 공급 장치를 포함하는 이온 주입 시스템이 기재된다.

Подробнее
09-03-2016 дата публикации

안정적인 반응성 스퍼터링 프로세스를 위한 타깃 에이지 보상 방법

Номер: KR1020160027022A
Принадлежит:

... 본 발명은 타깃에서의 스퍼터링 특성뿐 아니라 침착 속도를 타깃 에이지에 대해 독립적으로 일정하게, 또는 적어도 산업 생산 맥락의 허용가능한 범위 내에 유지하는 반응성 스퍼터링 프로세스를 수행하기 위한 방법에 관한 것이다.

Подробнее
21-11-2017 дата публикации

가스 클러스터 이온빔 기술에 기반한 중성빔 처리 방법 및 이에 의해 제조되는 물품

Номер: KR1020170127347A
Принадлежит:

... 본 발명의 기판의 표면 상에 패터닝된 하드 마스크를 형성하는 방법은 탄소 원자에 의한 가속 중성빔을 이용한다. 본 발명의 다른 목적 및 기타 목적뿐만 아니라 상기 명시된 목적은 이하에 설명된 본 발명의 각종 실시형태들에 의해 달성된다.

Подробнее
04-10-2018 дата публикации

라디칼을 운반하기 위한 배열체 및 방법

Номер: KR1020180108894A
Принадлежит:

... 본 발명은 라디칼들을 운반하기 위한 배열체에 관한 것이다. 이 배열체는 플라즈마 발생기 및 안내 본체를 포함한다. 플라즈마 발생기는 내부에 플라즈마가 형성될 수 있는 챔버(2)를 포함한다. 챔버는 입력 가스를 수용하기 위한 유입구(5) 및 플라즈마 및 그 안에 생성된 라디칼들을 중 하나 이상의 제거를 위한 하나 또는 둘 이상의 유출구(6)들을 갖는다. 안내 본체는 중공형이고 플라즈마 내에 형성된 라디칼들을 오염물질 퇴적물이 제거될 영역 또는 용적을 향하여 안내하기 위해 배열된다. 챔버 유입구는 안내 몸체 내에 유동을 생성하도록 챔버 내로 펄스형 압력을 제공하기 위한 압력 장치(40)에 커플링된다.

Подробнее
18-06-2019 дата публикации

Номер: KR0101990331B1
Автор:
Принадлежит:

Подробнее
27-06-2017 дата публикации

Номер: KR0101747473B1
Автор:
Принадлежит:

Подробнее
01-06-2016 дата публикации

Ion implanter comprising integrated ventilation system

Номер: TW0201620020A
Принадлежит:

An ion implantation system is described, including: an ion implanter comprising a housing defining an enclosed volume in which is positioned a gas box configured to hold one or more gas supply vessels, the gas box being in restricted gas flow communication with gas in the enclosed volume that is outside the gas box; a first ventilation assembly configured to flow ventilation gas through the housing and to exhaust the ventilation gas from the housing to an ambient environment of the ion implanter; a second ventilation assembly configured to exhaust gas from the gas box to a treatment apparatus that is adapted to at least partially remove contaminants from the gas box exhaust gas, or that is adapted to dilute the gas box exhaust gas, to produce a treated effluent gas, the second ventilation assembly comprising a variable flow control device for modulating flow rate of the gas box exhaust gas between a relatively lower gas box exhaust gas flow rate and a relatively higher gas box exhaust gas ...

Подробнее
03-10-2013 дата публикации

TECHNIQUE FOR PROCESSING A SUBSTRATE

Номер: WO2013148462A1
Принадлежит:

Techniques for processing a substrate are disclosed. In one exemplary embodiment, the technique may be realized as a method for processing a substrate, the method comprising: ionizing first material and second material in an ion source chamber of an ion source, the first material being boron (B) containing material, the second material being one of phosphorous (P) containing material and arsenic (As) containing material; generating first ions containing B and second ions containing one of P and As; and extracting the first and second ions from the ion source chamber and directing the first and second ions toward the substrate.

Подробнее
05-06-2008 дата публикации

ADAPTIVE CONTROLLER FOR ION SOURCE

Номер: WO000002008067563A3
Принадлежит:

An ion source (406), often used for materials processing applications in a vacuum processing chamber (410), is provided with an adaptive control system (426). The adaptive control system (426) has a microprocessor (425) and memory (427) that regulate the inputs of power (402) and gas flow (416) into the ion source (406). The adaptive control system (426) monitors and stores the dynamic input impedance properties and status of input devices (402, 407, 416) to the ion source (406). The adaptive control system (426) may additionally control magnetic fields (407) within the ion source (406). The adaptive control system (426) provides a multivariable control for driving any combination of input power (402), gas flow (416), magnetic field (407), or electrostatic ion beam extraction or acceleration field into the ion source (406).

Подробнее
31-12-2008 дата публикации

METHOD AND DEVICE FOR THE TREATMENT OF A SEMICONDUCTOR SUBSTRATE

Номер: WO2009000932A1
Автор: TIKOVSKY, Andreas
Принадлежит:

Method for the treatment of a semiconductor substrate (2), in which an ion beam (3) is produced from a doping gas and directed onto the semiconductor substrate (2), characterized in that the doping gas is fed through a plastic hose (6) to a means (3) for producing an ion beam (4), and is then ionised. The method according to the invention and the device 1 according to the invention advantageously permit the supply of the means 3 for producing an ion beam 4 with a doping gas from customary gas reservoirs 14 such as customary compressed gas cylinders, for example. The buffer hose 7 according to the invention ensures that no doping gas can be emitted into the surroundings. The method according to the invention and the device 1 according to the invention thus permit the simple construction of a corresponding ion implantation apparatus in conjunction with possible supply thereof with doping gas, an emergence of doping gas to the surroundings being precluded to the greatest possible extent.

Подробнее
05-04-2007 дата публикации

Systems and methods that mitigate contamination and modify surface characteristics during ion implantation processes through the introduction of gases

Номер: US20070075274A1
Принадлежит:

A contamination mitigation or surface modification system for ion implantation processes includes a gas source, a controller, a valve, and a process chamber. The gas source provides delivery of a gas, be it atmospheric or reactive, to the valve and is controlled by the controller. The valve is located on or about the process chamber and controllably adjusts flow rate and/or composition of the gas to the process chamber. The process chamber holds a target device, such as a target wafer and permits interaction of the gas with an ion beam to mitigate contamination of the target wafer and/or to modify the existing properties of the processing environment or target device to change a physical or chemical state or characteristic thereof. The controller selects and adjusts composition of the gas and flow rate according to contaminants present within the ion beam, or lack thereof, as well total or partial pressure analysis.

Подробнее
05-06-1990 дата публикации

Electron beam gun

Номер: US0004931700A1
Автор: Reed; Jay L.
Принадлежит:

A cold cathode electron gun utilizes a metallic torus for electrostatic focusing of an electron beam. A nonconductive tube is disposed within and along the axis of the torus. A conductive aerodynamic body electrically connected to the torus forms an annular venturi in the tube and includes a face which serves as a high field emitting surface. High molecular weight gas is introduced into a proximal end of the tube at a stagnation pressure sufficient to produce supersonic flow thereby causing a vacuous gas region adjacent the emitting surface. The torus and emitting surface are driven to a very high negative potential by a Tesla transformer or the like, producing high field emission into the vacuous region. The electric field of the torus produces, from the emitted electrons, an electron beam which issues from the tube into the atmosphere.

Подробнее
14-03-2000 дата публикации

Electron-beam-projection-exposure apparatus with integrated mask inspection and cleaning portions

Номер: US0006038015A1
Автор: Kawata; Shintaro
Принадлежит: Nikon Corporation

Electron-beam projection-exposure apparatus are disclosed that allow a mask pattern to be transferred to a sensitized substrate without defects. An apparatus includes an electron-beam scanner, housed in a vacuum chamber, that scans an electron beam over the mask. As the mask is scanned, an emitted-electron detector senses electrons emitted from the mask at a point of contamination. The contamination is then removed from the mask by a mask-cleaning system, after which the mask is used for exposing a sensitized substrate. The scanner as well as the mask-cleaning system are housed in the same vacuum chamber where projection-exposure of the substrate are performed. Thus, the mask is not exposed to the external environment during inspection, cleaning, and projection-exposure, and inspection, cleaning and projection-exposure of the mask are performed more rapidly than conventionally. The mask-cleaning system, which can utilize a laser beam or a locally delivered reactive gas, cleans the mask ...

Подробнее
09-09-2004 дата публикации

Device, set and method for carrying a gas or a liquid to a surface through a tube

Номер: US2004173759A1
Автор:
Принадлежит:

The invention relates to a device, to a set of tubes and to a method for carrying a gas or a liquid to a surface through a tube, especially in order to produce gas mixtures or to treat the surface using gas lithography. The tube or in the case of a set, each tube of the set has an inlet opening and an outlet opening. A shaft is allocated to each tube, this shaft being arranged in the axial direction of the tube and being displaceable in its longitudinal direction in relation to the tube, from a first position to a second position and vice versa. Each shaft bears a blocking body which blocks or unblocks the outlet when the shaft is in the first or second position. A gas reservoir and a supply line by which means the inside of the gas reservoir is connection to the inlet opening of each tube are also allocated to each tube, so that gas is able to flow from the insider of the gas reservoir into the tube.

Подробнее
31-10-2017 дата публикации

Hydrogen COGas for carbon implant

Номер: US0009805912B2

A system, apparatus and method for increasing ion source lifetime in an ion implanter are provided. Oxidation of the ion source and ion source chamber poisoning resulting from a carbon and oxygen-containing source gas is controlled by utilizing a hydrogen co-gas, which reacts with free oxygen atoms to form hydroxide and water.

Подробнее
23-02-2016 дата публикации

Medium current ribbon beam for ion implantation

Номер: US0009269528B2
Принадлежит: ADAVANCED ION BEAM TECHNOLOGY, INC.

A method of setting up a medium current ribbon beam for ion implantation is provided. It includes providing an ion source fed with a process gas and a support gas. The process ion beam is separated from the support gas beam with a mass analyzing magnet, and the intensity of the process ion beam is controlled by varying the ratio of process gas to support gas in the ion source gas feed. Process beam intensity may also be controlled with one or more mechanical current limiting devices located downstream of the ion source. An ion beam system is also provided. This method may control the total ribbon beam intensity at the target between approximately 3 uA to about 3 mA.

Подробнее
15-10-2013 дата публикации

Gas delivery system with voltage gradient for an ion microscope

Номер: US0008558192B2

Disclosed are systems and methods for applying a voltage gradient to a gas delivery system, delivering a gas through a length of the gas delivery system having the voltage gradient, the gas having a pressure-distance product of less than about 1×10-2 Torr-inches or greater than about 100 Torr-inches, and delivering the gas into a housing of an ion microscope, the housing including an emitter and an extractor.

Подробнее
20-04-2021 дата публикации

Particle beam system and method for operating a particle beam system

Номер: US0010984977B2

A particle beam system for examining and processing an object includes an electron beam column and an ion beam column with a common work region, in which an object may be disposed and in which a principal axis of the electron beam column and a principal axis of the ion beam column meet at a coincidence point. The particle beam system further includes a shielding electrode that is disposable between an exit opening of the ion beam column and the coincidence point. The shielding electrode is able to be disposed closer to the coincidence point than the electron beam column.

Подробнее
15-08-2019 дата публикации

SEMICONDUCTOR PROCESSING CHAMBER MULTISTAGE MIXING APPARATUS AND METHODS

Номер: US20190252154A1
Принадлежит: Applied Materials, Inc.

Exemplary semiconductor processing systems may include a processing chamber, and may include a remote plasma unit coupled with the processing chamber. Exemplary systems may also include a mixing manifold coupled between the remote plasma unit and the processing chamber. The mixing manifold may be characterized by a first end and a second end opposite the first end, and may be coupled with the processing chamber at the second end. The mixing manifold may define a central channel through the mixing manifold, and may define a port along an exterior of the mixing manifold. The port may be fluidly coupled with a first trench defined within the first end of the mixing manifold. The first trench may be characterized by an inner radius at a first inner sidewall and an outer radius, and the first trench may provide fluid access to the central channel through the first inner sidewall.

Подробнее
28-08-2018 дата публикации

Gas injection system for ion beam device

Номер: US0010062548B2

A gas injection system for an ion beam device, the gas injection system including an extraction plate, an extraction aperture formed in the extraction plate for allowing passage of an ion beam, a first gas distributor removably fastened to the extraction plate on a first side of the extraction aperture, the first gas distributor having a gas orifice formed therein, a second gas distributor removably fastened to the extraction plate on a second side of the extraction aperture opposite the first side, the second gas distributor having a gas orifice formed therein, a first gas conduit extending through the extraction plate between the first gas distributor and a gas manifold mounted to the extraction plate, and a second gas conduit extending through the extraction plate between the second gas distributor the gas manifold, and a residue removal gas source connected to the gas manifold.

Подробнее
30-11-2023 дата публикации

GA IMPLANT PROCESS CONTROL FOR ENHANCED PARTICLE PERFORMANCE

Номер: US20230386786A1
Принадлежит: Applied Materials, Inc.

A method of reducing gallium particle formation in an ion implanter. The method may include performing a gallium implant process in the ion implanter, the gallium implant process comprising implanting a first dose of gallium ions from a gallium ion beam into a first set of substrates, while the first set of substrates are disposed in a process chamber of the beamline ion implanter. As such, a metallic gallium material may be deposited on one or more surfaces within a downstream portion of the ion implanter. The method may include performing a reactive gas bleed operation into at least one location of the downstream portion of the ion implanter, the reactive bleed operation comprising providing a reactive gas through a gas injection assembly, wherein the metallic gallium material is altered by reaction with the reactive gas.

Подробнее
08-12-2011 дата публикации

CLUSTER BEAM GENERATOR, SUBSTRATE PROCESSING APPARATUS, CLUSTER BEAM GENERATION METHOD AND SUBSTRATE PROCESSING METHOD

Номер: JP2011249544A
Принадлежит:

PROBLEM TO BE SOLVED: To provide a cluster beam synthesizer which can control the ratio of a cluster of liquid material and a cluster of gas material easily. SOLUTION: A cluster beam generator 10 generating a cluster beam 26 comprises a mixer 40 which mixes a gas material and a liquid material, a nozzle 21 which supplies the gas material and the liquid material mixed in the mixer 40 as a cluster, and a temperature control unit 23 which controls temperature of the nozzle 21. When the temperature control unit 23 changes temperature of the nozzle, the ratio of a cluster of the liquid material and a cluster of the gas material in the cluster is adjusted. COPYRIGHT: (C)2012,JPO&INPIT ...

Подробнее
16-01-1991 дата публикации

LOCAL VACUUM APPARATUS AND METHOD THEREOF

Номер: JP0003008428A
Принадлежит:

PURPOSE: To enable film formation or the like on a workpiece surface at a low cost by utilizing an energy beams with a small-sized apparatus by generating a non-contact vacuum seal in a prescribed region in proximity to the workpiece surface. CONSTITUTION: A vacuum body 42 having a surface 44 facing the workpiece 12 has a peripheral edge, a central region and plural grooves which are plural grooves 46a to 46d running in a circumferential direction not intersecting with each other and include the outermost side groove 46a adjacent to the peripheral edge described above and the innermost side grooves 46d adjacent to the central region described above. Differentially evacuating means 26, 28, 30 and 32, which communicate with the grooves via gas supply paths 50a to 50d, form the differential vacuum evacuation chambers for decreasing the amt. of the inflow of the particles in the atm. air into the central regions of these grooves by differentially evacuating the grooves is such a manner that ...

Подробнее
10-11-2012 дата публикации

ГАЗОЗАРЯЖАЮЩИЙ КОНТЕЙНЕР, АППАРАТ АТОМНОГО ЗОНДА И СПОСОБ АНАЛИЗА ПОЛОЖЕНИЯ ВОДОРОДА В МАТЕРИАЛЕ

Номер: RU2466376C2

Группа изобретений относится к газозаряжающему контейнеру, аппарату атомного зонда, содержащему указанный контейнер, и способу анализа положения водорода в материале с использованием данного аппарата атомного зонда. Контейнер включает держатель образца, который удерживает игловидный материал и имеет тепловой контакт с игловидным материалом, и охлаждающую часть, которая охлаждает игловидный материал и держатель образца. Также контейнер содержит часть, подающую газообразный дейтерий, которая заряжает газообразный дейтерий в игловидный материал, и нагревающую часть, которая нагревает концевую часть игловидного материала и блокирует тепло после нагрева, когда газообразный дейтерий заряжен в игловидный материал. При этом игловидный материал охлаждается и удерживается держателем образца. Концевая часть игловидного материала охлаждается путем блокировки тепла, выделяемого нагревающей частью при охлаждении держателя образца охлаждающей частью, после того как игловидный материал нагрет нагревающей ...

Подробнее
20-07-2014 дата публикации

СПОСОБ НЕРПРЕРЫВАЕМОГО ПРОИЗВОДСТВА ПУЧКА ИОНОВ КАРБОРАНА С ПОСТОЯННОЙ САМООЧИСТКОЙ ИОННОГО ИСТОЧНИКА И КОМПОНЕНТ СИСТЕМЫ ЭКСТРАКЦИИ ИОННОГО ИМПЛАНТАТОРА

Номер: RU2522662C2

Изобретение относится к области очистки поверхностей газонаполненных разрядных приборов в процессе покрытия материалов ионами, вводимыми в разрядное пространство. Технический результат - увеличение производительности установки. В ионизационную камеру подают рабочее вещество на основе карборана и сильные окислители, которые вступают в химическую реакцию с продуктами, загрязняющими систему экстракции ионов и/или внутреннюю поверхность ионизационной камеры и/или ее компонент, с образованием летучих соединений. В качестве рабочего вещества используют карборандикарбоновую кислоту (CHBO), в которой атомы сильных окислителей включены в молекулу рабочего вещества. Сильные окислители, при электрическом разряде, высвобождаясь из молекулы рабочего вещества, вступают в химическую реакцию с продуктами, загрязняющими систему экстракции ионов и/или внутреннюю поверхность ионизационной камеры и/или ее компонент, с образованием летучих соединений, образующиеся летучие соединения удаляют вакуумной откачкой ...

Подробнее
29-09-2016 дата публикации

Verfahren zum Betreiben einer Kaltkathoden-Elektronenstrahlquelle

Номер: DE102015104433B3

Die Erfindung betrifft ein Verfahren zum Betreiben einer Kaltkathoden-Elektronenstrahlquelle, umfassend ein Gehäuse, mit welchem ein evakuierbarer Raum (14) begrenzt wird und welches eine Elektronenstrahlaustrittsöffnung (15) aufweist; einen Einlass für das Zuführen eines Arbeitsgases in den evakuierbaren Raum (14); eine flächige, metallische, von einer Kühleinrichtung gekühlte Kathode (12) und eine Anode, die im evakuierbaren Raum (14) angeordnet werden und zwischen denen mittels einer angelegten elektrischen Spannung ein Glimmentladungsplasma erzeugt wird, wobei Ionen aus dem Glimmentladungsplasma auf die Oberfläche der Kathode (12) und von der Kathode (12) emittierte Elektronen in das Glimmentladungsplasma hinein beschleunigt werden. Die Erfindung zeichnet sich ferner dadurch aus, dass dem Arbeitsgas eine kohlenstoffhaltige Komponente beigemischt wird.

Подробнее
25-05-2016 дата публикации

lonenstrahlvorrichtung und Emitterspitzenausformverfahren

Номер: DE112014003782T5

Ziel der vorliegenden Erfindung ist es, eine Ionenstrahlvorrichtung zu schaffen, mit der am vorderen Ende der Emitterspitze stabil eine Nanopyramide mit einem Atom an der Spitze ausgebildet werden kann, auch wenn für die Betrachtung einer Probe mit einem großen Rauschabstand die Kühltemperatur herabgesetzt wird. Bei der vorliegenden Erfindung hält die Ionenstrahlvorrichtung, bei der ein an einer elektrischen Feldionisierungsgasionenquelle erzeugter Ionenstrahl auf die Probe eingestrahlt wird, um die Probe zu betrachten oder zu bearbeiten, die Temperatur der Emitterspitze auf einer zweiten Temperatur, die höher ist als eine erste Temperatur zum Erzeugen des Ionenstrahls und niedriger als die Raumtemperatur, und stellt die Extraktionsspannung auf eine zweite Spannung ein, die höher ist die erste Spannung zum Erzeugen des Ionenstrahls, um eine Feldverdampfung von Atomen am vorderen Ende der Emitterspitze zu bewirken und um am vorderen Ende der Emitterspitze eine Nanopyramide mit einem Atom ...

Подробнее
12-05-2016 дата публикации

Elektronenmikroskop

Номер: DE112014003791T5

Es wird ein Elektronenmikroskop beschrieben, bei dem ein Detektor, an den eine Spannung angelegt werden muß, zum Erhalten einer Mikrofotographie von einer Probe verwendet wird, die sich in einer Gasatmosphäre befindet. Das Elektronenmikroskop ist mit einer Gaseinlaßvorrichtung zur Abgabe von Gas auf die Probe versehen, und eine Gassteuervorrichtung steuert die Gasmenge, die von der Gaseinlaßvorrichtung abgegeben wird, derart, daß während der Gasabgabe durch die Gaseinlaßvorrichtung der Vakuumgrad in dem Raum mit dem Detektor (49–51, 55) kontinuierlich auf kleiner als ein eingestellter Wert gehalten wird.

Подробнее
15-05-1997 дата публикации

PARTIAL VACUUM EQUIPMENT AND - PROCEDURES

Номер: AT0000153177T
Принадлежит:

Подробнее
08-01-2015 дата публикации

TARGET AGE COMPENSATION METHOD FOR PERFORMING STABLE REACTIVE SPUTTERING PROCESSES

Номер: CA0002916765A1
Принадлежит:

The present invention relates to a method for performing reactive sputtering processes maintaining the sputtering characteristic at the target as well as the deposition rate constant, or at least in a for the industrial production context acceptable range, independent on the target age.

Подробнее
01-03-2017 дата публикации

Environmental scanning electron microscope gas injection system

Номер: CN0104508791B
Автор:
Принадлежит:

Подробнее
19-01-2012 дата публикации

Integrated anode and activated reactive gas source for use in a magnetron sputtering device

Номер: US20120012459A1
Автор: Georg J. Ockenfuss
Принадлежит: JDS Uniphase Corp

The invention relates to an integrated anode and activated reactive gas source for use in a magnetron sputtering device and a magnetron sputtering device incorporating the same. The integrated anode and activated reactive gas source comprises a vessel having an interior conductive surface, comprising the anode, and an insulated outer body isolated from the chamber walls of the coating chamber. The vessel has a single opening with a circumference smaller that that of the vessel in communication with the coating chamber. Sputtering gas and reactive gas are coupled through an input into the vessel and through the single opening into the coating chamber. A plasma is ignited by the high density of electrons coming from the cathode and returning to the power supply through the anode. A relatively low anode voltage is sufficient to maintain a plasma of activated reactive gas to form stoichiometric dielectric coatings.

Подробнее
05-04-2012 дата публикации

System and method for removing organic residue from a charged particle beam system

Номер: US20120080056A1
Автор: HONG Xiao
Принадлежит: Hermes Microvision Inc

A system and method for removing an organic residue from a charged particle beam system includes a conduit that is coupled to the column and is for adding oxygen to the column. A heater is coupled to the column and is for increasing the temperature in the column. A pump is coupled to the column and is for removing a gas from the chamber, wherein the gas is a byproduct of a chemical reaction of the organic residue and the oxygen.

Подробнее
04-10-2012 дата публикации

Ion implantation system and method

Номер: US20120252195A1
Принадлежит: Advanced Technology Materials Inc

An ion implantation system and method, providing cooling of dopant gas in the dopant gas feed line, to combat heating and decomposition of the dopant gas by arc chamber heat generation, e.g., using boron source materials such as B2F4 or other alternatives to BF3. Various arc chamber thermal management arrangements are described, as well as modification of plasma properties, specific flow arrangements, cleaning processes, power management, eqillibrium shifting, optimization of extraction optics, detection of deposits in flow passages, and source life optimization, to achieve efficient operation of the ion implantation system.

Подробнее
06-12-2012 дата публикации

Gas distribution system for ceramic showerhead of plasma etch reactor

Номер: US20120305190A1
Принадлежит: Lam Research Corp

A gas delivery system for a ceramic showerhead includes gas connection blocks and a gas ring, the gas connection blocks mounted on the gas ring such that gas outlets in the blocks deliver process gas to gas inlets in an outer periphery of the showerhead. The gas ring includes a bottom ring with channels therein and a welded cover plate enclosing the channels. The gas ring can include a first channel extending ½ the length of the gas ring, two second channels connected at midpoints thereof to downstream ends of the first channel, and four third channels connected at midpoints thereof to downstream ends of the second channels. the cover plate can include a first section enclosing the first channel, two second sections connected at midpoints thereof to ends of the first section, and third sections connected at midpoints thereof to ends of the second sections. The channels are arranged such that the process gas travels equal distances for a single gas inlet in the gas ring to eight outlets in the cover ring allowing equal gas flow.

Подробнее
16-05-2013 дата публикации

Toroidal Plasma Channel with Varying Cross-Section Areas Along the Channel

Номер: US20130118589A1
Автор: Chaolin Hu, XING Chen
Принадлежит: MKS Instruments Inc

An assembly for adjusting gas flow patterns and gas-plasma interactions including a toroidal plasma chamber. The toroidal plasma chamber has an injection member, an output member, a first side member and a second side member that are all connected. The first side member has a first inner cross-sectional area in at least a portion of the first side member and a second inner cross-sectional area in at least another portion of the first side member, where the first inner cross-sectional area and the second inner-cross-sectional area being different. The second side member has a third inner cross-sectional area in at least a portion of the second side member and a fourth inner cross-sectional area in at least another portion of the second side member, where the third inner cross-sectional area and the fourth inner-cross-sectional area being different.

Подробнее
23-05-2013 дата публикации

Endpoint determination for capillary-assisted flow control

Номер: US20130125999A1
Принадлежит: Advanced Technology Materials Inc

Apparatus and method for determining endpoint of a fluid supply vessel in which fluid flow is controlled through a flow passage disposed in an interior volume of the fluid supply vessel with a static flow restricting device and a selectively actuatable valve element upon establishing fluid flow. The endpoint determination can be employed to terminate fluid supply from the fluid supply vessel and/or to switch from a fluid-depleted supply vessel to a fresh vessel for continuity or renewal of fluid supply operation. The apparatus and method are suitable for use with fluidutilizing apparatus such as ion implanters.

Подробнее
06-06-2013 дата публикации

Automatic Control System for Selection and Optimization of Co-Gas Flow Levels

Номер: US20130140473A1
Принадлежит: Axcelis Technologies Inc

An ion implantation system for improving performance and extending lifetime of an ion source is disclosed whereby the selection, delivery, optimization and control of the flow rate of a co-gas into an ion source chamber is automatically controlled.

Подробнее
26-09-2013 дата публикации

Multiple Gas Injection System

Номер: US20130248490A1
Принадлежит: FEI Co

A multi-positional valve is used to control the destination of gas flows from multiple gas sources. In one valve position the gases flow to an isolated vacuum system where the flow rate and mixture can be adjusted prior to introduction into a sample vacuum chamber. In another valve position the pre-mixed gases flow from the isolated vacuum chamber and through a needle into the sample vacuum chamber.

Подробнее
21-11-2013 дата публикации

Contamination Removal Apparatus and Method

Номер: US20130306101A1
Принадлежит: Rave N P Inc

A substrate dry cleaning apparatus, a substrate dry cleaning system, and a method of cleaning a substrate are disclosed. The substrate dry cleaning system includes a substrate support and a reactive species generator. The reactive species generator includes a first conduit defining a first flow channel that extends to an outlet of the first conduit, the Gullet of the first conduit facing the substrate support, a first electrode, a second electrode facing the first electrode, the first flow channel disposed between the first electrode and the second electrode, a first inert wall disposed between the first electrode and the first flow channel, and a second inert wall disposed between the second electrode and the first flow channel.

Подробнее
13-02-2014 дата публикации

Sample holding apparatus for electron microscope, and electron microscope apparatus

Номер: US20140042318A1
Принадлежит: Hitachi High Technologies Corp

A sample holding apparatus for electron microscope includes: a sample holding assembly including an assembly of three components of an upper diaphragm holding part, a sample holding plate and a lower diaphragm holding part; and a holding part that holds the sample holding assembly replaceably. The sample holding assembly includes a cell defined between a diaphragm of the upper diaphragm holding part and a diaphragm of the lower diaphragm holding part, and a flow channel connected to the cell, in which a sample mounted at a protrusion of the sample holding plate is placed. The diaphragm of the upper diaphragm holding part, the sample and the diaphragm of the lower diaphragm holding part are disposed along an optical axis of an electron beam.

Подробнее
27-03-2014 дата публикации

Cluster beam generating apparatus, substrate processing apparatus, cluster beam generating method, and substrate processing method

Номер: US20140083976A1
Принадлежит: Tokyo Electron Ltd

A cluster beam generating method that generates a cluster beam includes steps of mixing a gas source material and a liquid source material in a mixer; supplying a cluster beam including clusters originating from the gas source material and clusters originating from the liquid source material that are mixed in the mixer from a nozzle; and adjusting a temperature of the nozzle using a temperature adjusting portion that adjusts a temperature of the nozzle, thereby controlling a ratio of the clusters originating from the gas source material and the clusters originating from the liquid source material in the cluster beam.

Подробнее
14-01-2016 дата публикации

ISOTOPICALLY-ENRICHED BORON-CONTAINING COMPOUNDS, AND METHODS OF MAKING AND USING SAME

Номер: US20160013018A1
Принадлежит: ENTEGRIS, INC.

An isotopically-enriched, boron-containing compound comprising two or more boron atoms and at least one fluorine atom, wherein at least one of the boron atoms contains a desired isotope of boron in a concentration or ratio greater than a natural abundance concentration or ratio thereof. The compound may have a chemical formula of BF. Synthesis methods for such compounds, and ion implantation methods using such compounds, are described, as well as storage and dispensing vessels in which the isotopically-enriched, boron-containing compound is advantageously contained for subsequent dispensing use. 1. A method for enhancing operation of an ion implantation system , comprising providing for use in the ion implantation system a gas storage and dispensing vessel holding boron precursor comprising two or more boron atoms and at least one fluorine atom , wherein the boron precursor is isotopically-enriched in at least one boron isotope.2. The method of claim 1 , wherein the isotopically-enriched boron precursor comprises BF.3. The method of claim 2 , wherein said BFis isotopically enriched in B.4. The method of claim 2 , wherein said BFis isotopically enriched in B.5. The method of claim 1 , wherein the ion implantation system comprises a beamline ion implanter.6. The method of claim 5 , wherein enhancing operation of the ion implantation system comprises at least one of increased beam current claim 5 , increased ion source life claim 5 , reduced levels of deposits in the ion implantation system claim 5 , and reduced clogging of flow passages in the ion implantation system.7. The method of claim 1 , wherein the gas storage and dispensing vessel holds a storage medium for the boron precursor.8. The method of claim 7 , wherein the storage medium comprises material selected from the group consisting of physical adsorbents and ionic liquids.9. The method of claim 7 , wherein the storage medium comprises physical adsorbent.10. The method of claim 1 , wherein the gas storage and ...

Подробнее
14-01-2016 дата публикации

GAS COOLED PLASMA SPRAYING DEVICE

Номер: US20160013021A1
Автор: Gold Matthew R.
Принадлежит:

A plasma spraying device may include a first electrode and a second electrode. The first electrode may define an ionizing gas channel and at least one cooling channel. A distal end of the at least one cooling gas channel opens to an exterior of the plasma spraying spray gun proximate to a distal end of the first electrode. The second electrode is at least partially disposed in the ionizing gas channel. 1. A plasma spraying device comprising: an ionizing gas channel; and', 'at least one cooling gas channel, wherein a distal end of the at least one cooling gas channel opens to an exterior of the plasma spraying device proximate to a distal end of the first electrode; and, 'a first electrode defininga second electrode disposed in the ionizing gas channel.2. The plasma spraying device of claim 1 , wherein the first electrode comprises copper.3. The plasma spraying device of claim 1 , wherein the ionizing gas channel exits the first electrode at an ionizing gas channel exit portion claim 1 , wherein the ionizing gas channel exit portion defines an ionizing gas channel exit portion axis claim 1 , wherein the first electrode defines a major axis claim 1 , and wherein an angle between the ionizing gas channel exit portion axis and the major axis is between about 20 degrees and about 90 degrees.4. The plasma spraying device of claim 1 , wherein the at least one cooling gas channel comprises a plurality of cooling gas channels claim 1 , and wherein each of the plurality of cooling gas channels includes a distal end open to an exterior of the plasma spraying device.5. The plasma spraying device of claim 1 , wherein the at least one cooling gas channel defines a cooling gas channel axis claim 1 , and wherein the cooling gas channel axis is substantially parallel to the major axis.6. The plasma spraying device of claim 1 , further comprising a material injection channel coupled to an external surface of the device.7. The plasma spraying device of claim 1 , wherein the ionizing ...

Подробнее
09-01-2020 дата публикации

METHODS FOR INCREASING BEAM CURRENT IN ION IMPLANTATION

Номер: US20200013621A1
Принадлежит:

The present invention relates to an improved method for increasing a beam current as part of an ion implantation process. The method comprises introducing a dopant source and an assistant species into an ion implanter. A plasma of ions is formed and then extracted from the ion implanter. Non-carbon target ionic species are separated to produce a beam current that is higher in comparison to that generated solely from the dopant source. 1. A method of increasing a beam current for implanting a non-carbon target ionic species , comprising the steps of:introducing a dopant source into an ion implanter from a delivery container;introducing an assistant species into the ion implanter from the delivery container, said assistant species comprising:(i) a lower ionization energy in comparison to an ionization energy of the dopant source;{'sup': '2', '(ii) a total ionization cross-section (TICS) greater than 2 Å;'}(iii) a ratio of bond dissociation energy (BDE) of a weakest bond of the assistant species to the lower ionization energy of the assistant species to be 0.2 or higher; and(iv) an absence of the non-carbon target ionic species;ionizing the assistant species to produce ions of the assistant species;the dopant source interacting with the assistant species whereby the dopant source undergoes assistant species ion-assisted ionization;forming a plasma containing ions;extracting a beam of the ions from the ion implanter;separating the ions to isolate non-carbon target ionic species;producing the beam current of the non-carbon target ionic species that is higher in comparison to that generated solely from the dopant source; andimplanting the non-carbon target ionic species into a substrate.2. The method of claim 1 , wherein the dopant source is in a concentration higher than that of the assistant species.3. The method of claim 1 , further comprising introducing a diluent gas into the ion implanter.4. The method of claim 1 , further comprising:operating at a predetermined arc ...

Подробнее
03-02-2022 дата публикации

Plasma-assisted etching of metal oxides

Номер: US20220037163A1

The present disclosure describes methods and systems for plasma-assisted etching of a metal oxide. The method includes modifying a surface of the metal oxide with a first gas, removing a top portion of the metal oxide by a ligand exchange reaction, and cleaning the surface of the metal oxide with a second gas.

Подробнее
18-01-2018 дата публикации

CHARGED PARTICLE INSTRUMENTS

Номер: US20180019095A1
Автор: PETO Lloyd
Принадлежит:

An apparatus is disclosed for use in a charged particle instrument which defines an inner volume therein. The apparatus comprises an adaptor () having a first portion adapted for attachment to a part () of a gas injection system () of a charged particle instrument which is located within an inner volume of such an instrument; and a second portion arranged to receive a tool () adapted for interaction with a sample () located in the inner volume of such an instrument. 1. An adaptor for attachment to a nozzle of a gas injection system provided in an inner volume of a charged particle instrument , the adaptor having a first portion adapted for releasable attachment to a nozzle of a gas injection system of a charged particle instrument , which part nozzle is located within an inner volume of such an instrument , and is operable to provide a gas injection function for the charge particle instrument concerned; and a second portion adapted to receive a tool adapted for interaction with a sample located in the inner volume of such an instrument , wherein the adaptor provides the tool within the inner volume in addition to the nozzle of such a gas injection system without the provision of further additional apparatus within the inner volume.2. A sample interaction apparatus for a charged particle instrument which defines an inner volume therein , the apparatus comprising: an adaptor having a first portion adapted for releasable attachment to a nozzle of a gas injection system of a charged particle instrument , which nozzle is located within an inner volume of such an instrument , and is operable to provide a gas injection function for the charge particle instrument concerned; and a tool attached to a second portion of the adaptor , the tool being adapted for interaction with a sample located in the inner volume of such an instrument , wherein the adaptor provides the tool within the inner volume in addition to the nozzle of such a gas injection system without the provision of ...

Подробнее
21-01-2021 дата публикации

Ion generator and ion implanter

Номер: US20210020403A1
Автор: Hiroki Murooka

There is provided an ion generator including a vapor generating chamber for generating a vapor by heating a raw material in which a first solid material which is a single substance of an impurity element and a second solid material which is a compound containing the impurity element are mixed with each other, and a plasma generating chamber for generating a plasma containing ions of the impurity element by using the vapor.

Подробнее
28-01-2016 дата публикации

Inductively-coupled plasma ion source for use with a focused ion beam column with selectable ions

Номер: US20160027607A1
Принадлежит: FEI Co

An inductively coupled plasma source having multiple gases in the plasma chamber provides multiple ion species to a focusing column. A mass filter allows for selection of a specific ion species and rapid changing from one species to another.

Подробнее
29-01-2015 дата публикации

Arrangement and method for transporting radicals

Номер: US20150028223A1
Автор: KRUIT Pieter, Smits Marc
Принадлежит:

The invention relates to an arrangement for transporting radicals. The arrangement includes a plasma generator and a guiding body. The plasma generator includes a chamber () in which a plasma may be formed. The chamber has an inlet () for receiving an input gas, and one or more outlets () for removal of at least one of the plasma and radicals created therein. The guiding body is hollow and is arranged for guiding radicals formed in the plasma towards an area or volume at which contaminant deposition is to be removed. The chamber inlet is coupled to a pressure device () for providing a pulsed pressure into the chamber so as to create a flow in the guiding body. 132-. (canceled)33. Electron beam lithography system comprising:a beamlet generator for generating a plurality of electron beamlets;a plurality of beamlet manipulator elements for manipulating the electron beamlets, at least one of the beamlet manipulator elements comprising an aperture array;a plasma generator comprising a chamber in which a plasma may be formed, the chamber comprising an inlet for receiving an input gas, and one or more outlets for removal of at least one of the plasma and radicals created therein; anda hollow guiding body for guiding radicals formed in the plasma towards the aperture array at which contaminant deposition is to be removed;wherein the chamber is provided with a flow restrictor.34. Electron beam lithography system according to claim 33 , wherein the flow restrictor is a perforated wall.35. Electron beam lithography system according to claim 33 , further comprising a pressure regulator having an inlet with a first cross-sectional area claim 33 , and an outlet with a second cross-sectional area claim 33 , the first cross-sectional area being greater than the second cross-sectional area claim 33 , the pressure regulator inlet being coupled to the one or more chamber outlets claim 33 , the pressure regulator outlet being coupled to the guiding body.36. Electron beam lithography ...

Подробнее
10-02-2022 дата публикации

FLUORINATED COMPOSITIONS FOR ION SOURCE PERFORMANCE IMPROVEMENTS IN NITROGEN ION IMPLANTATION

Номер: US20220044908A1
Принадлежит:

Compositions, methods, and apparatus are described for carrying out nitrogen ion implantation, which avoid the incidence of severe glitching when the nitrogen ion implantation is followed by another ion implantation operation susceptible to glitching, e.g., implantation of arsenic and/or phosphorus ionic species. The nitrogen ion implantation operation is advantageously conducted with a nitrogen ion implantation composition introduced to or formed in the ion source chamber of the ion implantation system, wherein the nitrogen ion implantation composition includes nitrogen (N) dopant gas and a glitching-suppressing gas including one or more selected from the group consisting of NF, NF, F, SiF, WF, PF, PF, AsF, AsF, CFand other fluorinated hydrocarbons of CF(x≥1, y≥1) general formula, SF, HF, COF, OF, BF, BF, GeF, XeF, O, NO, NO, NO, NO, and O, and optionally hydrogen-containing gas, e.g., hydrogen-containing gas including one or more selected from the group consisting of H, NH, NH, BH, AsH, PH, SiH, SiH, HS, HSe, CHand other hydrocarbons of CH(x≥1, y≥1) general formula and GeH. 1. A nitrogen ion implantation composition comprising:{'sub': '2', 'a dopant gas comprising N; and'}{'sub': 3', '2', '4', '2', '2', '2', '4, 'a glitching-suppressing gas comprising at least one of NF, NF, NO, NO, NO, NO, or any combination thereof;'}wherein the dopant gas and the glitching-suppressing gas are present in an amount sufficient to reduce formation of nitrides on a surface of a nitrogen ion implantation system, as compared to a composition that does not comprise the glitching-suppressing gas.2. The nitrogen ion implantation composition of claim 1 , wherein the dopant gas is present in amount of 50% or greater by volume based on a total volume of the nitrogen ion implantation composition.3. The nitrogen ion implantation composition of claim 1 , wherein the glitching-suppressing gas is present in an amount of 1% to 49% by volume based on a total volume of the nitrogen ion implantation ...

Подробнее
24-01-2019 дата публикации

Method Of Improving Ion Beam Quality In an Implant System

Номер: US20190027341A1
Принадлежит:

A method for improving the ion beam quality in an ion implanter is disclosed. In some ion implantation systems, contaminants from the ion source are extracted with the desired ions, introducing contaminants to the workpiece. These contaminants may be impurities in the ion source chamber. This problem is exacerbated when mass analysis of the extracted ion beam is not performed, and is further exaggerated when the desired feedgas includes a halogen. The introduction of a diluent gas in the ion chamber may reduce the deleterious effects of the halogen on the inner surfaces of the chamber, reducing contaminants in the extracted ion beam. In some embodiments, the diluent gas may be germane or silane. 1. A method of implanting dopant into a workpiece , comprising:introducing a first source gas and a second source gas into a chamber of an ion source, said first source gas comprising molecules comprising a dopant and fluoride, wherein said dopant comprises a Group 3 or Group 5 element, and the second source gas comprises molecules comprising hydrogen and a Group 4 element or molecules comprising hydrogen and a species having an opposite conductivity as the dopant;ionizing the first source gas and the second source gas in the chamber, wherein a coating forms on a dielectric window or on an inner surface of the chamber; andextracting ions from the chamber and accelerating the ions toward the workpiece.2. The method of claim 1 , wherein the second source gas comprises molecules comprising hydrogen and a Group 4 element.3. The method of claim 2 , wherein the Group 4 element comprises silicon or germanium.4. The method of claim 1 , wherein the dopant comprises a Group 3 element claim 1 , and the second source gas comprises molecules containing hydrogen and a Group 5 element.5. The method of claim 4 , wherein the Group 3 element comprises boron.6. The method of claim 5 , wherein the Group 5 element comprises phosphorus or arsenic.7. The method of claim 1 , wherein the dopant ...

Подробнее
04-02-2016 дата публикации

Deposition Tool for Combinatorial Thin Film Material Libraries

Номер: US20160030909A1
Принадлежит: Universiteit Gent

A system for combinatorial deposition of a thin layer on a substrate is described. The system comprises at least one deposition material source holder and a substrate holder. The system also comprises a rotatable positioning system for subsequently positioning the at least one substrate in parallel and in non-parallel configuration with at least one deposition material source. The system comprises at least one mask holder arranged for positioning a mask between at least one of the target holder and the positioning system, for allowing variation of the material flux across the at least one substrate when the combinatorial deposition is performed. The mask holder is in a fixed arrangement with respect to the at least one deposition material source holder during the combinatorial depositing. 116-. (canceled)17. A system for combinatorial depositing of a thin film having a varying composition and/or a varying thickness on at least one substrate , the system comprisingat least one deposition material source holder arranged so as to carry a deposition material source,a substrate holder adapted for carrying at least one substrate,a rotatable positioning system having a rotation axis for relatively moving the substrate holder and the at least one deposition material source holder with respect to each other for subsequently positioning the at least one substrate in a parallel configuration in front of at least one of the at least one deposition material source and in a non-parallel configuration non parallel with the at least one of the at least one deposition material source during the combinatorial deposition, andat least one mask holder arranged for positioning a mask between at least one of the at least one deposition material source holder and the substrate holder, for allowing variation of the material flux across the at least one substrate when the combinatorial deposition is performed, and the at least one mask holder being in a fixed arrangement with respect to the ...

Подробнее
04-02-2016 дата публикации

METHOD FOR SAFE CONTROL OF GAS DELIVERY TO AN ELECTRON MICROSCOPE SAMPLE HOLDER

Номер: US20160033355A1
Принадлежит:

System and method for safely controlling the containment of gas within a manifold system and the delivery of gas to a sample holder for an electron microscope for imaging and analysis. 11212. A gas delivery system comprising an environmental electron microscope sample holder with at least one inlet port and at least one outlet port wherein the at least one inlet port is communicatively connected to a tank T and the at least one outlet port is communicatively connected to a tank T such that at least one gas can travel from tank T through the sample holder to tank T , said gas delivery system further comprising at least one pressure sensor and at least one valve , wherein the at least one pressure sensor is monitored using controls software with logic that is programmed to identify a leak in the system and the controls software will signal the closure of the at least one valve if a leak is detected.2. The gas delivery system of claim 1 , further comprising at least one set of components communicatively connected and selected from the group consisting of{'b': 1', '1', '1', '1', '1, '(a) a pressure sensor S and a valve V between tank T and the at least one inlet port, optionally wherein the pressure sensor S is attached to the tank T;'}{'b': 2', '2', '2', '2', '2, '(b) a pressure sensor S and a valve V between tank T and the at least one outlet port, optionally wherein the pressure sensor S is attached to the tank T;'}{'b': '1', '(c) a pressure sensor SH for sensing the pressure within the sample holder and a valve VH between valve V and the at least one inlet port;'}(d) a mechanical vacuum pump with a valve VP positioned between the mechanical vacuum pump and the rest of the system; and(e) any combination of (a)-(e).3. The gas delivery system of claim 1 , further comprising communicatively connected components (a)-(d):{'b': 1', '1', '1', '1', '1, '(a) a pressure sensor S and a valve V between tank T and the at least one inlet port, optionally wherein the pressure ...

Подробнее
02-02-2017 дата публикации

GAS DISTRIBUTION APPARATUS IN A VACUUM CHAMBER, COMPRISING A GAS CONDUCTING DEVICE

Номер: US20170032934A1
Автор: DUGGEN Jörg
Принадлежит: BÜHLER ALZENAU GMBH

A gas distribution apparatus in a vacuum chamber includes a gas conducting device that includes at least one gas duct equipped with nozzles from which gas can be distributed into the vacuum chamber, and a gas supplying device which allows gas to be supplied to the gas conducting device. The at least one gas duct is formed by a part designed as a single-piece hollow profile. The part designed as a single-piece hollow profile also forms at least one gas supply channel of the gas supplying device. 116-. (canceled)17. A gas distribution apparatus of a vacuum chamber comprising a gas conducting device having at least one main duct that includes nozzles from which gas can be distributed into the vacuum chamber and comprising a gas supplying device with which gas can be supplied to the gas conducting device , wherein the at least one main duct is formed by a single-piece component designed as a hollow profile , and at least one main gas supply duct of the gas supplying device and at least one tuning duct with tuning duct nozzles from which tuning gas can be distributed into the vacuum chamber is also formed by the single-piece component designed as a hollow profile , wherein the component is designed as an extruded section.18. The gas distribution apparatus of a vacuum chamber comprising a gas conducting device according to claim 17 , wherein at least one tuning gas supply duct is also formed by the single-piece component designed as a hollow profile.19. The gas distribution apparatus according to claim 17 , wherein the at least one tuning duct includes multiple chamber-like segments arranged one after the other along a longitudinal extension of the duct.20. The gas distribution apparatus according to claim 19 , wherein at least two consecutive segments have a common transverse partition wall.21. The gas distribution apparatus according to claim 19 , wherein tuning gas is or can be supplied to each segment via at least one tuning gas supply duct.22. The gas distribution ...

Подробнее
02-02-2017 дата публикации

INTEGRATED ANODE AND ACTIVATED REACTIVE GAS SOURCE FOR USE IN A MAGNETRON SPUTTERING DEVICE

Номер: US20170032946A1
Автор: OCKENFUSS Georg J.
Принадлежит: VIAVI SOLUTIONS INC.

The invention relates to an integrated anode and activated reactive gas source for use in a magnetron sputtering device and a magnetron sputtering device incorporating the same. The integrated anode and activated reactive gas source comprises a vessel having an interior conductive surface, comprising the anode, and an insulated outer body isolated from the chamber walls of the coating chamber. The vessel has a single opening with a circumference smaller that that of the vessel in communication with the coating chamber. Sputtering gas and reactive gas are coupled through an input into the vessel and through the single opening into the coating chamber. A plasma is ignited by the high density of electrons coming from the cathode and returning to the power supply through the anode. A relatively low anode voltage is sufficient to maintain a plasma of activated reactive gas to form stoichiometric dielectric coatings. 123-. (canceled)24. An integrated anode and activated reactive gas source , comprising a vessel including:an interior conductive surface of the vessel, electrically coupled to a positive output of a power supply, comprising the anode such that the anode is the preferred return path for electrons, an insulated outer surface of the vessel electrically isolated from the chamber walls;a single opening in communication with the coating chamber;a sputtering gas source coupled into the vessel; anda reactive gas source; andwherein the interior conductive surface is electrically insulated from the chamber walls by an insulating material.25. The integrated anode and activated reactive gas source defined in claim 24 , wherein the single opening is smaller than a circumference of the vessel to shield the interior conductive surface from most sputtered material.26. The integrated anode and activated reactive gas source defined in claim 24 , wherein the single opening is dimensioned to raise the pressure locally within the vessel higher than a pressure in the coating ...

Подробнее
02-02-2017 дата публикации

STORAGE AND SUB-ATMOSPHERIC DELIVERY OF DOPANT COMPOSITIONS FOR CARBON ION IMPLANTATION

Номер: US20170032967A1
Принадлежит:

A supply source for delivery of a CO-containing dopant gas composition is provided. The composition includes a controlled amount of a diluent gas mixture such as xenon and hydrogen, which are each provided at controlled volumetric ratios to ensure optimal carbon ion implantation performance. The composition can be packaged as a dopant gas kit consisting of a CO-containing supply source and a diluent mixture supply source. Alternatively, the composition can be pre-mixed and introduced from a single source that can be actuated in response to a sub-atmospheric condition achieved along the discharge flow path to allow a controlled flow of the dopant mixture from the interior volume of the device into an ion source apparatus. 1. A dopant gas composition for use in an ion implantation process , comprising:an inert diluent gas mixture comprising xenon (Xe) and hydrogen (H2), wherein the Xe and the H2 are contained in an effective amount, said effective amount being in a volume ratio of Xe:H2 from about 0.02 to about 0.20; and{'sub': '2', 'a carbon-based material contained in a volume ratio of (Xe+H):(carbon-based material) ranging from about 0.10 to about 0.30.'}2. The dopant gas composition of claim 1 , wherein the dopant gas composition is located upstream of an ion source chamber.3. The dopant gas composition of claim 1 , wherein the dopant gas composition is located in an ion source chamber.4. A method for dispensing a dopant gas composition for ion implantation comprising:introducing one or more carbon-containing dopant gases into an ion source chamber;introducing a diluent gas composition into the ion source chamber in a volume ratio of Xe:H2 from about 0.02 to about 0.20;ionizing the one or more carbon-containing dopant gas sources to produce carbon ions; andimplanting the carbon ions into a substrate.5. A method of preparing an inert diluent gas mixture suitable for use in ion implantation claim 1 , comprising:filling a sub-atmospheric delivery and storage device ...

Подробнее
01-02-2018 дата публикации

SPUTTERING APPARATUS INCLUDING GAS DISTRIBUTION SYSTEM

Номер: US20180033595A1
Автор: Hartig Klaus
Принадлежит:

Some embodiments provide a magnetron sputtering apparatus including a vacuum chamber within which a controlled environment may be established, a target comprising one or more sputterable materials, wherein the target includes a racetrack-shaped sputtering zone that extends longitudinally along a longitudinal axis and comprises a straightaway area sandwiched between a first turnaround area and a second turnaround area, a gas distribution system that supplies a first gas mixture to the first turnaround area and/or the second turnaround area and supplies a second gas mixture to the straightaway area, wherein the first gas mixture reduces a sputtering rate relative to the second gas mixture. In some cases, the first gas mixture includes inert gas having a first atomic weight and the second gas mixture includes inert gas having a second atomic weight, wherein the second atomic weight is heavier than the first atomic weight. 1. A method of using a magnetron sputtering apparatus that comprises a vacuum chamber having a controlled environment , the magnetron sputtering apparatus including a target comprising one or more sputterable materials , wherein the target includes a sputtering zone that is racetrack shaped and extends longitudinally along a longitudinal axis , the sputtering zone including two straightaway areas sandwiched between first and second turnaround areas , the magnetron sputtering apparatus further including a gas distribution system comprising a plurality of interfaces located along the longitudinal axis , wherein the plurality of interfaces comprises a plurality of first interfaces and a plurality of second interfaces , the first interfaces positioned at each of the first and second turnaround areas to supply a first gas mixture to both of the first and second turnaround areas , such that the first gas mixture controls sputtering rate at localized areas of both of the first and second turnaround areas , whereas the second interfaces are positioned at each ...

Подробнее
05-02-2015 дата публикации

ALTERNATE MATERIALS AND MIXTURES TO MINIMIZE PHOSPHORUS BUILDUP IN IMPLANT APPLICATIONS

Номер: US20150037511A1
Автор: Ray Richard S.
Принадлежит:

Systems and processes for utilizing phosphorus fluoride in place of or in combination with, phosphine as a phosphorus dopant source composition, to reduce buildup of unwanted phosphorus deposits in ion implanter systems. The phosphorus fluoride may comprise PF3 and/or PF5. Phosphorus fluoride and phosphine may be co-flowed to the ion implanter, or each of such phosphorus dopant source materials can be alternatingly and sequentially flowed separately to the ion implanter, to achieve reduction in unwanted buildup of phosphorus solids in the implanter, relative to a corresponding process system utilizing only phosphine as the phosphorus dopant source material. 130.-. (canceled)31. An ion implantation method , comprising generating phosphorus dopant species , and implanting the phosphorus dopant species in a substrate , wherein the method comprises one of:(i) generating the phosphorus dopant species during a first period of said implanting from a first phosphorus dopant composition, and during a second period of said implanting from a second phosphorus dopant composition, wherein the first and second phosphorus dopant compositions are different from one another; and(ii) generating the phosphorus dopant species from a phosphorus dopant source mixture comprising different phosphorus fluorides.32. The method of claim 31 , wherein the method comprises generating the phosphorus dopant species during a first period of said implanting from a first phosphorus dopant composition claim 31 , and during a second period of said implanting from a second phosphorus dopant composition claim 31 , wherein the first and second phosphorus dopant compositions are different from one another.33. The method of claim 32 , wherein the first phosphorus dopant composition comprises phosphine claim 32 , and the second phosphorus dopant composition comprises PF.34. The method of claim 32 , wherein the first phosphorus dopant composition comprises phosphine claim 32 , and the second phosphorus dopant ...

Подробнее
31-01-2019 дата публикации

SOLID-STATE SOURCE OF ATOMIC SPECIE FOR ETCHING

Номер: US20190035604A1
Автор: RANJAN ALOK, Ventzek Peter
Принадлежит:

An etching system, a solid state source for supplying an atomic specie, and a method of operating are described. The system includes: a processing chamber for treating a substrate in a gas-phase chemical environment; a substrate holder for supporting the substrate in the processing chamber; and a solid state source of an atomic specie coupled to the processing chamber, and configured to supply the atomic specie to the processing chamber when treating the substrate. The processing chamber can facilitate a gas-phase, plasma-containing or non-plasma-containing environment. 1. An etching system , comprising:a processing chamber for treating a substrate in a gas-phase chemical environment;a substrate holder for supporting the substrate in the processing chamber; anda solid state source of an atomic specie coupled to the processing chamber, and configured to supply the atomic specie to the processing chamber when treating the substrate.2. The system of claim 1 , wherein the solid state source includes:a solid state target for supplying the atomic specie; andan ablation mechanism arranged to heat and sublime the solid state target, and form the atomic specie in the gas phase.3. The system of claim 2 , wherein the ablation mechanism includes an electron source claim 2 , or a laser claim 2 , or a combination thereof.4. The system of claim 2 , wherein the solid state source includes a carrier gas supply arranged to supply a carrier gas for flowing the atomic specie into the processing chamber.5. The system of claim 4 , wherein the solid state source includes a controller programmably configured to communicate with the ablation mechanism and the carrier gas supply to control an amount of the atomic specie delivered to the processing chamber.6. The system of claim 2 , wherein the solid state source includes a vacuum pumping system claim 2 , independent of the processing chamber.7. The system of claim 1 , wherein the atomic specie is selected from the group consisting of carbon ...

Подробнее
12-02-2015 дата публикации

METHOD OF USING AN ENVIRONMENTAL TRANSMISSION ELECTRON MICROSCOPE

Номер: US20150041647A1
Принадлежит: FEI COMPANY

An environmental transmission electron microscope (ETEM) suffers from gas-induced resolution deterioration. Inventors conclude that the deterioration is due to ionization of gas in the sample chamber of the ETEM, and propose to use an electric field in the sample chamber to remove the ionized gas, thereby diminishing the gas-induced resolution deterioration. The electric field need not be a strong field, and can be caused by, for example, biasing the sample with respect to the sample chamber. A bias voltage of 100 V applied via voltage source is sufficient for a marked improvement the gas-induced resolution deterioration. Alternatively an electric field perpendicular to the optical axis can be used, for example by placing an electrically biased wire or gauze off-axis in the sample chamber. 1. A method of using an environmental transmission electron microscope , the environmental transmission electron microscope comprising:an electron source for generating a beam of electrons;a condenser system for directing the beam of electrons on a sample, the sample located in a sample chamber;an imaging system for imaging electrons transmitted through the sample on a detector system; anda gas regulating system for regulating the gas pressure and gas composition in the sample chamber, the gas regulation system maintaining a pressure of between 0.5 and 50 mbar in at least part of the sample chamber, the environmental transmission electron microscope suffering from gas-induced resolution deterioration,the method comprising:generating a beam of electronsdirecting the beam of electrons on the sample in the sample chamber;forming an image using electrons imaging transmitted through the sample and onto the detector system; andremoving ionized gas from the sample chamber by generating an electric field in the sample chamber, as a result of which gas-induced resolution deterioration is diminished.2. The method of in which the electric field is an electric field that is parallel to the ...

Подробнее
24-02-2022 дата публикации

Generation of Hydrogen Reactive Species For Processing of Workpieces

Номер: US20220059321A1
Принадлежит:

Methods, systems, and apparatus for generating hydrogen radicals for processing a workpiece, such as a semiconductor workpiece, are provided. In one example implementation, a method can include generating one or more species in a plasma chamber from an inert gas by inducing a plasma in the inert gas using a plasma source; mixing hydrogen gas with the one or more species to generate one or more hydrogen radicals; and exposing the workpiece in a processing chamber to the one or more hydrogen radicals. 118-. (canceled)19. A method for processing a workpiece , the method comprising:generating one or more species in an inert gas in a first chamber;filtering one or more ions in the first chamber using a separation grid to generate a filtered mixture;injecting a hydrogen gas downstream of the first chamber into the filtered mixture to generate one or more hydrogen radicals;exposing the workpiece to the one or more hydrogen radicals in a second chamber, the second chamber being separated from the first chamber by the separation grid.20. (canceled)21. The method of claim 19 , wherein injecting a hydrogen gas downstream of the first chamber into the filtered mixture to generate one or more hydrogen radicals comprises mixing hydrogen gas with neutral species passing through the separation grid.22. The method of claim 19 , wherein injecting a hydrogen gas downstream of the first chamber into the filtered mixture to generate one or more hydrogen radicals comprises mixing hydrogen gas with neutral species in the separation grid.23. The method of claim 19 , wherein the inert gas comprises helium.24. The method of claim 19 , wherein the plasma is generated using an inductively coupled plasma source.25. The method of claim 19 , wherein exposing the workpiece in the second chamber to the one or more hydrogen radicals at least partially removes a photoresist layer on the workpiece.26. The method of claim 19 , wherein exposing the workpiece in a processing chamber to the one or more ...

Подробнее
26-02-2015 дата публикации

DEPOSITION METHOD AND DEPOSITION APPARATUS

Номер: US20150056373A1
Принадлежит: ULVAC, INC.

[Object] To provide a deposition method and a deposition apparatus capable of forming a metal compound layer having desired film characteristics uniformly in a substrate surface. 1. A deposition method comprising:evacuating an inside of a vacuum chamber having a deposition chamber formed inside a cylindrical partition wall and an exhaust chamber formed outside the partition wall, via an exhaust line connected to the exhaust chamber; andintroducing a process gas containing a reactive gas into the exhaust chamber and, in a state where the deposition chamber is maintained at a lower pressure than the exhaust chamber, supplying the process gas to the deposition chamber via a gas flow passage formed between the partition wall and the vacuum chamber.2. The deposition method according to claim 1 , further comprising forming a metal compound layer on a substrate by sputtering a metal target in the deposition chamber.3. The deposition method according to claim 1 , whereinthe supplying the process gas to the deposition chamber is supplying the process gas to the deposition chamber via an annular passage portion formed between the vacuum chamber and the partition wall, anda flow-passage portion formed between the partition wall and a bottom wall portion of the vacuum chamber.4. The film forming method according to claim 1 , wherein the process gas includes a mixed gas of argon and oxygen claim 1 , for forming a metal oxide layer on the substrate.5. A deposition apparatus comprising:a vacuum chamber having a bottom wall portion and a top plate portion;a cylindrical partition wall disposed inside the vacuum chamber, the partition wall dividing the inside of the vacuum chamber into a deposition chamber and an exhaust chamber;an exhaust line connected to the exhaust chamber, the exhaust line being configured to commonly evacuate an inside of the deposition chamber and the exhaust chamber;a gas introduction line connected to the exhaust chamber, the gas introduction line being ...

Подробнее
14-02-2019 дата публикации

APPARATUS AND TECHNIQUES FOR DECELERATED ION BEAM WITH NO ENERGY CONTAMINATION

Номер: US20190051493A1

An ion implantation system may include an ion source to generate an ion beam, a substrate stage disposed downstream of the ion source; and a deceleration stage including a component to deflect the ion beam, where the deceleration stage is disposed between the ion source and substrate stage. The ion implantation system may further include a hydrogen source to provide hydrogen gas to the deceleration stage, wherein energetic neutrals generated from the ion beam are not scattered to the substrate stage. 1. An ion implantation system , comprising:an ion source to generate an ion beam;a substrate stage disposed downstream of the ion source;a deceleration stage including a component to deflect the ion beam, the deceleration stage disposed between the ion source and substrate stage; anda gas source, the gas source to provide hydrogen gas or helium gas to the deceleration stage,wherein energetic neutrals generated from the ion beam are not scattered to the substrate stage.2. The ion implantation system of claim 1 , wherein the deceleration stage comprises a curved shape claim 1 , wherein the deceleration stage does not provide a line of sight path for the ion beam from an entrance to an exit of the deceleration stage.3. The ion implantation system of claim 1 , comprising a port to transport the hydrogen gas or the helium gas directly into the deceleration stage.4. The ion implantation of claim 1 , the deceleration stage comprising a partial pressure of hydrogen or helium of at least 5×10Torr.5. The ion implantation system of claim 1 , the gas source comprising a plurality of ports to provide hydrogen gas or helium gas to the ion beam claim 1 , wherein at least one port of the plurality of ports is disposed in the deceleration stage.6. The ion implantation system of claim 1 , the gas source comprising a local hydrogen generator.7. The ion implantation system of claim 6 , the gas source comprising an electrolytic hydrogen generator.8. The ion implantation system of claim 1 , ...

Подробнее
05-03-2015 дата публикации

Semiconductor process pumping arrangements

Номер: US20150060691A1
Автор: Steven C. Borichevsky

A semiconductor process pump configured to mitigate losses in pump speed during operation. The semiconductor process pump may include a housing having an inlet port for receiving gas molecules therethrough, wherein a forward-most terminus of the inlet port defines an inlet face, one or more working surfaces disposed within the housing, and a mounting flange disposed on an exterior of the housing for facilitating attachment of the pump to a gas enclosure, wherein a forward-most terminus of the mounting flange defines a flange face. The flange face may be offset from the inlet face rearwardly along the housing by a distance d. Thus, when the semiconductor process pump is mounted to a wall of a gas enclosure, the housing may extend into the wall and the inlet face may be disposed within or immediately adjacent the interior of the gas enclosure.

Подробнее
01-03-2018 дата публикации

LOW PRESSURE LIFT PIN CAVITY HARDWARE

Номер: US20180061616A1
Принадлежит:

Embodiments disclosed herein generally relate to a pumping system for a plasma processing apparatus. The pumping system includes a first pump path, a second pump path, a first valve, and a second valve. The first pump path couples an opening of a substrate support assembly of the processing chamber to an exhaust port of the processing chamber. The second pump path couples the opening of the substrate support assembly to an evacuation region of the processing chamber. The first valve is positioned in the first pump path. The first valve is configurable between a first state and a second state. The second valve is positioned in the second pump path. The second valve is configurable between the first state and the second state. 1. A pumping system for a plasma processing apparatus , comprising:a first pump path coupling an opening of a substrate support assembly of the processing chamber to an exhaust port of the processing chamber;a second pump path coupling the opening of the substrate support assembly to an evacuation region of the processing chamber;a first valve positioned in the first pump path, the first valve configurable between a first state and a second state; anda second valve positioned in the second pump path, the second valve configurable between the first state and the second state.2. The pumping system of claim 1 , further comprising:a first pump line coupling the opening of the substrate support assembly to the first valve and the second valve.3. The pumping system of claim 2 , further comprising:a second pump line coupling the first valve to the exhaust port in the plasma processing apparatus forming a first pump path.4. The pumping system of claim 2 , further comprisinga second pump line coupling the second valve to the evacuation region of the processing chamber forming a second pump path.5. The pumping system of claim 1 , further comprising:a controller coupled to the first valve and the second valve, the controller configured to switch the first ...

Подробнее
02-03-2017 дата публикации

Method Of Improving Ion Beam Quality In An Implant System

Номер: US20170062182A1
Принадлежит:

A method for improving the ion beam quality in an ion implanter is disclosed. In some ion implantation systems, contaminants from the ion source are extracted with the desired ions, introducing contaminants to the workpiece. These contaminants may be impurities in the ion source chamber. This problem is exacerbated when mass analysis of the extracted ion beam is not performed, and is further exaggerated when the desired feedgas includes a halogen. The introduction of a diluent gas in the ion chamber may reduce the deleterious effects of the halogen on the inner surfaces of the chamber, reducing contaminants in the extracted ion beam. In some embodiments, the diluent gas may be germane or silane. 1. A method of implanting ions into a workpiece , comprising:introducing a first source gas and a second source gas into a chamber of an ion source, said first source gas comprising molecules containing a dopant and fluorine, and said second source gas comprising molecules containing hydrogen and germanium;ionizing said first source gas and said second source gas in said chamber, wherein germanium coats walls of the chamber; andextracting ions from said chamber and accelerating said ions toward said workpiece.2. The method of claim 1 , wherein said dopant is different from germanium.3. The method of claim 2 , wherein said dopant comprises a Group 3 element.4. The method of claim 2 , wherein said dopant comprises a Group 5 element.5. The method of claim 1 , comprising implanting the ions in said workpiece without mass analysis.6. The method of claim 1 , where between 10% and 20% of a total volume of gas introduced comprises the second source gas and a remainder of the total volume of gas introduced is the first source gas.7. A method of implanting ions into a workpiece claim 1 , comprising:introducing a first source gas and a second source gas into a chamber of an ion source, said first source gas comprising molecules containing a dopant and fluorine, and said second source ...

Подробнее
04-03-2021 дата публикации

System And Method For Improved Beam Current From An Ion Source

Номер: US20210066019A1
Принадлежит:

An IHC ion source that employs a negatively biased cathode and one or more side electrodes is disclosed. The one or more side electrodes are biased using an electrode power supply, which supplies a voltage of between 0 and −50 volts, relative to the chamber. By adjusting the output from the electrode power supply, beam current can be optimized for different species. For example, certain species, such as arsenic, may be optimized when the side electrodes are at the same voltage as the chamber. Other species, such as boron, may be optimized when the side electrodes are at a negative voltage relative to the chamber. In certain embodiments, a controller is in communication with the electrode power supply so as to control the output of the electrode power supply, based on the desired feed gas. 1. An ion source , comprising:a chamber, comprising at least one electrically conductive wall;a cathode disposed on one end of the chamber;a first side electrode disposed on one side wall;an arc power supply to bias the cathode at a negative voltage relative to the electrically conductive wall; andan electrode power supply to bias the first side electrode, where an output of the electrode power supply is between 0 and −50V relative to the electrically conductive wall.2. The ion source of claim 1 , further comprising a controller in communication with the electrode power supply.3. The ion source of claim 2 , wherein the controller varies the output of the electrode power supply based on a feed gas that is used.4. The ion source of claim 3 , wherein the controller sets the output of the electrode power supply to 0V if an arsenic-based feed gas is used.5. The ion source of claim 3 , wherein the controller sets the output of the electrode power supply to a value between −5V and −50V if a boron-based feed gas is used.6. The ion source of claim 3 , wherein the controller sets the output of the electrode power supply to a value between −8V and −30V if a boron-based feed gas is used.7. The ...

Подробнее
10-03-2016 дата публикации

ARC-PLASMA FILM FORMATION DEVICE

Номер: US20160071702A1
Принадлежит:

An arc-plasma film formation device includes a film formation chamber in which a substrate to be treated is stored, a plasma chamber in which at least a part of a target is stored, the plasma chamber being configured to be connected to the film formation chamber, and a plurality of hollow coils configured to generate a continuous line of magnetic force between the target and the film formation chamber and having at least one curved section, the plurality of hollow coils being arrange in the plasma chamber and covered by an outer coat made of a non-magnetic metal. Plasma containing ions derived from the target material and generated in the plasma chamber as a result of arc discharge is transported from the target to the substrate by passing an inside of the plurality of hollow coils. 1. An arc-plasma film formation device comprising:a film formation chamber in which a substrate to be treated is stored;a plasma chamber in which at least a part of a target is stored, the plasma chamber being configured to be connected to the film formation chamber; anda plurality of hollow coils configured to generate a continuous line of magnetic force having at least one curved section between the target and the film formation chamber, the plurality of hollow coils being arranged in the plasma chamber and covered by an outer coat made of a non-magnetic metal,wherein plasma containing ions derived from the target material and generated inside the plasma chamber as a result of arc discharge is transported from the target to the substrate by passing through an inside of the plurality of hollow coils.2. The arc-plasma film formation device as recited in claim 1 ,wherein a coil section to which a current is supplied, a water-cooled tube through which cooling water flows, and a water-cooled plate to be cooled by the water-cooled tube are arrange inside the hollow coils, andwherein an inside of the hollow coils is filled with a resin having a thermal conductivity.3. The arc-plasma film ...

Подробнее
10-03-2016 дата публикации

Process gas enhancement for beam treatment of a substrate

Номер: US20160071734A1
Принадлежит: TEL Epion Inc

A beam processing system and method of operating are described. In particular, the beam processing system includes a beam source having a nozzle assembly that is configured to introduce a primary gas through the nozzle assembly to a vacuum vessel in order to produce a gaseous beam, such as a gas cluster beam, and optionally, an ionizer positioned downstream from the nozzle assembly, and configured to ionize the gaseous beam to produce an ionized gaseous beam. The beam processing system further includes a process chamber within which a substrate is positioned for treatment by the gaseous beam, and a secondary gas source, wherein the secondary gas source includes a secondary gas supply system that delivers a secondary gas, and a secondary gas controller that operatively controls the flow of the secondary gas injected into the beam processing system downstream of the nozzle assembly.

Подробнее
08-03-2018 дата публикации

Boron Implanting Using A Co-Gas

Номер: US20180068830A1
Принадлежит:

An apparatus and methods of improving the ion beam quality of a halogen-based source gas are disclosed. Unexpectedly, the introduction of a noble gas, such as argon, to an ion source chamber may increase the percentage of desirable ion species, while decreasing the amount of contaminants and halogen-containing ions. This is especially beneficial in non-mass analyzed implanters, where all ions are implanted into the workpiece. In one embodiment, a first source gas, comprising a dopant and a halogen is introduced into an ion source chamber, a second source gas comprising a hydride, and a third source gas comprising a noble gas are also introduced. The combination of these three source gases produces an ion beam having a higher percentage of pure dopant ions than would occur if the third source gas were not used. 1. A method of implanting dopant into a workpiece , comprising:introducing a first source gas into a first sub-chamber of a chamber of an ion source, the first source gas comprising a dopant and fluorine;introducing argon into a second sub-chamber of the chamber;ionizing the first source gas and the argon in the chamber;extracting ions from the first sub-chamber as a dopant ion beam and directing the dopant ion beam toward the workpiece; andextracting ions from the second sub-chamber as an argon ion beam and directing the argon ion beam toward the workpiece, where the argon ion beam strikes a location on the workpiece concurrently or after the location has been implanted by the dopant ion beam.2. The method of claim 1 , further comprising:introducing a second source gas into the first sub-chamber, the second source gas comprising hydrogen and at least one of silicon and germanium;ionizing the second source gas in the first sub-chamber; andextracting ions of the second source gas as part of the dopant ion beam.3. The method of claim 1 , wherein the dopant ion beam and the argon ion beam are focused so as to simultaneously strike the location of the workpiece.4. ...

Подробнее
16-03-2017 дата публикации

Ion beam device

Номер: US20170076902A1
Принадлежит: Hitachi High Technologies Corp

An ion beam device according to the present invention includes a gas field ion source including an emitter tip supported by an emitter base mount, a ionization chamber including an extraction electrode and being configured to surround the emitter tip, and a gas supply tube. A center axis line of the extraction electrode overlaps or is parallel to a center axis line of the ion irradiation light system, and a center axis line passing the emitter tip and the emitter base mount is inclinable with respect to a center axis line of the ionization chamber. Accordingly, an ion beam device including a gas field ion source capable of adjusting the direction of the emitter tip is provided.

Подробнее
24-03-2022 дата публикации

SHOWERHEAD ASSEMBLY WITH RECURSIVE GAS CHANNELS

Номер: US20220093361A1
Принадлежит:

Embodiments of showerheads are provided herein. In some embodiments, a showerhead assembly includes a chill plate having a plurality of recursive gas paths and one or more cooling channels disposed therein, wherein each of the plurality of recursive gas paths is fluidly coupled to a single gas inlet extending to a first side of the chill plate and a plurality of gas outlets extending to a second side of the chill plate; and a heater plate coupled to the chill plate, wherein the heater plate includes a plurality of first gas distribution holes extending from a top surface thereof to a plurality of plenums disposed within the heater plate, the plurality of first gas distribution holes corresponding with the plurality of gas outlets of the chill plate, and a plurality of second gas distribution holes extending from the plurality of plenums to a lower surface of the heater plate. 1. A showerhead assembly for use in a substrate processing chamber , comprising:a chill plate having a plurality of recursive gas paths disposed therein that are fluidly independent from each other and one or more cooling channels disposed therein, wherein each of the plurality of recursive gas paths is fluidly coupled to a single gas inlet extending to a first side of the chill plate and a plurality of gas outlets extending to a second side of the chill plate; anda heater plate coupled to the chill plate, wherein the heater plate includes one or more heating elements disposed therein, a plurality of first gas distribution holes extending from a top surface thereof to a plurality of plenums that are fluidly independent disposed within the heater plate, the plurality of first gas distribution holes corresponding with the plurality of gas outlets of the chill plate, and a plurality of second gas distribution holes extending from the plurality of plenums to a lower surface of the heater plate.2. The showerhead assembly of claim 1 , further comprising an upper electrode coupled to the heater plate ...

Подробнее
14-03-2019 дата публикации

Sputtering apparatus including gas distribution system

Номер: US20190080883A1
Автор: Klaus Hartig
Принадлежит: Cardinal CG Co

Some embodiments provide a magnetron sputtering apparatus including a vacuum chamber within which a controlled environment may be established, a target comprising one or more sputterable materials, wherein the target includes a racetrack-shaped sputtering zone that extends longitudinally along a longitudinal axis and comprises a straightaway area sandwiched between a first turnaround area and a second turnaround area, a gas distribution system that supplies a first gas mixture to the first turnaround area and/or the second turnaround area and supplies a second gas mixture to the straightaway area, wherein the first gas mixture reduces a sputtering rate relative to the second gas mixture. In some cases, the first gas mixture includes inert gas having a first atomic weight and the second gas mixture includes inert gas having a second atomic weight, wherein the second atomic weight is heavier than the first atomic weight.

Подробнее
22-03-2018 дата публикации

Gas flow process control system and method using crystal microbalance(s)

Номер: US20180082871A1
Принадлежит: Globalfoundries Inc

Disclosed are process control systems and methods incorporating a crystal microbalance (CM) (e.g., a quartz crystal microbalance (QCM)) into gas flow line(s) entering and/or exiting a processing chamber. A CM measures the resonance of a quartz crystal sensor contained therein as gas flows over that crystal sensor and can, thereby be used to accurately monitor, in real time, the mass flow rate of the gas. The mass flow rate may indicate that gas contamination has occurred and, in response, a controller can cause the gas flow to stop. Additionally, the mass flow rate may indicate the desired result will not be achieved within the processing chamber and, in response, advanced process control (APC) can be performed (e.g., the controller can adjust the gas flow). CM(s) incorporated into gas flow lines entering and/or exiting a processing chamber can provide precise measurements for process monitoring at minimal cost.

Подробнее
23-03-2017 дата публикации

Plasma etching method

Номер: US20170084430A1
Принадлежит: Hitachi High Technologies Corp

In the plasma etching method, a sample is placed on a stage in a chamber. A first gas is introduced into the chamber. Electric field is supplied within the chamber to plasma is generated from the first gas. A first RF power of a first frequency, which is for generating a bias voltage in the sample for etching the sample with radicals which are generated in the plasma while the plasma is generated, is supplied to the stage. A second gas is introduced from a position in outer periphery of a surface of the stage, on which the sample is placed. A second RF power of a second frequency higher than the first frequency and capable of generating plasma from the second gas above the stage that allows radicals generated in the plasma generated from the second gas to be supplied in the outer periphery, is supplied to the stage.

Подробнее
31-03-2022 дата публикации

ION BEAM ETCHING WITH GAS TREATMENT AND PULSING

Номер: US20220102624A1
Принадлежит:

One or more layers of a magnetic random access memory (MRAM) stack on a substrate are etched by ion beam etching. An ion beam of an inert gas is generated in an ion beam source chamber and applied to a substrate in a continuous or pulsed manner. Without passing through the ion beam source chamber, a reactive gas is flowed directly into a processing chamber in which the substrate is located, where the reactive gas is pulsed or continuously provided into the processing chamber. The reactive gas may include a carbon-containing gas having a hydroxyl group that is flowed towards the substrate to limit re-deposition of sputtered atoms on exposed surfaces of the substrate from ion beam etching. 1. A method of ion beam etching a substrate , the method comprising:generating an ion beam of an inert gas from an ion beam source chamber;applying the ion beam of the inert gas to a substrate in a processing chamber outside the ion beam source chamber, wherein the ion beam etches one or more layers of a magnetic random access memory (MRAM) stack on the substrate; andintroducing a reactive gas directly into the processing chamber and towards the substrate.2. The method of claim 1 , wherein the reactive gas includes a carbon-containing gas having a hydroxyl group.3. The method of claim 2 , wherein the carbon-containing gas is selected from a group consisting of: an alcohol claim 2 , a carboxylic acid claim 2 , an organic hydroperoxide claim 2 , a hemiacetal claim 2 , and a hemiketal.4. The method of claim 3 , wherein the carbon-containing gas includes methanol.5. The method of claim 1 , wherein the reactive gas includes a fluorine-containing gas or a nitrogen-containing gas.6. The method of claim 1 , wherein the MRAM stack includes an MTJ stack claim 1 , wherein the MTJ stack includes a top magnetic layer claim 1 , a bottom magnetic layer claim 1 , and a tunnel barrier layer between the top magnetic layer and the bottom magnetic layer.7. The method of claim 1 , wherein sidewalls of ...

Подробнее
25-03-2021 дата публикации

HYDROGEN GENERATOR FOR AN ION IMPLANTER

Номер: US20210090841A1
Принадлежит:

A terminal for an ion implantation system is provided, wherein the terminal has a terminal housing for supporting an ion source configured to form an ion beam. A gas box within the terminal housing has a hydrogen generator configured to produce hydrogen gas for the ion source. The gas box is electrically insulated from the terminal housing, and is further electrically coupled to the ion source. The ion source and gas box are electrically isolated from the terminal housing by a plurality of electrical insulators. A plurality of insulating standoffs electrically isolate the terminal housing from an earth ground. A terminal power supply electrically biases the terminal housing to a terminal potential with respect to the earth ground. An ion source power supply electrically biases the ion source to an ion source potential with respect to the terminal potential. Electrically conductive tubing electrically couples the gas box and ion source. 1. A terminal system for an ion implantation system , wherein the terminal system comprises:a terminal housing;an ion source assembly disposed within the terminal housing, wherein the ion source assembly is electrically isolated from the terminal housing; anda hydrogen generator disposed within the terminal housing, wherein the hydrogen generator is at the same electrical potential as the ion source assembly and electrically coupled thereto, and wherein the hydrogen generator is configured to produce hydrogen gas and supply said hydrogen gas to the ion source assembly.2. The terminal system of claim 1 , further comprising a gas box claim 1 , wherein the hydrogen generator is disposed within the gas box claim 1 , and wherein the gas box is electrically coupled to the ion source assembly.3. The terminal system of claim 2 , further comprising one or more electrical insulators claim 2 , wherein the one or more electrical insulators electrically isolate the ion source assembly and gas box from the terminal housing.4. The terminal system of ...

Подробнее
31-03-2016 дата публикации

DURABLE 3D GEOMETRY CONFORMAL ANTI-REFLECTION COATING

Номер: US20160093477A1
Автор: ROGERS MATTHEW S.
Принадлежит:

Methods and systems for depositing a thin film are disclosed. The methods and systems can be used to deposit a film having a uniform thickness on a substrate surface that has a non-planar three-dimensional geometry, such as a curved surface. The methods involve the use of a deposition source that has a shape in accordance with the non-planar three-dimensional geometry of the substrate surface. In some embodiments, multiple layers of films are deposited onto each other forming multi-layered coatings. In some embodiments, the multi-layered coatings are antireflective (AR) coatings for windows or lenses. 1. A method of depositing a film on a curved surface of a substrate , the method comprising:positioning the curved surface with respect to a source of a deposition system, wherein the source includes an effective surface having a curved shape in accordance with the curved surface of the substrate; andcausing the source to emit a plurality of particles such that the plurality of particles become deposited on the curved surface as the film, wherein the curved shape of the effective surface is associated with a thickness uniformity of the film.2. The method of claim 1 , wherein the deposition system is a sputter deposition system and the source is a sputter target claim 1 , wherein causing the source to emit the plurality of particles comprises directing a sputter gas at the sputter target such that the plurality of particles are sputtered from the sputter target.3. The method of claim 1 , wherein the deposition system is a plasma enhanced chemical vapor deposition (PECVD) system and the source is a hollow cathode source claim 1 , wherein causing the source to emit the plurality of particles comprises:supplying a reaction gas to the hollow cathode source, andcausing the hollow cathode source to discharge a plasma having ions and/or other reactive chemical species corresponding to the plurality of particles.4. The method of claim 3 , wherein the deposition system includes ...

Подробнее
05-05-2022 дата публикации

FLUORINE BASED MOLECULAR CO-GAS WHEN RUNNING DIMETHYLALUMINUM CHLORIDE AS A SOURCE MATERIAL TO GENERATE AN ALUMINUM ION BEAM

Номер: US20220139664A1
Принадлежит:

An ion implantation system, ion source, and method are provided having a gaseous aluminum-based ion source material. The gaseous aluminum-based ion source material can be, or include, dimethylaluminum chloride (DMAC), where the DMAC is a liquid that transitions into vapor phase at room temperature. An ion source receives and ionizes the gaseous aluminum-based ion source material to form an ion beam. A low-pressure gas bottle supplies the DMAC as a gas to an arc chamber of the ion source by a primary gas line. A separate, secondary gas line supplies a co-gas, such as a fluorine-containing molecule, to the ion source, where the co-gas and DMAC reduce an energetic carbon cross-contamination and/or increase doubly charged aluminum.

Подробнее
30-03-2017 дата публикации

IN-SITU PLASMA CLEANING OF PROCESS CHAMBER ELECTROSTATIC ELEMENTS HAVING VARIED GEOMETRIES

Номер: US20170092473A1
Принадлежит:

Provided herein are approaches for in-situ plasma cleaning of one or more components of an ion implantation system. In one approach, the component may include a beam-line component having a conductive beam optic, the beam optic having a varied geometry configured to generate a concentrated electric field proximate the beam optic. The system further includes a power supply for supplying a first voltage and first current to the component during a processing mode and a second voltage and second current to the component during a cleaning mode. The second voltage and current may be applied to the one or more beam optics, in parallel, to selectively (e.g., individually) generate plasma in an area corresponding to the concentrated electric field. By providing custom-shaped ion beam optics, plasma density is strategically enhanced in areas where surface contamination is most prevalent, thus improving cleaning efficiency and minimizing tool down time. 1. An ion implantation system , comprising:a component within a chamber of the ion implantation system, the component including a conductive beam optic having a varied geometry configured to generate a concentrated electric field proximate the conductive beam optic;a power supply in communication with the component, the power supply configured to supply a voltage and a current to the component during a cleaning mode, wherein the voltage and the current are applied to the conductive beam optic to generate a plasma around the conductive beam optic in an area corresponding to the concentrated electric field; andan etchant gas supplied to the component to enable etching of the conductive beam optic.2. The ion implantation system of claim 1 , the power supply configured to supply a first voltage and a first current to the component during a processing mode.3. The ion implantation system of claim 1 , the voltage and the current supplied from one of the following: a direct current (DC) power supply claim 1 , and a radio frequency (RF) ...

Подробнее
05-04-2018 дата публикации

IN-SITU CLEANING USING HYDROGEN PEROXIDE AS CO-GAS TO PRIMARY DOPANT OR PURGE GAS FOR MINIMIZING CARBON DEPOSITS IN AN ION SOURCE

Номер: US20180096828A1
Принадлежит:

An ion source assembly and method is provided for improving ion implantation performance. The ion source assembly has an ion source chamber and a source gas supply provides a molecular carbon source gas such as toluene to the ion source chamber. A source gas flow controller controls a flow of the molecular carbon source gas to the ion source chamber. An excitation source excites the molecular carbon source gas, forming carbon ions and atomic carbon. An extraction electrode extracts the carbon ions from the ion source chamber, forming an ion beam. A hydrogen peroxide co-gas supply provides a predetermined concentration of hydrogen peroxide co-gas to the ion source chamber, and a hydrogen peroxide co-gas flow controller controls a flow of the hydrogen peroxide gas to the ion source chamber. The hydrogen peroxide co-gas decomposes within the ion source chamber and reacts with the atomic carbon from the molecular carbon source gas in the ion source chamber, forming hydrocarbons within the ion source chamber. An inert gas is further introduced and ionized to counteract oxidation of a cathode due to the decomposition of the hydrogen peroxide. A vacuum pump system removes the hydrocarbons from the ion source chamber, wherein deposition of atomic carbon within the ion source chamber is reduced and a lifetime of the ion source chamber is increased. 1. An ion source assembly for improving ion implantation performance , the ion source assembly comprising:an ion source chamber;a source gas supply configured to provide a molecular carbon source gas to the ion source chamber;a source gas flow controller configured to control a flow of the molecular carbon source gas to the ion source chamber;an excitation source configured to excite the molecular carbon source gas, therein forming carbon ions and atomic carbon;an extraction electrode configured to extract the carbon ions from the ion source chamber, therein forming an ion beam;a hydrogen peroxide co-gas supply configured to ...

Подробнее
04-04-2019 дата публикации

SURFACE TREATMENT OF SILICON AND CARBON CONTAINING FILMS BY REMOTE PLASMA WITH ORGANIC PRECURSORS

Номер: US20190103270A1
Принадлежит:

Surface treatment processes for treating low-k dielectric materials are provided. One example implementation can include a method for processing a workpiece. The workpiece can include a silicon and carbon containing film material. The method can include treating the workpiece with a surface treatment process. The surface treatment process can include generating one or more species in a first chamber; mixing one or more hydrocarbon molecules with the species to create a mixture comprising one or more organic radicals; and exposing the silicon and carbon containing layer on the workpiece to the mixture in a second chamber. 1. A method for processing a workpiece , the workpiece comprising a silicon and carbon containing film material , the method comprising performing an organic radical based surface treatment process on the silicon and carbon containing film material , the organic radical based surface treatment process comprising:generating one or more species in a first chamber;mixing one or more hydrocarbon molecules with the species to create a mixture comprising one or more organic radicals; andexposing the silicon and carbon containing layer on the workpiece to the mixture in a second chamber.2. The method of claim 1 , wherein the organic radical based surface treatment process results in methylation on at least a portion of the silicon and carbon containing film material.3. The method of claim 1 , wherein the silicon and carbon containing film material comprises oxygen.4. The method of claim 1 , wherein the film material has a porosity of about 1% to about 50%.5. The method of claim 1 , wherein the silicon and carbon containing film material comprises nitrogen.6. The method of claim 1 , wherein the silicon and carbon containing film material is used as at least a part of a spacer structure formed in a front-end-of-line application.7. The method of claim 1 , wherein the silicon and carbon containing film material is used as at least a part of an interconnect ...

Подробнее
04-04-2019 дата публикации

PHOSPHORUS OR ARSENIC ION IMPLANTATION UTILIZING ENHANCED SOURCE TECHNIQUES

Номер: US20190103275A1
Принадлежит:

Apparatus and method for use of solid dopant phosphorus and arsenic sources and higher order phosphorus or arsenic implant source material are described. In various implementations, solid phosphorus-comprising or arsenic-comprising materials are provided in the ion source chamber for generation of dimer or tetramer implant species. In other implementations, the ion implantation is augmented by use of a reactor for decomposing gaseous phosphor-us-comprising or arsenic-comprising materials to form gas phase dimers and tetramers for ion implantation. 135-. (canceled)36. An ion implantation system , comprising:an ion implanter comprising an ion source chamber; anda gas supply assembly comprising one or more gas supply vessels arranged to supply a mixture of gases to the ion implanter, wherein the mixture of gases comprises one of (i)-(viii):{'sub': 3', '3', '3', '3', '5', '3', 'x', '2', '2', 'x', '3', '2', '3', '3', '3', '3', '5', '3', 'x', '2', '2', 'x', '3', '2, '(i) PHand PF, wherein the concentration of PHis in a range of from 40% to 60% by volume, based on the total volume of the mixture of gases; (ii) PHand PF, wherein the concentration of PHis in a range of from 50% to 75% by volume, based on the total volume of the mixture of gases; (iii) PFand H, wherein x has any stoichiometric acceptable value, and wherein the concentration of Hdoes not exceed 50% by volume, based on the total volume of the mixture of gases; (iv) PF, PH, H, and inert gas, wherein x has any stoichiometric acceptable value; (v) AsHand AsF, wherein the concentration of AsHis in a range of from 40% to 60% by volume, based on the total volume of the mixture of gases; (vi) AsHand AsF, wherein the concentration of AsHis in a range of from 50% to 75% by volume, based on the total volume of the mixture of gases; (vii) AsFand H, wherein x has any stoichiometric acceptable value, and wherein the concentration of Hdoes not exceed 50% by volume, based on the total volume of the mixture of gases; and (viii ...

Подробнее
20-04-2017 дата публикации

SUBSTRATE TREATMENT APPARATUS

Номер: US20170110291A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

A substrate treatment apparatus may include one or more of a process chamber, a gas supply assembly that may supply one or more gases into the process chamber, a gas exhaust assembly that may exhaust gases from the process chamber, and a gas injector assembly connected to the gas exhaust assembly independently of the process chamber. The gas injector assembly may supply a control gas into the gas exhaust assembly. The apparatus may include a gas injection control device configured to adjustably control the supply of control gas. The gas inject control device may measure an internal pressure of the process chamber and control the supply of control gas based on the internal pressure. The apparatus may include a diffuser that couples the gas injector assembly to the gas exhaust assembly and is configured to diffuse the control gas supplied from the gas injector assembly into the gas exhaust assembly. 1. A substrate treatment apparatus , comprising:a process chamber; the first gas is supplied into the process chamber at a uniform first flow rate, and', 'the second gas is supplied into the process chamber at a second flow rate, the second flow rate varying according to a first pulse wave, the first pulse wave having a particular time period;, 'a gas supply assembly configured to supply a first gas and a second gas into the process chamber such that,'} an exhausting line coupled to the process chamber, the exhausting line being configured to discharge gas from the process chamber, and', 'a pump coupled to the exhausting line, the pump being configured to induce gas flow from the process chamber through the exhausting line;, 'a gas exhaust assembly configured to exhaust the first and second gases from the process chamber, the gas exhaust assembly including,'}an exhaust valve coupled to the exhausting line, the exhaust valve being configured to control a flow rate of gas into the exhausting line from the process chamber, the exhaust valve including a fixed opening extent; ...

Подробнее
30-04-2015 дата публикации

Plasma processing device, plasma processing method and method of manufacturing electronic devices

Номер: US20150118867A1
Автор: Tomohiro Okumura

To provide a plasma processing device, a plasma processing method and a method of manufacturing electronic devices capable of performing high-speed processing as well as using the plasma stably. In an inductively-coupled plasma torch unit, a coil, a first ceramic block and a second ceramic block are arranged in parallel, and a long chamber has an annular shape. Plasma generated in the chamber is ejected from an opening in the chamber toward a substrate. The substrate is processed by moving the long chamber and the substrate mounting table relatively in a direction perpendicular to a longitudinal direction of the opening. A discharge suppression gas is introduced into a space between the inductively-coupled plasma torch unit and the substrate inside the chamber through a discharge suppression gas supply hole, thereby generating long plasma stably.

Подробнее
11-04-2019 дата публикации

SYSTEM AND METHOD FOR IN-SITU BEAMLINE FILM STABILIZATION OR REMOVAL IN THE AEF REGION

Номер: US20190108972A1
Принадлежит:

An ion implantation system has an ion source configured form an ion beam and an angular energy filter (AEF) having an AEF region. A gas source passivates and/or etches a film residing on the AEF by a reaction of the film with a gas. The gas can be an oxidizing gas or a fluorine-containing gas. The gas source can selectively supply the gas to the AEF region concurrent with a formation of the ion beam. The AEF is heated to assist in the passivation and/or etching of the film by the gas. The heat can originate from the ion beam, and/or from an auxiliary heater associated with the AEF. A manifold distributor can be operably coupled to the gas source and configured to supply the gas to one or more AEF electrodes. 1. An ion implantation system , comprising:an ion source configured form an ion beam;an angular energy filter (AEF) having an AEF region associated therewith; anda gas source configured to supply a gas to the AEF region, wherein the gas source is configured to passivate and/or etch a film residing on the AEF via a reaction of the film with the gas.2. The ion implantation system of claim 1 , wherein the gas source comprises one or more of an oxidizing gas source and a fluorine-containing gas source configured to selectively supply a respective oxidizing gas and fluorine-containing gas to the AEF region.3. The ion implantation system of claim 2 , wherein the fluorine-containing gas comprises one or more of NFand XeF4. The ion implantation system of claim 2 , wherein the oxidizing gas comprises one or more of air and water.5. The ion implantation system of claim 1 , wherein the gas source is configured to selectively supply the gas to the AEF region concurrent with a formation of the ion beam claim 1 , and wherein heat associated with the ion beam is configured to heat the AEF to assist in the passivation and/or etching of the film residing on the AEF.6. The ion implantation system of claim 1 , further comprising a manifold distributor associated with the AEF claim ...

Подробнее
27-04-2017 дата публикации

PHYSICAL VAPOR DEPOSITION SYSTEM WITH TARGET MAGNETS CONTROLLED TO ONLY BE ABOVE WORKPIECE

Номер: US20170114447A1
Принадлежит:

A circular PVD chamber has a plurality of sputtering targets mounted on a top wall of the chamber. A pallet in the chamber is coupled to a motor for rotating the pallet about its center axis. The pallet has a diameter less than the diameter of the circular chamber. The pallet is also shiftable in an XY direction to move the center of the pallet beneath any of the targets so all areas of a workpiece supported by the pallet can be positioned directly below any one of the targets. A scanning magnet is in back of each target and is moved, via a programmed controller, to only be above portions of the workpiece so that no sputtered material is wasted. For depositing a material onto small workpieces, a cooling backside gas volume is created between the pallet and the underside of sticky tape supporting the workpieces. 1. A method for performing a physical vapor deposition operation in a chamber comprising:rotating a pallet, within the chamber, via a first motor coupled to the pallet, the pallet supporting a workpiece;scanning the magnet back and forth in an arc behind the target, wherein the magnet is smaller than the target;shifting the magnet, by a linear actuator coupled to the magnet, generally radially with respect to the arc to allow the magnet to move substantially between an area of the target closest to a center of the chamber and an area of the target furthest from the center of the chamber; andcontrolling the linear actuator such that the magnet is scanned substantially only over portions of the workpiece that are directly below the target.2. The method of wherein the target comprises one of a plurality of targets around a top wall of the chamber claim 1 , wherein no target extends over a center of the chamber claim 1 , the method further comprising controlling an XY shifting device claim 1 , connected to the pallet claim 1 , to enable a center of the pallet to be directly under at least one of the targets.3. The method of wherein the XY shifting device ...

Подробнее
27-04-2017 дата публикации

PHYSICAL VAPOR DEPOSITION SYSTEM USING BACKSIDE GAS COOLING OF WORKPIECES

Номер: US20170114448A1
Принадлежит:

A circular PVD chamber has a plurality of sputtering targets mounted on a top wall of the chamber. A pallet in the chamber is coupled to a motor for rotating the pallet about its center axis. The pallet has a diameter less than the diameter of the circular chamber. The pallet is also shiftable in an XY direction to move the center of the pallet beneath any of the targets so all areas of a workpiece supported by the pallet can be positioned directly below any one of the targets. A scanning magnet is in back of each target and is moved, via a programmed controller, to only be above portions of the workpiece so that no sputtered material is wasted. For depositing a material onto small workpieces, a cooling backside gas volume is created between the pallet and the underside of sticky tape supporting the workpieces. 1. A physical vapor deposition device comprising:a chamber having inner walls, the chamber being configured to create a low pressure environment in the chamber while sputtering materials on a workpiece;a target positioned within the chamber, a front side of the target being directed into the chamber for sputtering material from the target onto the workpiece;a workpiece support platform, the platform having openings for a backside gas;a ring providing a frame for a sticky tape, the sticky tape supporting the workpiece;one or more magnets on the support platform for attracting the ring to the one or more magnets;a ridge on the support platform in contact with an underside of the sticky tape creating a gas seal with respect to the sticky tape as the ring is attracted to the one or more magnets on the support platform, so that an area between the tape and the support platform forms a sealed backside gas volume; anda backside gas channel for introducing a backside gas into the backside gas volume for cooling the workpiece supported by the tape.2. The device of wherein the sticky tape has at least one opening that exposes a back surface of the workpiece to the ...

Подробнее
03-05-2018 дата публикации

Boron Implanting Using A Co-Gas

Номер: US20180122618A1
Принадлежит:

An apparatus and methods of improving the ion beam quality of a halogen-based source gas are disclosed. Unexpectedly, the introduction of a noble gas, such as argon or neon, to an ion source chamber may increase the percentage of desirable ion species, while decreasing the amount of contaminants and halogen-containing ions. This is especially beneficial in non-mass analyzed implanters, where all ions are implanted into the workpiece. In one embodiment, a first source gas, comprising a processing species and a halogen is introduced into a ion source chamber, a second source gas comprising a hydride, and a third source gas comprising a noble gas are also introduced. The combination of these three source gases produces an ion beam having a higher percentage of pure processing species ions than would occur if the third source gas were not used. 1. A method of implanting a workpiece , comprising:energizing a first source gas, comprising a processing species and fluorine, a second source gas, comprising hydrogen and at least one of silicon and germanium, and neon in a chamber to form a plasma in the chamber; andaccelerating ions from the plasma toward the workpiece, wherein the workpiece is disposed in the chamber, wherein an amount of neon, by volume, introduced in the chamber is selected so that a number of pure processing species ions extracted from the plasma as a percentage of all processing species-containing ions increases by at least 5%, as compared to a baseline when neon is not used.2. The method of claim 1 , wherein an amount of pure processing species ions extracted from the plasma as a percentage of all processing species-containing ions increases by at least 10% claim 1 , as compared to the baseline.3. The method of claim 1 , wherein a ratio of a number of fluorine ions to a number of processing species ions extracted from the plasma is decreased by at least 5% claim 1 , as compared to the baseline.4. The method of claim 1 , wherein a beam current of pure ...

Подробнее
14-05-2015 дата публикации

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE DETACHING METHOD

Номер: US20150132969A1
Автор: Koiwa Shingo
Принадлежит:

A substrate processing apparatus includes an electrostatic chuck that includes a chuck electrode and electrostatically attracts a substrate; a direct voltage source that is connected to the chuck electrode and applies a voltage to the chuck electrode; and an evacuation unit that includes a rotor and discharges, via a heat transfer gas discharge pipe, a heat transfer gas supplied to a back surface of the substrate electrostatically-attracted by the electrostatic chuck. The evacuation unit is connected via a power supply line to the direct voltage source, generates regenerative power, and supplies the regenerative power to the direct voltage source. 1. A substrate processing apparatus , comprising:an electrostatic chuck that includes a chuck electrode and electrostatically attracts a substrate;a direct voltage source that is connected to the chuck electrode and applies a voltage to the chuck electrode; andan evacuation unit that includes a rotor and discharges, via a heat transfer gas discharge pipe, a heat transfer gas supplied to a back surface of the substrate electrostatically-attracted by the electrostatic chuck,wherein the evacuation unit is connected via a power supply line to the direct voltage source, generates regenerative power, and supplies the regenerative power to the direct voltage source.2. The substrate processing apparatus as claimed in claim 1 , wherein the evacuation unit generates the regenerative power and supplies the regenerative power to the direct voltage source when supply of power to the direct voltage source is stopped.3. The substrate processing apparatus as claimed in claim 1 , further comprising:a storage battery unit,wherein the evacuation unit generates the regenerative power and supplies the regenerative power via the storage battery unit to the direct voltage source when supply of power to the direct voltage source is stopped.4. The substrate processing apparatus as claimed in claim 1 , further comprising:a gas discharge valve ...

Подробнее
16-04-2020 дата публикации

ATMOSPHERIC PRESSURE PLASMA DEVICE

Номер: US20200118801A1
Принадлежит: FUJI CORPORATION

An atmospheric pressure plasma device including a plasma head; a gas tube configured to supply a gas to the plasma head; a flow rate controller configured to control a flow rate of the gas supplied to the gas tube; a pressure sensor arranged downstream of the flow rate controller and configured to detect a pressure in the gas tube; and a determining section configured to determine a state of the device based on how the pressure in the gas tube deviates from a standard value specified for each flow rate of the supplied gas. As a result, it is possible to determine the gas leakage of the atmospheric pressure plasma device. Further, it is possible to determine whether plasma is being generated in a favorable state. 14.-. (canceled)5. An atmospheric pressure plasma device comprising:a plasma head;a gas tube configured to supply a gas to the plasma head;a flow rate controller configured to control a flow rate of the gas supplied to the gas tube;a pressure sensor arranged downstream of the flow rate controller and configured to detect a pressure in the gas tube; anda determining section configured to determine a state of the device based on how the pressure in the gas tube deviates from a standard value specified for each flow rate of the supplied gas.6. The atmospheric pressure plasma device according to claim 5 , whereinthe determining section is configured to determine that plasma is being generated in the plasma head in a predetermined state when the pressure in the gas tube is equal to or higher than the standard value.7. The atmospheric pressure plasma device according to claim 5 , whereinthe determining section is configured to determine the state of the device as abnormal when the pressure in the gas tube is equal to or lower than the standard value.8. The atmospheric pressure plasma device according to claim 7 , whereinthe determining section is configured to determine a leakage amount of the gas according to a decompression amount of the gas. The present ...

Подробнее
27-05-2021 дата публикации

GAS SUPPLY SYSTEM, PLASMA PROCESSING APPARATUS, AND CONTROL METHOD OF GAS SUPPLY SYSTEM

Номер: US20210159054A1
Автор: JUNG Hwajun, KITAMURA Yuji
Принадлежит: TOKYO ELECTRON LIMITED

A gas supply system is connected between at least one gas source and a chamber having a first and a second gas inlet. The gas supply system includes a flow adjusting unit including flow adjusting lines, each including a pair of a first line and a second line. The first line connects the at least one gas source and the first gas inlet and has a first valve and a first orifice, the second line connects the at least one gas source and the second gas inlet and has a second valve and a second orifice, and the first orifice and the second orifice in each of the flow adjusting lines have the same size. The gas supply system further includes at least one control unit configured to control an opening/closing of the first valve and an opening/closing of the second valve in each of the flow adjusting lines. 1. A gas supply system connected between at least one gas source and a chamber having a first gas inlet and a second gas inlet , comprising:a flow adjusting unit including a plurality of flow adjusting lines, each including a pair of a first line and a second line, the first line connecting the at least one gas source and the first gas inlet and including a first valve and a first orifice, the second line connecting the at least one gas source and the second gas inlet and including a second valve and a second orifice, the first orifice and the second orifice in each of the flow adjusting lines have the same size; andat least one control unit configured to control an opening/closing of the first valve and an opening/closing of the second valve in each of the flow adjusting lines.2. The gas supply system of claim 1 , wherein a size of an orifice of one of the flow adjusting lines is different from a size of an orifice of another one of the flow adjusting lines.3. The gas supply system of claim 2 , wherein the flow adjusting lines include first to sixth flow adjusting lines claim 2 ,diameters of a first orifice and a second orifice in the second flow adjusting line are 5/8 of ...

Подробнее
12-05-2016 дата публикации

Boron-containing dopant compositions, systems and methods of use thereof for improving ion beam current and performance during boron ion implantation

Номер: US20160133427A1
Принадлежит: Praxair Technology Inc

A novel composition, system and method for improving beam current during boron ion implantation are provided. In a preferred aspect, the boron ion implant process involves utilizing B2H6, 11BF3 and H2 at specific ranges of concentrations. The B2H6 is selected to have an ionization cross-section higher than that of the BF3 at an operating arc voltage of an ion source utilized during generation and implantation of active hydrogen ions species. The hydrogen allows higher levels of B2H6 to be introduced into the BF3 without reduction in F ion scavenging. The active boron ions produce an improved beam current characterized by maintaining or increasing the beam current level without incurring degradation of the ion source when compared to a beam current generated from conventional boron precursor materials.

Подробнее
02-05-2019 дата публикации

DRAWING APPARATUS AND CONTROL METHOD THEREOF

Номер: US20190127847A1
Принадлежит: NuFlare Technology, Inc.

A drawing apparatus includes: a drawing part; a cleaning-gas generator; a first valve between the cleaning-gas generator and the drawing part and adjusting a supply amount of gas to the drawing part; a first pressure gauge measuring a pressure in the drawing part; a compensation-gas introducing part introducing compensation-gas to be supplied between the cleaning-gas generator and the first valve; a second valve between the compensation-gas introducing part and the first valve and adjusting a supply amount of the compensation-gas; 1. A drawing apparatus comprising:a drawing part configured to irradiate a charged particle beam to a processing target and draw a predetermined pattern on the processing target;a cleaning gas generator configured to generate cleaning gas to be supplied to the drawing part;a first valve provided between the cleaning gas generator and the drawing part and configured to adjust a supply amount of gas to the drawing part;a first pressure gauge configured to measure a pressure in the drawing part;a compensation-gas introducing part configured to introduce compensation gas to be supplied between the cleaning gas generator and the first valve;a second valve provided between the compensation-gas introducing part and the first valve and configured to adjust a supply amount of the compensation gas; anda valve controller configured to control the first and second valves, whereinthe valve controller controls the first valve to supply the cleaning gas at a predetermined flow rate to the drawing part and controls the second valve to cause a pressure in the drawing part to be a predetermined pressure when the first pressure gauge detects a pressure reduction due to a reduction in a supply flow rate of the cleaning gas.2. The apparatus of claim 1 , whereinthe cleaning gas is ozone gas, andthe compensation-gas is inert gas or oxygen gas.3. The apparatus of claim 1 , further comprising a flowmeter configured to measure a flow rate of the cleaning gas ...

Подробнее
03-06-2021 дата публикации

Plasma processing apparatus and gas introducing method

Номер: US20210166918A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus includes a chamber having a sidewall and a plasma processing space surrounded by the sidewall, and a first side gas inlet line and a second side gas inlet line configured to introduce at least one gas from the sidewall into the plasma processing space. The first side gas inlet line includes a plurality of first side gas injectors symmetrically arranged along a circumferential direction on the sidewall and configured to introduce the gas in a first direction into the plasma processing space. Further, the second side gas inlet line includes a plurality of second side gas injectors symmetrically arranged along the circumferential direction on the sidewall and configured to introduce the gas in a second direction different from the first direction into the plasma processing space.

Подробнее
09-05-2019 дата публикации

HYDROGENATED ISOTOPICALLY ENRICHED BORONT TRIFLUORIDE DOPANT SOURCE GAS COMPOSITION

Номер: US20190136069A1
Принадлежит:

A hydrogenated isotopically enriched boron trifluoride (BF3) dopant source gas composition. The composition contains (i) boron trifluoride isotopically enriched above natural abundance in boron of atomic mass 11 (UB), and (ii) hydrogen in an amount of from 2 to 6.99 vol. %, based on total volume of boron trifluoride and hydrogen in the composition. Also described are methods of use of such dopant source gas composition, and associated apparatus therefor. 1. A hydrogenated isotopically enriched boron trifluoride (BF3) dopant source gas composition , said composition comprising (i) boron trifluoride isotopically enriched above natural abundance in boron of atomic mass 11 (11B) , and (ii) hydrogen in an amount of from 2 to 6.5 vol. % , based on total volume of boron trifluoride and hydrogen in the composition.2. The composition of claim 1 , wherein the boron trifluoride isotopically enriched above natural abundance in boron of atomic mass 11 (11B) is isotopically enriched above an enrichment level selected from the group consisting of 80.1% claim 1 , 85% claim 1 , 88% claim 1 , 90% claim 1 , 95% claim 1 , 96% claim 1 , 97% claim 1 , 98% claim 1 , 99% claim 1 , 99.5% claim 1 , 99.9% claim 1 , 99.99% claim 1 , 99.995% claim 1 , and 99.999%.3. The composition of claim 1 , wherein the boron trifluoride isotopically enriched above natural abundance in boron of atomic mass 11 (11B) is isotopically enriched above 99%.4. The composition of claim 1 , wherein hydrogen is present in an amount of from 3 to 6.5 vol. % claim 1 , based on total volume of boon trifluoride and hydrogen in said composition.5. The composition of claim 1 , wherein hydrogen is present in an amount of from 4 to 6 vol. % claim 1 , based on total volume of boron trifluoride and hydrogen in said composition.6. The composition of claim 1 , wherein hydrogen is present in an amount of 5 vol. % claim 1 , based on total volume of boron trifluoride and hydrogen in said composition.7. A hydrogenated isotopically ...

Подробнее
18-05-2017 дата публикации

Device for generating a composition-controlled and intensity-controlled ionic flow and related method

Номер: US20170140894A1
Принадлежит: NANOTECH ANALYSIS S.R.L.S.

A device for generating a controlled ionic flow I is described. The device is portable and comprises an ionization chamber , at least one inlet member and at least one ion outlet member . The ionization chamber is suitable to be kept at a vacuum pressure, and configured to ionize gaseous particles contained therein. The at least one inlet member is configured to inhibit or allow and/or adjust an inlet in the ionization chamber of a gaseous flow Fi of said gaseous particles. In addition, the at least one inlet member comprises a gaseous flow adjusting interface , having a plurality of nano-holes , of sub-micrometric dimensions, suitable to be opened or closed, in a controlled manner, to inhibit or allow a respective plurality of gas micro-flows through the at least one inlet member 1. A device for generating a controlled ion flow (I) , the device being portable and comprising:an ionization chamber, suitable to be kept at a vacuum pressure, and configured to ionize gaseous particles contained therein;at least one inlet member, configured to inhibit or allow and/or adjust an inlet in the ionization chamber of a gaseous flow (Fi) of said gaseous particles;at least one ion outlet member, configured to inhibit or allow and/or adjust an output gaseous flow (Fo) and an ion flow (I), exiting the ionization chamber, of the generated ions;wherein the at least one inlet member comprises a gaseous flow adjusting interface, having a plurality of nano-holes, of sub-micrometric dimensions, suitable to be opened or closed, in a controlled manner, to inhibit or allow a respective plurality of gas micro-flows through the at least one inlet member;and wherein the at least one outlet member comprises an orifice, suitable to be opened or closed, in a controlled manner, so as to control an output conductance for the output gaseous flow (Fo).2. The device according to claim 1 , further comprising control means claim 1 , comprising:inlet actuating means, of the at least one inlet member, ...

Подробнее
25-05-2017 дата публикации

Iridium Tip, Gas Field Ion Source, Focused Ion Beam Apparatus, Electron Source, Electron Microscope, Electron Beam Applied Analysis Apparatus, Ion-Electron Multi-Beam Apparatus, Scanning Probe Microscope, and Mask Repair Apparatus

Номер: US20170148603A1
Принадлежит:

There is provided an iridium tip including a pyramid structure having one {100} crystal plane as one of a plurality of pyramid surfaces in a sharpened apex portion of a single crystal with <210> orientation. The iridium tip is applied to a gas field ion source or an electron source. The gas field ion source and/or the electron source is applied to a focused ion beam apparatus, an electron microscope, an electron beam applied analysis apparatus, an ion-electron multi-beam apparatus, a scanning probe microscope or a mask repair apparatus. 1. A gas field ion source comprising:an iridium tip comprising a pyramid structure having one {100} crystal plane as one of a plurality of pyramid surfaces in a sharpened apex portion of a single crystal with <210> orientation, the iridium tip being an emitter which is configured to emit an ion beam;an ion source chamber which accommodates the emitter;a gas supply section which is configured to supply a gas to be ionized, to the ion source chamber;an extraction electrode which is configured to ionize the gas to generate ions of the gas and apply a voltage for extracting the ions of the gas from the emitter; anda temperature control section which is configured to cool the emitter.2. The gas field ion source according to claim 1 ,wherein a main component of the gas is at least any one of hydrogen, nitrogen, oxygen, helium, neon, argon, krypton, and xenon, or a mixture of at least any of these gases.3. The gas field ion source according to claim 1 ,wherein a main component of the gas is nitrogen.4. The gas field ion source according to claim 3 ,wherein a purity of nitrogen which is the main component of the gas is 99% or more.5. A focused ion beam apparatus comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'the gas field ion source according to ; and'}a control section which is configured to form a focused ion beam with the ions of the gas generated in the gas field ion source and irradiate a sample with the focused ion beam ...

Подробнее
02-06-2016 дата публикации

Ion Milling Device and Processing Method Using the Ion Milling Device

Номер: US20160155602A1
Принадлежит:

This ion milling device is provided with a vacuum chamber (), an exhaust device () for evacuating the interior of the vacuum chamber, a sample stage () for supporting a sample () to be irradiated inside the vacuum chamber, a heater () for heating the interior of the vacuum chamber, a gas source () for introducing into the vacuum chamber a gas serving as a heating medium, and a controller () for controlling the gas source, the controller controlling the gas source so that the vacuum chamber internal pressure is in a predetermined state during heating by the heater. This enables the control in a short time of the temperature for suppressing condensation, or the like, occurring at atmospheric release after cooling and ion milling a sample. 1. An ion milling device provided with a vacuum chamber , an exhaust device for evacuating an interior of the vacuum chamber , and a sample stage for supporting a sample to be irradiated with an ion beam inside the vacuum chamber , comprising:a heater for heating the interior of the vacuum chamber;a gas source for introducing a gas into the vacuum chamber; anda controller for controlling the gas source,wherein the controller controls the gas source so that vacuum chamber internal pressure is in a predetermined state during heating by the heater.2. The ion milling device according to claim 1 , wherein the controller controls the gas source and the exhaust device so that the vacuum chamber internal pressure is in a predetermined state.3. The ion milling device according to claim 1 , wherein the gas to be introduced from the gas source into the vacuum chamber is a monatomic molecule rare gas.4. The ion milling device according to claim 1 , further comprising:a measuring instrument for measuring the vacuum chamber internal pressure,wherein the controller controls the gas source so that output of the measuring instrument is in a predetermined condition.5. The ion milling device according to claim 1 , further comprising:a thermometer for ...

Подробнее
02-06-2016 дата публикации

MULTIPLE GAS INJECTION SYSTEM

Номер: US20160155607A1
Принадлежит: FEI COMPANY

A multi-positional valve is used to control the destination of gas flows from multiple gas sources. In one valve position the gases flow to an isolated vacuum system where the flow rate and mixture can be adjusted prior to introduction into a sample vacuum chamber. In another valve position the pre-mixed gases flow from the isolated vacuum chamber and through a needle into the sample vacuum chamber. 117-. (canceled)18. A method of providing a first gas to a surface of a work piece in a system that uses a beam to process the work piece in the presence of the first gas , the system including a first gas source for providing the first gas , a beam source for providing the beam , and a needle for injecting the first gas from the first gas source to the work piece , the method comprising:causing the first gas to flow along a first path from the first gas source to a valve;establishing a first desired flow rate for the first gas by adjusting the gas flow rate of The first gas while the valve is configured to divert the first gas to a second path that does not lead to the needle; andafter the first desired flow rate is established, directing the first gas to the needle to provide the first gas to the surface of the work piece at the first desired flow rate.19. The method of in which establishing the first desired flow rate includes establishing a desired flow rate of the first gas while the valve is configured to divert the first gas to a variable speed vacuum pump.20. The method of in which establishing the first desired flow rate includes obtaining measurements of the gas flow in the second path.21. The method of further comprising claim 18 , before directing the First gas to the needle:causing a second gas to flow from a second gas source to the valve; andestablishing a second desired gas flow rate for the second gas.22. The method of in which establishing the second desired flow rate for the second gas includes:measuring the flow of the first gas in the second path; ...

Подробнее
31-05-2018 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20180151332A1
Принадлежит: TOKYO ELECTRON LIMITED

A plasma processing apparatus includes a microwave output unit, a wave guide tube, a tuner, a demodulation unit, and a calculation unit. The microwave output unit outputs a microwave having power corresponding to setting power while frequency-modulating the microwave in a setting frequency range. The wave guide tube guides the microwave to an antenna of a chamber main body. The tuner is provided in the wave guide tube and adjusts a position of a movable plate. The demodulation unit is provided in the wave guide tube, and acquires travelling wave power and reflected wave power for each frequency. The calculation unit calculates a frequency at which a reflection coefficient, which is calculated on the basis of the travelling wave power and the reflected wave power, for each frequency becomes a minimum point as an absorption frequency. 1. A plasma processing apparatus , comprising:a microwave output unit configured to output a microwave having power corresponding to setting power while frequency-modulating the microwave in a setting frequency range;a wave guide tube configured to guide the microwave, which is output by the microwave output unit, to an antenna of a chamber main body;a tuner provided in the wave guide tube and configured to adjust a position of a movable plate so that impedance on the microwave output unit side and impedance on the antenna side match each other;a demodulation unit provided in the wave guide tube and configured to acquire travelling wave power that is power of a travelling wave that travels on an inner side of the wave guide tube, and reflected wave power that is power of a reflected wave from the antenna side for each frequency; anda calculation unit configured to calculate a frequency at which a reflection coefficient, which is calculated on the basis of the travelling wave power and the reflected wave power, for each frequency becomes a minimum point as an absorption frequency.2. A plasma processing apparatus , comprising:a microwave ...

Подробнее
16-05-2019 дата публикации

Method and Apparatus for Anisotropic Pattern Etching and Treatment

Номер: US20190148109A1
Принадлежит:

Methods and apparatuses for providing an anisotropic ion beam for etching and treatment of substrate are discussed. In one embodiment, a system for processing a substrate includes a chamber, a chuck assembly, an ion source, and a grid system. The ion source includes grid system interfaces both the chamber and the ion source and includes a plurality of holes through which ions are extracted from the ion source to form an ion beam. The size of the plurality holes varies along an axis such that the ion density of the ion beam also varies along the axis. The density of the plurality of holes varies along an axis such that the ion density of the ion beam also varies along the axis. In some embodiments, the energies of a beamlet or multiple beamlets may be individual defined to adjust beam energy density. 1. A system for processing a substrate , comprising:a chamber; a substrate support;', 'a tilt assembly for tilting the substrate support; and', 'a rotation assembly for rotating the substrate support;, 'a chuck assembly disposed in the chamber including,'}an ion source coupled to the chamber and directionally oriented toward the substrate support of the chuck assembly, wherein the ion source is configured to generate ions when a plasma is struck; anda grid system that interfaces with the chamber on one side and with the ion source on another side, the grid system includes an array of holes for extracting ions from the ion source and for forming an ion beam, the grid system is oriented so the ion beam is directed into the chamber toward the substrate support, the array of holes of the grid system is defined vertically by a y-axis and horizontally by an x-axis, wherein the array of holes is defined by hole sizes that vary vertically in the y-axis such that the ion beam is caused to have an energy density gradient that is defined vertically in the y-axis.2. The system of claim 1 , wherein the array of holes is further defined by a hole size that does not vary horizontally ...

Подробнее
07-05-2020 дата публикации

ELECTRON MICROSCOPE SAMPLE HOLDER FLUID HANDLING WITH INDEPENDENT PRESSURE AND FLOW CONTROL

Номер: US20200144020A1
Принадлежит:

A fluid metering system for gas independent pressure and flow control through an electron microscope sample holder includes: a pressure control system that supplies gas; an inlet line providing gas from the pressure control system to the sample holder; an outlet line receiving gas from the sample holder; and a variable leak valve that controls gas flow in the outlet line. The gas flows from an upstream tank of the pressure control system through the sample holder and variable leak valve to a downstream tank of the pressure control system due to the pressure difference of the two tanks as the variable leak valve meters flow in the outlet line. Flow rates are established by monitoring pressure changes at source and collection tanks of known volumes with gas independent pressure gauges. A method of directing the gas flow to a residual gas analyzer (RGA) is also presented. 1. A system for controlling fluid flow through an electron microscope sample holder , the system comprising:a pressure control system that supplies gas;an inlet line for providing the gas from the pressure control system to the sample holder;an outlet line for receiving the gas from the sample holder; anda variable leak valve that meters the gas flow in the outlet line.2. The system of claim 1 , further comprising a boom for supporting the variable leak valve in proximity to the sample holder.3. The system of claim 1 , wherein the gas flows from an upstream tank of the pressure control system through the sample holder and variable leak valve to a downstream tank of the pressure control system due to a pressure difference between the upstream tank and the downstream tank.4. The system of claim 1 , further comprising an onboard gate valve at the sample holder.5. The system of claim 1 , further comprising an inline residual gas analyzer (RGA) between the variable leak valve and pressure control system.6. The system of claim 5 , wherein the variable leak valve is mounted directly to the RGA.7. The system ...

Подробнее
09-06-2016 дата публикации

Boron Implanting Using A Co-Gas

Номер: US20160163510A1

An apparatus and methods of improving the ion beam quality of a halogen-based source gas are disclosed. Unexpectedly, the introduction of a noble gas, such as argon, to an ion source chamber may increase the percentage of desirable ion species, while decreasing the amount of contaminants and halogen-containing ions. This is especially beneficial in non-mass analyzed implanters, where all ions are implanted into the workpiece. In one embodiment, a first source gas, comprising a dopant and a halogen is introduced into an ion source chamber, a second source gas comprising a hydride, and a third source gas comprising a noble gas are also introduced. The combination of these three source gases produces an ion beam having a higher percentage of pure dopant ions than would occur if the third source gas were not used.

Подробнее
24-06-2021 дата публикации

GERMANIUM TETRAFLOURIDE AND HYDROGEN MIXTURES FOR AN ION IMPLANTATION SYSTEM

Номер: US20210189550A1
Принадлежит:

The current disclosure is directed to methods and assemblies configured to deliver a mixture of germanium tetrafluoride (GeF) and hydrogen (H) gases to an ion implantation apparatus, so His present in an amount in the range of 25%-67% (volume) N of the gas mixture, or the GeFand Hare present in a volume ratio (GeF:H) in the range of 3:1 to 33:67. The use of the Hgas in an amount in mixture or relative to the GeFgas prevents the volatilization of cathode material, thereby improving performance and lifetime of the ion implantation apparatus. Gas mixtures according to the disclosure also result in a significant Ge current gain and W peak reduction during an ion implantation procedure. 1. A gas supply assembly for providing a gas mixture comprising germanium tetrafluoride (GeF) and hydrogen (H) gases in an ion implantation chamber comprising:{'sub': 4', '2', '4', '2', '2', '4', '2', '4', '2, 'one or more fluid supply package(s) comprising GeFand Hwherein the assembly is configured to provide a gas mixture comprising GeFand Han ion implantation chamber, wherein His present in an amount in the range of 25%-67% (volume) of the gas mixture, or GeFand Hare present in a volume ratio (GeF:H) in the range of 3:1 to 33:67.'}2. The gas supply assembly of wherein His present in an amount in the range of 37-67% of the gas mixture claim 1 , or GeFand Hare present in a volume ratio (GeF:H) in the range of 63:37 to 33:67.3. The gas supply assembly of wherein His present in an amount in the range of 42-62% of the gas mixture claim 1 , or GeFand Hare present in a volume ratio (GeF:H) in the range of 29:21 to 19:314. The gas supply assembly of wherein His present in an amount in the range of 45-59% of the gas mixture claim 1 , or GeFand Hare present in a volume ratio (GeF:H) in the range of 11:9 to 41:595. The gas supply assembly of wherein His present in an amount in the range of 47-57% of the gas mixture claim 1 , or GeFand Hare present in a volume ratio (GeF:H) in the range of 53:47 ...

Подробнее
16-06-2016 дата публикации

TARGET AGE COMPENSATION METHOD FOR PERFORMING STABLE REACTIVE SPUTTERING PROCESSES

Номер: US20160168686A1
Принадлежит: Oerlikon Surface Solutions AG, Trubbach

A method for performing reactive sputtering processes while maintaining the sputtering characteristic at: the target as well as the deposition rate constant, or at least in an acceptable range for the industrial production context, independent of the target age. 1. A method for performing a coating process involving sputtering techniques comprising:{'sub': reactive', '_', 'gas', 'target, 'sputtering at least one target in an atmosphere comprising at least one reactive gas and maintaining sputtering characteristic values and/or coating rate within predefined target values as constant as possible, wherein a deviation of the sputtering characteristic values and/or of the coating rate values from the target values is maintained within an acceptable deviation range for an industrial production context by adjusting a reactive gas partial pressure pdepending on the a target weight w.'}2. The method according to claim 1 , comprising operating the target as a cathode by supplying power in such a manner that a power density at the target is maintained constant during sputtering of the target.3. The method according to claim 1 , comprising adjusting the reactive gas partial pressure pdepending claim 1 , on the target weight waccording to a correlation pvs. wpreviously determined under corresponding coating conditions.4. The method according to claim 3 , comprising determining the correlation pvs. wbefore performing the coating process by using a method comprising at least following steps:{'claim-ref': {'@idref': 'CLM-00003', 'claim 3'}, 'a) Providing a coating apparatus and further necessary elements as well as at least one in-new-condition target, of the same type required for accomplishing a coating process in compliance with the coating process referred to in ;'}{'sub': target', '_', 'i', '_', 'initial, 'b) Measuring the target weight before accomplishing a coating process i for obtaining W;'}{'sub': i', 'reactive', '_', 'gas', '_', 'i', 'reactive', '_', 'gas', '_', 'i', 'i ...

Подробнее
16-06-2016 дата публикации

REMOTE DELIVERY OF CHEMICAL REAGENTS

Номер: US20160172164A1
Принадлежит: ENTEGRIS, INC.

Fluid storage and dispensing systems and methods for remote delivery of fluids are described, for providing fluid from a source vessel at lower voltage to one or more fluid-utilizing tools at higher voltage, so that the fluid crosses the associated voltage gap without arcing, discharge, premature ionization, or other anomalous behavior, and so that when multiple fluid-utilizing tools are supplied by the remote source vessel, fluid is efficiently supplied to each of the multiple tools at suitable pressure level during the independent operation of others of the multiple vessels. 1. A fluid supply system for delivery of fluid from a fluid supply source vessel at a relatively lower voltage to at least one fluid-utilizing tool at a relatively higher voltage wherein the fluid passes through a corresponding voltage differential , said fluid supply system comprising the fluid supply source vessel , and at least one fluid management apparatus selected from the group consisting of:(a) a fluid delivery flow circuit comprising a fluid delivery line adapted for coupling to the fluid supply vessel to flow fluid from the fluid supply vessel through the voltage differential to the fluid-utilizing tool, a dielectric interface adapted for coupling to the fluid delivery line to separate lower voltage and higher voltage segments of the fluid delivery line from one another, a first pressure regulator and a flow control component or assembly in the lower voltage segment of the fluid delivery line, a second pressure regulator in the higher voltage segment of the fluid delivery line, wherein the first and second pressure regulators are adapted to regulate pressure of fluid flowed through the fluid delivery line from the fluid supply vessel to the fluid-utilizing tool to reduce pressure variation of fluid flowed to the fluid-utilizing tool;(b) an electric current monitor adapted to monitor current in the fluid supply source vessel and output a signal correlative to said current in an event ...

Подробнее
25-06-2015 дата публикации

Electron microscope and electron microscope sample retaining device

Номер: US20150179396A1
Принадлежит: Hitachi High Technologies Corp

An object of the invention is to provide an electron microscope which can easily and safely prepare a gas or liquid environment in the electron microscope and can observe a specimen in the environment and a reaction of the specimen at a high resolution and to provide a specimen holder for the electron microscope. In the electron microscope including specimen holding means ( 6 ) for holding a specimen ( 23 ), the specimen ( 23 ) is placed in a capillary ( 17 ) through which electron beams are transmittable, the electron microscope includes a supply device for supplying gas or liquid into the capillary ( 17 ) and a collection device for collecting the gas or the liquid, and the electron microscope obtains a specimen image of the specimen while flowing the gas or the liquid.

Подробнее
30-06-2016 дата публикации

HIGH CONDUCTANCE PROCESS KIT

Номер: US20160189936A1
Принадлежит:

Apparatus for plasma processing of semiconductor substrates. Aspects of the apparatus include an upper shield with a gas diffuser arranged at a center of the upper shield. The gas diffuser and upper shield admit a process gas to a processing chamber in a laminar manner. A profile of the upper shield promotes radial expansion of the process gas and radial travel of materials etched from a surface of the substrates. Curvatures of the upper shield direct the etched materials to a lower shield with reduced depositing of etched materials on the upper shield. The lower shield also includes curved surfaces that direct the etched materials toward slots that enable the etched materials to exit from the process chamber with reduced depositing on the lower shield. 1. A process kit for a plasma processing chamber , the apparatus comprising: an interior cylindrical liner defining a cylindrical volume, wherein a bottom edge of the cylindrical liner defines a plane; and', 'a circular interior top surface, wherein a center of the circular interior top surface includes a circular aperture, wherein the circular interior top surface includes a first portion extending radially outward from the aperture in which a distance from the interior top surface to the plane increases as the distance from the aperture increases, wherein the circular top surface includes a second portion extending radially outward from the first portion in which the interior top surface smoothly curves toward and mates with an inward-facing surface of the cylindrical liner., 'an upper shield comprising2. The process kit of claim 1 , further comprising a gas diffuser arranged in the circular aperture of the upper shield claim 1 , the gas diffuser comprising:a cylindrical housing that defines a cylindrical exterior surface, wherein the cylindrical exterior surface and the circular aperture define an annular gap;an interior cylindrical cavity in a side of the housing facing away from the cylindrical volume, wherein ...

Подробнее
13-06-2019 дата публикации

Specimen Holder and Charged Particle Beam Device Provided with Same

Номер: US20190180978A1
Принадлежит:

The present invention addresses a problem of providing a specimen holder capable of observing phenomena on the surface and in the inner part of a specimen, the phenomena being generated in different gas spaces, and a charged particle beam device provided with the specimen holder. In order to solve this problem, a specimen holder for a charged particle beam device which observes a specimen using a charged particle beam is configured such that the specimen holder includes a first gas injection nozzle capable of injecting a first gas to a first portion of a specimen, a second gas injection nozzle capable of injecting a second gas to a second portion of the specimen, the second portion being different from the first portion, and a partition part provided between the first gas injection nozzle and the second gas injection nozzle. 1. A specimen holder for a charged particle beam device which observes a specimen using a charged particle beam , wherein the specimen holder includesa first gas injection nozzle capable of injecting a first gas to a first portion of the specimen,a second gas injection nozzle capable of injecting a second gas, which is different from the first gas, to a second portion, which is different from the first portion, of the specimen, anda partition part provided between the first gas injection nozzle and the second gas injection nozzle.2. The specimen holder according to claim 1 , whereina first electrode is electrically connected to a third portion of the specimen positioned closer to the first gas injection nozzle side than the partition part, anda second electrode is electrically connected to a fourth portion of the specimen positioned closer to the second gas injection nozzle side than the partition part.3. The specimen holder according to claim 2 , whereinthe first electrode provided separately from the first gas injection nozzle is in contact with the third portion of the specimen, andthe second electrode provided separately from the second gas ...

Подробнее
15-07-2021 дата публикации

SPUTTERING APPARATUS INCLUDING GAS DISTRIBUTION SYSTEM

Номер: US20210217586A1
Автор: Hartig Klaus
Принадлежит:

Some embodiments provide a magnetron sputtering apparatus including a vacuum chamber within which a controlled environment may be established, a target comprising one or more sputterable materials, wherein the target includes a racetrack-shaped sputtering zone that extends longitudinally along a longitudinal axis and comprises a straightaway area sandwiched between a first turnaround area and a second turnaround area, a gas distribution system that supplies a first gas mixture to the first turnaround area and/or the second turnaround area and supplies a second gas mixture to the straightaway area, wherein the first gas mixture reduces a sputtering rate relative to the second gas mixture. In some cases, the first gas mixture includes inert gas having a first atomic weight and the second gas mixture includes inert gas having a second atomic weight, wherein the second atomic weight is heavier than the first atomic weight. 1. A method of using a magnetron sputtering apparatus that comprises a vacuum chamber having a controlled environment , the magnetron sputtering apparatus including a target comprising one or more sputterable materials , wherein the target includes a sputtering zone that is racetrack shaped and extends longitudinally along a longitudinal axis , the sputtering zone including two straightaway areas sandwiched between first and second turnaround areas , the magnetron sputtering apparatus further including a gas distribution system comprising a plurality of interfaces located along the longitudinal axis , wherein the plurality of interfaces comprises a plurality of first interfaces and a plurality of second interfaces , the first interfaces positioned at each of the first and second turnaround areas to supply a first gas mixture to both of the first and second turnaround areas , such that the first gas mixture controls sputtering rate at localized areas of both of the first and second turnaround areas , whereas the second interfaces are positioned at each ...

Подробнее
16-07-2015 дата публикации

SPUTTERING DEVICE AND GAS SUPPLY PIPE FOR SPUTTERING DEVICE

Номер: US20150200077A1
Принадлежит:

A sputtering device and a gas supply pipe for a sputter device are disclosed. In one aspect, the sputtering device includes a chamber, a stage located in the chamber and configured to receive a substrate thereon, and a plurality of gas supply pipes arranged substantially parallel to each other. The gas supply pipes have a plurality of gas supply holes and the gas supply pipes are configured to supply gas into the chamber. The sputtering device further includes at least one exhaust pump placed at a side of the chamber, wherein the exhaust pump is substantially symmetrically arranged with respect to a center axis of the side of the chamber. 1. A sputtering device , comprising:a chamber;a stage located in the chamber and configured to receive a substrate thereon;a plurality of gas supply pipes arranged substantially parallel to each other, wherein the gas supply pipes have a plurality of gas supply holes and wherein the gas supply pipes are configured to supply gas into the chamber; andat least one exhaust pump placed at a side of the chamber, wherein the exhaust pump is substantially symmetrically arranged with respect to a center axis of the side of the chamber.2. The sputtering device of claim 1 , wherein at least one target opposing the stage is interposed between the exhaust pump and the stage.3. The sputtering device of claim 2 , wherein the gas supply pipes are interposed between the target and the stage.4. The sputtering device of claim 3 , wherein the gas supply pipes are configured to emit the gas toward the target or the stage.5. The sputtering device of claim 2 , wherein the at least one target comprises a plurality of targets and wherein the gas supply pipes are alternately arranged with the targets.6. The sputtering device of claim 2 , wherein the gas supply pipes are located behind the stage and are configured to emit the gas toward the stage and wherein the target is interposed between the gas supply pipes and the stage.7. The sputtering device of claim ...

Подробнее
11-06-2020 дата публикации

PARTICLE BEAM SYSTEM AND METHOD FOR OPERATING A PARTICLE BEAM SYSTEM

Номер: US20200185185A1
Автор: Essers Erik
Принадлежит:

A particle beam system for examining and processing an object includes an electron beam column and an ion beam column with a common work region, in which an object may be disposed and in which a principal axis of the electron beam column and a principal axis of the ion beam column meet at a coincidence point. The particle beam system further includes a shielding electrode that is disposable between an exit opening of the ion beam column and the coincidence point. The shielding electrode is able to be disposed closer to the coincidence point than the electron beam column. 1. A particle beam system , comprising:an electron beam column configured to generate an electron beam;an ion beam column configured to generate an ion beam; anda shielding electrode, the electron beam column and the ion beam column have a common work region;', 'the common work region comprises a coincidence point at which a principal axis of the electron beam column and a principal axis of the ion beam column meet;', 'the ion beam column comprises an exit opening from which the ion beam emerges from the ion beam column during use of the ion beam column;', 'when the shielding electrode is disposed in a first position, the shielding electrode at least partly surrounds the principal axis of the ion beam column between the exit opening of the ion beam column and the coincidence point; and', 'a shielding electrode-coincidence point distance is a shortest distance between the shielding electrode disposed in the first position and the coincidence point;', 'an electron beam column-coincidence point distance is a shortest distance between the electron beam column and the coincidence point;', 'the shielding electrode-coincidence point distance is less than the electron beam column-coincidence point distance., 'wherein2. The particle beam system of claim 1 , wherein claim 1 , when the shielding electrode is in the first position claim 1 , a ratio of the shielding electrode-coincidence point distance to the ...

Подробнее
11-06-2020 дата публикации

Vacuum Cooling Apparatus and Ion Milling Apparatus

Номер: US20200185187A1
Автор: Negishi Tsutomu
Принадлежит:

An ion milling apparatus includes a sample holder, a vacuum chamber, an evacuation section, a vacuum gauge, a heater, a gas inlet assembly, and a control section. The evacuation section vents gas in the interior space of the vacuum chamber. The vacuum gauge measures the pressure in the interior space of the vacuum chamber. The heater heats the sample holder. The gas inlet assembly admits a dry gas containing no moisture into the interior space of the vacuum chamber. When the pressure in the interior space has reached below a given pressure, the control section controls the gas inlet assembly based on information about the pressure in the interior space so as to admit the dry gas into the vacuum chamber. 1. A vacuum cooling apparatus comprising:a sample holder for holding a sample;a vacuum chamber having an interior space where the sample holder is positioned;an evacuation section for venting gas in the interior space of the vacuum chamber;a vacuum gauge for measuring pressure in the interior space of the vacuum chamber;a heater for heating the sample holder;a gas inlet assembly for admitting a dry gas containing no moisture into the interior space of the vacuum chamber; anda control section which, when the pressure in the interior space has reached below a given pressure, controls the gas inlet assembly based on information about the pressure in the interior space measured by the vacuum gauge so as to admit the dry gas into the vacuum chamber.2. A vacuum cooling apparatus as set forth in claim 1 , wherein when the dry gas is admitted into the interior space from the gas inlet section claim 1 , the controller controls the evacuation section such that a pumping capacity of the evacuation section is smaller than an amount of the dry gas admitted by the gas inlet assembly.3. A vacuum cooling apparatus as set forth in claim 2 , wherein when the dry gas is admitted into the interior space from the gas inlet assembly claim 2 , the controller stops operation of the ...

Подробнее
11-06-2020 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20200185199A1
Автор: TATESHITA Koichi
Принадлежит:

According to one embodiment of the present disclosure, there is provided a plasma processing apparatus for performing plasma processing on a substrate in a processing container, the plasma processing apparatus comprising: an upper electrode; a power feeding rod; a gas diffusion plate having a plurality of ejection holes and disposed below the upper electrode; a gas introduction member; an insulation introduction member; a plurality of gas supply paths; and a confluence provided directly below a connection between the upper electrode and the power feeding rod, and configured to cause the processing gas from the gas supply paths to merge, wherein the processing gas after merging in the confluence flows in a space that is formed above the gas diffusion plate and communicating with the ejection holes. 1. A plasma processing apparatus for performing plasma processing on a substrate in a processing container , the plasma processing apparatus comprising:an upper electrode disposed in an upper side in the processing container;a power feeding rod configured to supply high-frequency power from a plasma source to a center of the upper electrode via a matcher;a gas diffusion plate having a plurality of ejection holes and disposed below the upper electrode;a gas introduction member configured to introduce a processing gas into the processing container, the gas introduction member including an annular portion that is disposed above the upper electrode and has a shape surrounding the power feeding rod, a gas flow path being formed in the annular portion;an insulation introduction member having a cylindrical shape and provided below the annular portion;a plurality of gas supply paths formed inside the insulation introduction member in a vertical direction to communicate with the gas flow path; anda confluence provided directly below a connection between the upper electrode and the power feeding rod, and configured to cause the processing gas from the gas supply paths to merge, ...

Подробнее
23-07-2015 дата публикации

ION IMPLANTATION DEVICE

Номер: US20150206710A1
Принадлежит: LINTEC Corporation

The disclosed ion implantation apparatus has a vacuum chamber a roller electrode having a portion of an outer circumferential part on which a film is wound, voltage application unit for applying a voltage to the roller electrode, and. a gas introduction unit having a. gas supply outlet for supplying an ion implantation gas into the vacuum chamber, wherein the gas introduction unit and a gas discharge outlet are disposed, so as to be opposite each other along the axial direction of the roller electrode, the roller electrode intervening therebetween. 1. An ion implantation apparatus comprises:a vacuum chamber,a roller electrode having a portion ox an outer circumferential part on which a film is wound,voltage application means for applying a voltage to the roller electrode,a gas introduction unit having a gas supply outlet for supplying an ion implantation gas into the vacuum chamber, anda gas discharge outlet for discharging the gas present in the gas introduction unit and in the vacuum chamber,wherein the gas introduction unit and the gas discharge outlet are disposed so as to be opposite each other along the axial direction of the roller electrode, the roller electrode intervening therebetween.2. An ion implantation apparatus according to claim 1 , wherein the gas introduction unit is provided with a plurality of gas supply outlets.3. An ion implantation apparatus according to claim 2 , wherein the gas supply outlets are disposed so as to be separated from one another and be opposite a peripheral portion of an axial end of the roller electrode.4. An ion implantation apparatus according to claim 1 , which has a flow straightening member disposed between the roller electrode and the inner wall of the vacuum chamber claim 1 , along the direction of gas flow from the gas supply outlets to the gas discharge outlet.5. An ion implantation apparatus according to claim 4 , wherein claim 4 , the flow straightening member is formed of a conductive metal.6. An ion implantation ...

Подробнее
14-07-2016 дата публикации

Electron Microscope

Номер: US20160203943A1
Принадлежит: Hitachi High Technologies Corp

Provided is an electron microscope wherein a detector requiring the application of a voltage is used to obtain a micrograph from a sample placed in a gas atmosphere. The electron microscope is provided with a gas inlet device for emitting gas onto a sample, and a gas control device controlling the amount of gas emitted by the gas inlet device so that, during the gas emission by the gas inlet device, the degree of vacuum within the space where the detector ( 49 - 51, 55 ) is installed is continuously maintained to less than a set value.

Подробнее
22-07-2021 дата публикации

CRYO NANOMANIPULATOR PROBE WITH INTEGRATED GAS INJECTION

Номер: US20210225610A1
Принадлежит:

A probe assembly for use with a charged particle instrument includes an elongate body having a proximal end for positioning outside of a charged particle instrument enclosed environment, a distal end for positioning within the enclosed environment and a longitudinal axis. A port interface is located on the body between the proximal and distal ends, and is coupleable to a nanomanipulator system of the charged particle instrument. A probe needle is positioned at a distal end of the body and is selectively positionable from outside the enclosed environment to contact a specimen within the enclosed environment. At least one gas injection needle is adjustably positioned near the probe needle. The gas injection needle is connectable to a source of pressurized gas to selectively inject gas in the area of the probe needle within the enclosed environment. 1. A probe assembly for use with a charged particle instrument , comprising:an elongate body having a proximal end for positioning outside of a charged particle instrument enclosed environment, a distal end for positioning within the enclosed environment and a longitudinal axis;a port interface located on the body between the proximal and distal ends, the port interface being coupleable to a nanomanipulator system of the charged particle instrument;a probe needle positioned at a distal end of the body, wherein the probe needle is selectively positionable from outside the enclosed environment to contact a specimen within the enclosed environment; andat least one gas injection needle extending through a tubular section of the elongate body and adjustably positioned near to the probe needle, the at least one gas injection needle being connectable to a source of pressurized gas to selectively inject gas in the area of the probe needle within the enclosed environment.2. The probe assembly of claim 1 , wherein the at least one gas injection needle is positioned within 0.5 mm to 5 mm of the probe needle.3. The probe assembly of ...

Подробнее
19-07-2018 дата публикации

PHYSICAL VAPOR DEPOSITION METHOD USING BACKSIDE GAS COOLING OF WORKPIECES

Номер: US20180202040A1
Принадлежит:

A circular PVD chamber has a plurality of sputtering targets mounted on a top wall of the chamber. A pallet in the chamber is coupled to a motor for rotating the pallet about its center axis. The pallet has a diameter less than the diameter of the circular chamber. The pallet is also shiftable in an XY direction to move the center of the pallet beneath any of the targets so all areas of a workpiece supported by the pallet can be positioned directly below any one of the targets. A scanning magnet is in back of each target and is moved, via a programmed controller, to only be above portions of the workpiece so that no sputtered material is wasted. For depositing a material onto small workpieces, a cooling backside gas volume is created between the pallet and the underside of sticky tape supporting the workpieces. 1. A method of operation of a physical vapor deposition device , the device having a chamber configured to create a low pressure environment in the chamber while sputtering materials on a workpiece , the method comprising:providing a workpiece support platform in the chamber, the platform having openings for a backside gas;mounting a workpiece on a sticky tape, wherein a ring provides a frame for the sticky tape;providing one or more magnets on the support platform for attracting the ring to the one or more magnets;positioning the ring over the one or more magnets such that the ring is attracted to the one or more magnets;providing a ridge on the support platform that creates a gas seal with respect to the sticky tape as the ring is attracted to the one or more magnets on the support platform, so that an area between the tape and the support platform forms a sealed backside gas volume; andintroducing a backside gas into the backside gas volume for cooling the workpiece supported by the tape.2. The method of wherein the sticky tape has at least one opening that exposes a back surface of the workpiece to the backside gas volume.3. The method of wherein the ...

Подробнее
21-07-2016 дата публикации

Sample Holder and Charged Particle Device

Номер: US20160211109A1
Принадлежит:

The objective of the present invention is to maintain the surrounding of a sample at atmospheric pressure and efficiently detect secondary electrons. In a sample chamber of a charged particle device, a sample holder () has: a gas introduction pipe and a gas evacuation pipe for controlling the vicinity of a sample () to be an atmospheric pressure environment; a charged particle passage hole () and a micro-orifice () enabling detection of secondary electrons () emitted from the sample (), co-located above the sample (); and a charged particle passage hole () with a hole diameter larger than the micro-orifice () above the sample () so as to be capable of actively evacuating gas during gas introduction. 1. A sample holder , having a gas introduction pipe and a gas exhaust pipe to control surrounding of a sample in atmospheric pressure environment in a sample chamber of a charged particle device , having a charged particle passage hole also to serve as a micro orifice for detection of secondary electrons emanated from the sample above the sample , and having the charged particle passage hole for positively performing exhaust upon gas introduction by making a hole diameter larger than that of the micro orifice above the sample.2. The sample holder according to claim 1 , wherein a state of the sample in gas atmosphere upon sample heating is observable by having a heater for heating the sample.3. The sample holder according to claim 1 , having one or more gas exhaust holes in addition to the charged particle passage hole positioned below the sample.4. The sample holder according to claim 1 , having a minute pressure measuring element for checking the pressure in the vicinity of the sample.5. A sample holder having a charged particle device claim 1 , wherein a charged particle passage hole is provided so as to avoid coaxial positioning of an emission direction of charged particles emanated from a charged particle generation source with a sample center claim 1 , in the ...

Подробнее
21-07-2016 дата публикации

SILICON IMPLANTATION IN SUBSTRATES AND PROVISION OF SILICON PRECURSOR COMPOSITIONS THEREFOR

Номер: US20160211137A1
Принадлежит: ENTEGRIS, INC.

Compositions, systems, and methods are described for implanting silicon and/or silicon ions in a substrate, involving generation of silicon and/or silicon ions from corresponding silicon precursor compositions, and implantation of the silicon and/or silicon ions in the substrate. 1. A method of implanting silicon and/or silicon ions in a substrate , comprising:generating silicon or silicon-containing ions from a composition comprising silicon precursor selected from the group consisting of:{'sup': 1', '2', '3', '4', '1', '2', '3', '4', '1', '2', '1', '2, 'sub': n', '2n-1', 'n', '2n-1', 'n', '2n', '2', '1', '10', '1', '10, '(a) monosilanes of the formula SiRRRRwherein each of R, R, R, and Rcan independently be: H; halogen (F, Cl, Br, I); hydroxy; alkoxy; acetoxy; amino; alkyl of the formula CHwherein n=1-10, optionally substituted with hydroxy, alkoxy, acetoxy, and/or amino; cycloalkyl, bi- and polycycloalkyl, of the formula CHwherein n=1-10, optionally substituted with hydroxy, alkoxy, acetoxy, and/or amino; alkenyl of the formula CHincluding a C═C bond, wherein n=1-10, optionally substituted with hydroxy, alkoxy, acetoxy, and/or amino; aryl, including phenyl and aromatic moieties; alkylene including functionality of the formula ═CHand C RRwherein each of Rand Ris as specified above, optionally substituted with hydroxy, alkoxy, acetoxy, and/or amino; alkylyne, including functionalities of the formulae ≡CH and ≡CR wherein R is C-Calkyl, hydroxyl, halogen, or amino derivative of alkyl; or acyloxyl of the formula —OOCR wherein R is C-Calkyl, hydroxyl, halogen, or amino derivative of alkyl;'}{'sub': n', 'y', 'n, 'sup': 1', '2', 'y', '1', '2', 'y', '1', '2', '3', '4, '(b) di- and polysilanes of the formula SiHcomprising at least one Si—Si bond, wherein n=1-8, and y=2n+2 for unbranched and branched chains, and y=2n for cyclic compounds and corresponding substituted di- and polysilanes of the formula SiRR. . . Rwherein n=1-8 and each of R, R. . . Ris as specified for each ...

Подробнее
19-07-2018 дата публикации

METHOD FOR SAFE CONTROL OF GAS DELIVERY TO AN ELECTRON MICROSCOPE SAMPLE HOLDER

Номер: US20180203423A1
Принадлежит:

System and method for safely controlling the containment of gas within a manifold system and the delivery of gas to a sample holder for an electron microscope for imaging and analysis. 1an electron microscope sample holder having a cell; and a gas source device configured for fluid communication with the cell;', 'a gas destination device configured for fluid communication with the cell;', 'a pressure sensor configured for fluid communication with at least one of the cell, the gas source device, and the gas destination device;', 'at least one valve;', 'at least one pressure sensor;', 'a controller configured for monitoring the pressure sensor and signaling control of the valve., 'a gas delivery system comprising. A system comprising: This application is a continuation of U.S. patent application Ser. No. 14/816,332 filed on Aug. 3, 2015 and entitled “Method for Safe Control of Gas Delivery to an Electron Microscope Sample Holder,” and claims priority to U.S. Provisional Patent Application No. 62/032,612 filed on Aug. 3, 2014 and entitled “Method for Safe Control of Gas Delivery to an Electronic Microscope Sample Holder, ” which is hereby incorporated herein in its entirety.The invention relates generally to a system and method for safely controlling the containment of gas within a manifold system and the delivery of gas to a sample holder for an electron microscope, e.g., a transmission electron microscope (TEM), a scanning transmission electron microscopy (STEM) and variations of the scanning electron microscopes (SEM) that use traditional TEM-type holders and stages, for imaging and analysis.The options for studying solid and gas interactions in an electron microscope are limited to a couple of types of systems. Commercially available environmental scanning/transmission electron microscope (ESEM/ETEM) technologies create a gaseous environment close to the object under investigation and enable the atomic scale study of phenomena occurring during gas-solid ...

Подробнее
20-07-2017 дата публикации

COVERING MATERIAL STRIPPING METHOD AND STRIPPING DEVICE USING ION IRRADIATION

Номер: US20170207065A1
Принадлежит: SHINMAYWA INDUSTRIES, LTD.

A de-coating method includes: exposing a coated body in which a coating made of an inorganic material is formed on a surface of the metal body to ion flows to peel off the coating from the metal body, wherein the coated body is placed at an ion flow-concentrated portion where two or more ion flows overlap each other, and is exposed to the ion flows without addition of a positive or negative bias to the coated body. As gases for use in generating ions from plasma, oxygen and CFthat promote de-coating through a chemical reaction are preferably used in addition to Ar that performs de-coating under the physical action of ion collision and stabilizes plasma. 17-. (canceled)8. A de-coating method comprising: placing a coated body in which a coating made of an inorganic material is formed on a surface of a metal body at an ion flow-concentrated portion where two or more ion flows overlap each other; grounding the coated body; and exposing the coated body to the ion flows to peel off the coating from the metal body ,the de-coating method comprising:a first step which includes turning a gas [1] containing at least 67 vol % of oxygen into plasma to generate ions of the gas and exposing the coated body to the resulting ion flows to perform de-coating, anda second step which includes turning a gas [2] containing at least 80 vol % of argon into plasma to generate ions of the gas and exposing the coated body to the resulting ion flows to perform de-coating,wherein the first step is followed by the second step.9. The de-coating method according to claim 8 , wherein the de-coating method comprises only the first step and the second step so that de-coating of the coated body can be completed by performing the second step serving as a final step.10. The de-coating method according to claim 8 , wherein the gas [1] contains at least one selected from the group consisting of argon claim 8 , CF claim 8 , SF claim 8 , CCl claim 8 , and CClF.11. The de-coating method according to claim 8 , ...

Подробнее
05-08-2021 дата публикации

METHOD AND SYSTEM FOR THE REMOVAL AND/OR AVOIDANCE OF CONTAMINATION IN CHARGED PARTICLE BEAM SYSTEMS

Номер: US20210237129A1
Принадлежит:

A charged particle beam system is disclosed, comprising: 1. A charged particle beam system , comprising:a beam generator module, comprising a charged particle beam generator for generating a beam of charged particles, said charged particle beam generator being arranged in said beam generator module;a charged particle optical column arranged in a vacuum chamber, wherein said charged particle optical column is arranged for projecting said beam of charged particles onto a target, and wherein said charged particle optical column comprises a charged particle optical element for influencing said beam of charged particles;a source for providing cleaning agent;a conduit connected to said source and arranged for introducing said cleaning agent towards said charged particle optical element;a second aperture element comprising a plurality of apertures for letting through a plurality of charged particle beams from said beam of charged particles, said second aperture element is arranged between said charged particle beam generator and said charged particle optical element; anda restriction element provided between said charged particle beam generator and said second aperture element for restricting a flow path between the beam generator module and said second aperture element or a downstream module comprising said second aperture element.2. The charged particle beam system according to claim 1 , wherein said restriction element is movably connected to said beam generator module and arranged for abutting said second aperture element or a module comprising said second aperture element by means of gravity and/or a spring force.3. The charged particle beam system according to claim 2 , wherein said restriction element is connected to a first wall of said beam generator module claim 2 , said restriction element at least partly surrounding a perimeter of an opening in said first wall for passage of said beam of charged particles claim 2 , wherein said restriction element comprises an ...

Подробнее
25-06-2020 дата публикации

SYSTEMS AND METHODS FOR STORAGE AND SUPPLY OF F3NO-FREE FNO GASES AND F3NO-FREE FNO GAS MIXTURES FOR SEMICONDUCTOR PROCESSES

Номер: US20200203127A1
Принадлежит:

Disclosed are systems and methods for supplying a FNO-free FNO-containing gas and systems and methods for etching using the FNO-free FNO-containing gas. The system comprises a NiP coated steel cylinder with a polished inner surface to store the FNO-free FNO-containing gas, a cylinder valve to release the FNO-free FNO-containing gas from the cylinder, a manifold assembly, including a pressure regulator and line components to deliver the FNO-free FNO-containing gas to a target reactor. The pressure regulator de-pressurizes the FNO-free FNO-containing gas in the manifold assembly thereby dividing the manifold assembly into a first pressure zone upstream of the pressure regulator and a second pressure zone downstream of the pressure regulator. A gaseous composition comprises FNO-free FNO gas containing less than approximately 1% FNO impurity by volume and an inert gas being capable of suppressing the concentration of FNO impurity in the FNO-free FNO gas. 1. A system for storage and supply of a FNO-free FNO-containing gas , the system comprising:{'sub': '3', 'a NiP coated steel cylinder with a polished inner surface, configured and adapted to store the FNO-free FNO-containing gas;'}{'sub': '3', 'a cylinder valve, in fluid communication with the cylinder, configured and adapted to release the FNO-free FNO-containing gas from the cylinder; and'}{'sub': '3', 'a manifold assembly, comprising a pressure regulator and line components, downstream of the cylinder valve, configured and adapted to deliver the FNO-free FNO-containing gas to a target reactor;'}{'sub': '3', 'wherein the pressure regulator is configured and adapted to de-pressurize the FNO-free FNO-containing gas in the manifold assembly so as to divide the manifold assembly into a first pressure zone upstream of the pressure regulator and a second pressure zone downstream of the pressure regulator.'}2. The system of claim 1 , wherein FNO-free FNO contained in the FNO-free FNO-containing gas has less than ...

Подробнее
04-08-2016 дата публикации

Ion Beam Device and Emitter Tip Adjustment Method

Номер: US20160225575A1
Принадлежит:

The objective of the present invention is to provide an ion beam device capable of forming a nanopyramid stably having one atom at the front end of an emitter tip even when the cooling temperature is lowered in order to observe a sample with a high signal-to-noise ratio. In the present invention, the ion beam device, wherein an ion beam generated from an electric field-ionized gas ion source is irradiated onto the sample to observe or process the sample, holds the temperature of the emitter tip at a second temperature higher than a first temperature for generating the ion beam and lower than room temperature, sets the extraction voltage to a second voltage higher than the first voltage used when generating the ion beam, and causes field evaporation of atoms at the front end of the emitter tip, when forming the nanopyramid having one atom at the front end of the emitter tip. 1. An emitter tip adjustment method , which is a method for adjusting an emitter tip in a gas field ionization ion source , which includes an emitter tip that functions as an anode , an extraction electrode that functions as a cathode , and a gas supply port for supplying a gas around the emitter tip , and generates an ion beam by ionization of the gas at a first pressure by setting an extraction voltage to be applied between the emitter tip and the extraction electrode to a first voltage in a state where the temperature of the emitter tip is set to a first temperature , whereinthe atoms at the apex of the emitter tip is reduced to one by a field evaporation step in which the atoms at the apex of the emitter tip are field evaporated by setting the extraction voltage to a second voltage which is higher than the first voltage while maintaining the temperature of the emitter tip at a second temperature which is higher than the first temperature and lower than room temperature.2. The emitter tip adjustment method according to claim 1 , wherein an observation step in which an FIM image of the apex of ...

Подробнее
02-07-2020 дата публикации

ELECTRON BEAM IRRADIATION DEVICE

Номер: US20200211821A1
Автор: YASUKAGAWA Makoto
Принадлежит: KABUSHIKI KAISHA SENRYOU

An electron beam irradiation device that can irradiate an object in water with an electron beam is provided. An acceleration tube includes an acceleration space in which an electron beam generated by an electron gun is accelerated and an irradiation port through which the electron beam accelerated in the acceleration space can be irradiated to the outside. Hydrogen gas supply means can supply the acceleration space with hydrogen gas at a predetermined pressure. The hydrogen gas supplied to the acceleration space by the hydrogen gas supply means is emitted from the irradiation port and the electron beam irradiated from the irradiation port passes through the hydrogen gas emitted from the irradiation port 1. An electron beam irradiation device comprising:an electron gun that generates an electron beam;an acceleration tube including an acceleration space provided for accelerating the electron beam generated by the electron gun, and an irradiation port that can irradiate the electron beam accelerated in the acceleration space to the outside; andhydrogen gas supply means configured to supply the acceleration space with hydrogen gas at a predetermined pressure,wherein the hydrogen gas supplied to the acceleration space by the hydrogen gas supply means is emitted from the irradiation port, and the electron beam irradiated from the irradiation port passes through the hydrogen gas emitted from the irradiation port.2. The electron beam irradiation device according to claim 1 , wherein claim 1 , when the irradiation port is placed in water claim 1 , the hydrogen gas can be emitted toward an object placed at a predetermined position in the water and the object can be irradiated with the electron beam.3. The electron beam irradiation device according to claim 2 , further comprising:current generation means configured to generate a current,wherein the object is a liquid, a gas or plasma; andwherein a current generated by the current generation means traps the object at the ...

Подробнее
20-08-2015 дата публикации

Interface, a method for observing an object within a non-vacuum environment and a scanning electron microscope

Номер: US20150235806A1
Автор: Dov Shachal
Принадлежит: Dov Shachal

An interface, a scanning electron microscope and a method for observing an object that is positioned in a non-vacuum environment. The method includes: passing at least one electron beam that is generated in a vacuum environment through at least one aperture out of an aperture array and through at least one ultra thin membrane that seals the at least one aperture; wherein the at least one electron beam is directed towards the object; wherein the at least one ultra thin membrane withstands a pressure difference between the vacuum environment and the non-vacuum environment; and detecting particles generated in response to an interaction between the at least one electron beam and the object.

Подробнее