High capacitance mim device with self aligned spacer

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Semiconductor device with spacers for self aligned vias

Номер патента: US20240297077A1. Автор: Chia-Tien Wu,Hsin-Ping Chen,Pokuan Ho. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-05.

SEMICONDUCTOR DEVICE WITH SPACERS FOR SELF ALIGNED VIAS

Номер патента: US20220181207A1. Автор: WU Chia-Tien,Chen Hsin-Ping,Ho Pokuan. Владелец: . Дата публикации: 2022-06-09.

Semiconductor device with spacers for self aligned vias

Номер патента: US20220181207A1. Автор: Chia-Tien Wu,Hsin-Ping Chen,Pokuan Ho. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-06-09.

Semiconductor device with spacers for self aligned vias

Номер патента: US20210134672A1. Автор: Chia-Tien Wu,Hsin-Ping Chen,Pokuan Ho. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-05-06.

Semiconductor device with spacers for self aligned vias

Номер патента: US11984359B2. Автор: Chia-Tien Wu,Hsin-Ping Chen,Pokuan Ho. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-14.

Microelectronic devices with self-aligned interconnects, and related methods

Номер патента: US11764146B2. Автор: Fabio Pellizzer,Lorenzo Fratin,Stephen W. Russell. Владелец: Micron Technology Inc. Дата публикации: 2023-09-19.

Microelectronic devices with self-aligned interconnects, and related methods

Номер патента: US20210351125A1. Автор: Fabio Pellizzer,Lorenzo Fratin,Stephen W. Russell. Владелец: Micron Technology Inc. Дата публикации: 2021-11-11.

Integrated circuit with self-aligned line and via

Номер патента: US20070075371A1. Автор: Alex See,Randall Cha,Yeow Lim,Wang Goh. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2007-04-05.

Self-aligned via to metal line for interconnect

Номер патента: US12080640B2. Автор: Tao Li,Alexander Reznicek,Ruilong Xie,Tsung-Sheng KANG. Владелец: International Business Machines Corp. Дата публикации: 2024-09-03.

Self aligned interconnect structures

Номер патента: US09922929B1. Автор: Hoon Kim,Xunyuan Zhang,Roderick A. Augur. Владелец: Globalfoundries Inc. Дата публикации: 2018-03-20.

Interconnect structures including self aligned vias

Номер патента: US20210090942A1. Автор: Chih-Chao Yang,Terry A. Spooner,Shyng-Tsong Chen,Koichi Motoyama. Владелец: International Business Machines Corp. Дата публикации: 2021-03-25.

Fully self-aligned subtractive etch

Номер патента: US11869807B2. Автор: Regina FREED,Ho-Yung David Hwang,Madhur Sachan,Lili Feng,Yuqiong Dai. Владелец: Applied Materials Inc. Дата публикации: 2024-01-09.

Fully self-aligned subtractive etch

Номер патента: WO2021252229A1. Автор: Regina FREED,Ho-Yung David Hwang,Madhur Sachan,Lili Feng,Yuqiong Dai. Владелец: Applied Materials, Inc.. Дата публикации: 2021-12-16.

Self-Aligned Top Via Formation at Line Ends

Номер патента: US20210125865A1. Автор: Ashim Dutta,John Arnold,Dominik Metzler. Владелец: International Business Machines Corp. Дата публикации: 2021-04-29.

Self-aligned low resistance metallic interconnect structures

Номер патента: US09793156B1. Автор: Chih-Chao Yang. Владелец: International Business Machines Corp. Дата публикации: 2017-10-17.

Self-aligned back end of line cut

Номер патента: US09679805B2. Автор: Mark A. Zaleski,Guillaume Bouche,Andy Chih-Hung Wei. Владелец: Globalfoundries Inc. Дата публикации: 2017-06-13.

Self-aligned back end of line cut

Номер патента: US09508642B2. Автор: Mark A. Zaleski,Guillaume Bouche,Andy Chih-Hung Wei. Владелец: Globalfoundries Inc. Дата публикации: 2016-11-29.

Multi-metal fill with self-align patterning

Номер патента: US20180308749A1. Автор: Tai-I Yang,Chia-Tien Wu,Cheng-Chi Chuang,Wei-Chen CHU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-10-25.

Pre-spacer self-aligned cut formation

Номер патента: US09966338B1. Автор: Xunyuan Zhang,Shao Beng Law. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-08.

Middle of the line (MOL) contacts with two-dimensional self-alignment

Номер патента: US09929048B1. Автор: Ruilong Xie,Chanro Park,Lars Liebmann,Andre Labonte. Владелец: Globalfoundries Inc. Дата публикации: 2018-03-27.

Integration of air spacer with self-aligned contact in transistor

Номер патента: US20200083101A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2020-03-12.

Buried power rails with self-aligned vias to trench contacts

Номер патента: US12094822B2. Автор: Guillaume Bouche,Andy Chih-Hung Wei,Changyok Park. Владелец: Intel Corp. Дата публикации: 2024-09-17.

Pre-spacer self-aligned cut formation

Номер патента: US20180301413A1. Автор: Xunyuan Zhang,Shao Beng Law. Владелец: Globalfoundries Inc. Дата публикации: 2018-10-18.

Middle of the line (mol) contacts with two-dimensional self-alignment

Номер патента: US20180182668A1. Автор: Ruilong Xie,Chanro Park,Lars Liebmann,Andre Labonte. Владелец: Globalfoundries Inc. Дата публикации: 2018-06-28.

Fabrication of a vertical transistor with self-aligned bottom source/drain

Номер патента: US20180350691A1. Автор: Chen Zhang,Kangguo Cheng,Xin Miao,Wenyu Xu. Владелец: International Business Machines Corp. Дата публикации: 2018-12-06.

Integration of air spacer with self-aligned contact in transistor

Номер патента: US20200161169A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2020-05-21.

Method for producing self-aligned line end vias and related device

Номер патента: US20170352591A1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: Globalfoundries Inc. Дата публикации: 2017-12-07.

Self aligned active trench contact

Номер патента: US20150171091A1. Автор: Steven Alan Lytle. Владелец: Texas Instruments Inc. Дата публикации: 2015-06-18.

Methods, structures and designs for self-aligning local interconnects used in integrated circuits

Номер патента: WO2009054936A3. Автор: Michael C Smayling,Scott T Becker. Владелец: Scott T Becker. Дата публикации: 2009-07-02.

Self-aligned buried power rail formation for semiconductor devices

Номер патента: EP4420162A1. Автор: Huiming Bu,Miaomiao Wang,Ruilong Xie,Huimei Zhou. Владелец: International Business Machines Corp. Дата публикации: 2024-08-28.

Self aligned active trench contact

Номер патента: US09640539B2. Автор: Steven Alan Lytle. Владелец: Texas Instruments Inc. Дата публикации: 2017-05-02.

Self-alignment layer with low-k material proximate to vias

Номер патента: US20240105635A1. Автор: Tahir Ghani,Anand Murthy,Pushkar Ranade,Sagar SUTHRAM,Wilfred Gomes,Abhishek Anil Sharma. Владелец: Intel Corp. Дата публикации: 2024-03-28.

Self-aligned contacts

Номер патента: US09892967B2. Автор: Mark T. Bohr,Tahir Ghani,Joseph M. Steigerwald,Jason W. Klaus,Subhash M. Joshi,Nadia M. Rahhal-Orabi,Jack Hwang,Ryan Mackiewicz. Владелец: Intel Corp. Дата публикации: 2018-02-13.

Self-aligned buried power rail formation for semiconductor devices

Номер патента: WO2023066796A1. Автор: Huiming Bu,Miaomiao Wang,Ruilong Xie,Huimei Zhou. Владелец: IBM Deutschland GmbH. Дата публикации: 2023-04-27.

Self aligned active trench contact

Номер патента: US20170194332A1. Автор: Steven Alan Lytle. Владелец: Texas Instruments Inc. Дата публикации: 2017-07-06.

Self-Aligned Contact and Method

Номер патента: US20160027689A1. Автор: Mei-Yun Wang,Fu-Kai Yang,Chao-Hsun Wang,Hsien-Cheng WANG,Shih-Wen Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-01-28.

Self aligned active trench contact

Номер патента: US20190057969A1. Автор: Steven Alan Lytle. Владелец: Texas Instruments Inc. Дата публикации: 2019-02-21.

Semiconductor device with landing pad of conductive polymer and method for fabricating the same

Номер патента: US20220051992A1. Автор: Chia-Hsiang Hsu. Владелец: Nanya Technology Corp. Дата публикации: 2022-02-17.

Forming self-aligned vias and air-gaps in semiconductor fabrication

Номер патента: US20210202313A1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: Tessera LLC. Дата публикации: 2021-07-01.

Forming self-aligned vias and air-gaps in semiconductor fabrication

Номер патента: US10242911B2. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: International Business Machines Corp. Дата публикации: 2019-03-26.

Fully self aligned via integration processes

Номер патента: WO2023027827A1. Автор: Xintuo Dai. Владелец: Applied Materials, Inc.. Дата публикации: 2023-03-02.

Self-aligned contact based via to backside power rail

Номер патента: US20240290657A1. Автор: Tao Li,Ruilong Xie,Richard C. Johnson,Kisik Choi. Владелец: International Business Machines Corp. Дата публикации: 2024-08-29.

Inverse taper via to self-aligned gate contact

Номер патента: EP4109503A1. Автор: Andy Chih-Hung Wei. Владелец: Intel Corp. Дата публикации: 2022-12-28.

Self-aligned via and air gap

Номер патента: US09842801B2. Автор: Mark A. Zaleski,Andy Chih-Hung Wei. Владелец: Globalfoundries Inc. Дата публикации: 2017-12-12.

Method for producing self-aligned line end vias and related device

Номер патента: US09741613B1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: Globalfoundries Inc. Дата публикации: 2017-08-22.

Metal fill optimization for self-aligned double patterning

Номер патента: US09735029B1. Автор: Lawrence A. Clevenger,Myung-Hee Na,Albert M. Chu,Ximeng Guan. Владелец: International Business Machines Corp. Дата публикации: 2017-08-15.

Self-aligned via and plug patterning for back end of line (BEOL) interconnects

Номер патента: US09666451B2. Автор: Charles H. Wallace,Paul A. Nyhus. Владелец: Intel Corp. Дата публикации: 2017-05-30.

Methods and apparatuses to form self-aligned caps

Номер патента: US09627321B2. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corp. Дата публикации: 2017-04-18.

Field effect transistor with self-aligned gate

Номер патента: CA1082371A. Автор: Robert H. Dennard,Vincent L. Rideout. Владелец: International Business Machines Corp. Дата публикации: 1980-07-22.

Multi-Metal Fill with Self-Align Patterning

Номер патента: US20200051853A1. Автор: Tai-I Yang,Chia-Tien Wu,Cheng-Chi Chuang,Wei-Chen CHU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-02-13.

Low resistance high capacitance density mim capacitor

Номер патента: US20190189735A1. Автор: Chih-Chao Yang,Baozhen Li. Владелец: International Business Machines Corp. Дата публикации: 2019-06-20.

Self-aligned top via

Номер патента: US20210151377A1. Автор: Nelson Felix,Ashim Dutta,John C. Arnold,Chi-chun Liu,Dominik Metzler. Владелец: International Business Machines Corp. Дата публикации: 2021-05-20.

Self-aligned top via structure

Номер патента: US20210225705A1. Автор: CHENG Chi,Chih-Chao Yang,Kangguo Cheng,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2021-07-22.

Self-Aligned Source and Drain Contacts

Номер патента: US20220005934A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Juntao Li. Владелец: International Business Machines Corp. Дата публикации: 2022-01-06.

High capacitance damascene capacitors

Номер патента: US20040009640A1. Автор: Mukul Saran. Владелец: Individual. Дата публикации: 2004-01-15.

Method for fabricating a capacitor by using self-aligned etaching process

Номер патента: US20020132489A1. Автор: Ching-Yu Chang. Владелец: Macronix International Co Ltd. Дата публикации: 2002-09-19.

Method for fabricating mos device with self-aligned contacts

Номер патента: CA1210528A. Автор: Tarsaim L. Batra. Владелец: American Microsystems Holding Corp. Дата публикации: 1986-08-26.

Self-aligned via for gate contact of semiconductor devices

Номер патента: WO2016003595A1. Автор: Kern Rim,Stanley Seungchul SONG,Zhongze Wang,Choh fei Yeap. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2016-01-07.

Selective gas etching for self-aligned pattern transfer

Номер патента: US20200083045A1. Автор: Yongan Xu,Sean D. Burns,John Christopher Arnold,Yann Alain Marcel MIGNOT. Владелец: Tessera LLC. Дата публикации: 2020-03-12.

Selective gas etching for self-aligned pattern transfer

Номер патента: US20210183653A1. Автор: Yongan Xu,Sean D. Burns,John Christopher Arnold,Yann Alain Marcel MIGNOT. Владелец: Tessera LLC. Дата публикации: 2021-06-17.

Selective gas etching for self-aligned pattern transfer

Номер патента: US20220262636A1. Автор: Yongan Xu,Sean D. Burns,John Christopher Arnold,Yann Alain Marcel MIGNOT. Владелец: Tessera LLC. Дата публикации: 2022-08-18.

Self-aligned contact set

Номер патента: US8058733B2. Автор: Chan-Lon Yang. Владелец: United Microelectronics Corp. Дата публикации: 2011-11-15.

Self-aligned gate endcap (sage) architectures with gate-all-around devices

Номер патента: US20240243203A1. Автор: Tahir Ghani,William Hsu,Biswajeet Guha,Leonard P. GULER,Dax M. CRUM. Владелец: Intel Corp. Дата публикации: 2024-07-18.

Semiconductor device with self-aligned landing pad and method for fabricating the same

Номер патента: US11121137B1. Автор: Te-Yin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2021-09-14.

Electrochemical device with self-aligned insulation

Номер патента: US10388874B2. Автор: Ping Mei,Brent S. Krusor,Jonathan Rivnay. Владелец: Palo Alto Research Center Inc. Дата публикации: 2019-08-20.

Electrochemical device with self-aligned insulation

Номер патента: US20180284059A1. Автор: Ping Mei,Brent S. Krusor,Jonathan Rivnay. Владелец: Palo Alto Research Center Inc. Дата публикации: 2018-10-04.

Method for fabricating semiconductor device with self-aligned landing pad

Номер патента: US20210351187A1. Автор: Te-Yin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2021-11-11.

Flash memory chip with self aligned isolation fill between pillars

Номер патента: US20230136139A1. Автор: John Hopkins,Prasanna Srinivasan,Anil CHANDOLU,Nancy LOMELI. Владелец: Intel NDTM US LLC. Дата публикации: 2023-05-04.

Apparatus with self-aligned connection and related methods

Номер патента: US20240194529A1. Автор: David H. Wells,Shyam Surthi. Владелец: Micron Technology Inc. Дата публикации: 2024-06-13.

Closed cell lateral MOSFET using silicide source and body regions with self-aligned contacts

Номер патента: US09853143B2. Автор: Shekar Mallikarjunaswamy. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2017-12-26.

Semiconductor fabrication technique using local planarization with self-aligned transistors

Номер патента: US5340774A. Автор: Ting-Pwu Yen. Владелец: Paradigm Technology Inc. Дата публикации: 1994-08-23.

Graphene/nanostructure fet with self-aligned contact and gate

Номер патента: WO2011160922A1. Автор: Isaac Lauer,Jeffrey Sleight,Josephine Chang. Владелец: Ibm United Kingdom Limited. Дата публикации: 2011-12-29.

Semiconductor device with contacts to parallel electrode strips

Номер патента: US4754311A. Автор: Anton P. M. Van Arendonk,Geert J. T. Davids. Владелец: US Philips Corp. Дата публикации: 1988-06-28.

Nanosheet transistor with self-aligned dielectric pillar

Номер патента: AU2020423612B2. Автор: Kangguo Cheng,Ruilong Xie,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2024-02-15.

Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device

Номер патента: US20020098672A1. Автор: Theodore Houston. Владелец: Individual. Дата публикации: 2002-07-25.

CMOS device with improved wiring density

Номер патента: US6081016A. Автор: Kunio Watanabe,Kazuo Tanaka,Takashi Kumagai,Junichi Karasawa. Владелец: Seiko Epson Corp. Дата публикации: 2000-06-27.

Method of Forming Self-Aligned Contacts for a Semiconductor Device

Номер патента: US20130189833A1. Автор: Peter Baars,Andy Wei,Martin Mazur,Erik Geiss. Владелец: Globalfoundries Inc. Дата публикации: 2013-07-25.

Method for forming self-aligned contacts and local interconnects simultaneously

Номер патента: US20070235798A1. Автор: Kuang-Chao Chen,Tuung Luoh,Ling-Wuu Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2007-10-11.

Double self aligned via patterning

Номер патента: US20140363969A1. Автор: Hsueh-Chung Chen,Yongan Xu,Yunpeng Yin,Ailian Zhao. Владелец: International Business Machines Corp. Дата публикации: 2014-12-11.

Self aligned trench MOSFET with integrated diode

Номер патента: US09911840B2. Автор: Sik Lui,Anup Bhalla. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2018-03-06.

Two-step self-aligned source etch wtih large process window

Номер патента: US20080128777A1. Автор: Xue Li,ZHONGSHAN Hong. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2008-06-05.

Two-Step Self-Aligned Source Etch With Large Process Window

Номер патента: US20100123179A1. Автор: Xue Li,ZHONGSHAN Hong. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2010-05-20.

Semiconductor device having sidewall spacers manifesting a self-aligned contact hole

Номер патента: US20010017423A1. Автор: Jae Roh,Woun Yang. Владелец: LG Semicon Co Ltd. Дата публикации: 2001-08-30.

Method of making self-aligned continuity cuts in mandrel and non-mandrel metal lines

Номер патента: US09953834B1. Автор: Xunyuan Zhang,Lei Sun,Ruilong Xie,Ryan Ryoung-Han Kim. Владелец: Globalfoundries Inc. Дата публикации: 2018-04-24.

Self-aligned block patterning with density assist pattern

Номер патента: US09941164B1. Автор: Seong Jong Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-04-10.

Method of making self-aligned continuity cuts in mandrel and non-mandrel metal lines

Номер патента: US09859120B1. Автор: Xunyuan Zhang,Lei Sun,Ruilong Xie,Ryan Ryoung-Han Kim. Владелец: Globalfoundries Inc. Дата публикации: 2018-01-02.

Self-alignment of metal and via using selective deposition

Номер патента: US09837314B2. Автор: Jeffrey Smith,Anton J. deVilliers. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-12-05.

Self-aligned gate edge and local interconnect and method to fabricate same

Номер патента: US09831306B2. Автор: Tahir Ghani,Mark Bohr,Szuya S. LIAO,Milton Clair Webb. Владелец: Intel Corp. Дата публикации: 2017-11-28.

Self-aligned gate tie-down contacts with selective etch stop liner

Номер патента: US09570573B1. Автор: Lars W. Liebmann,Ruilong Xie,Su Chen Fan. Владелец: Globalfoundries Inc. Дата публикации: 2017-02-14.

Fabrication process of a semiconductor device with a wiring structure

Номер патента: US5578524A. Автор: Tadashi Fukase,Takehiko Hamada. Владелец: NEC Corp. Дата публикации: 1996-11-26.

Self-aligned metal process for integrated circuit metallization

Номер патента: CA1166760A. Автор: Ingrid E. Magdo,Shashi D. Malaviya,George R. Goth. Владелец: International Business Machines Corp. Дата публикации: 1984-05-01.

Method of fabricating a lateral semiconductor structure including field plates for self-alignment

Номер патента: US4966858A. Автор: David N. Okada,Michael P. Masquelier. Владелец: Motorola Inc. Дата публикации: 1990-10-30.

Method for forming self-aligned contact window

Номер патента: US6015741A. Автор: Water Lur,Shih-Wei Sun,Tony Lin. Владелец: United Microelectronics Corp. Дата публикации: 2000-01-18.

Methods for forming self-aligned contacts using spin-on silicon carbide

Номер патента: WO2022177828A1. Автор: Lior HULI,Junling Sun,Angelique RALEY,Andrew Metz. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2022-08-25.

Self-aligned contact and contact over active gate structures

Номер патента: US20200279773A1. Автор: Susmit Singha Roy,Regina FREED,Madhur Sachan,Sanjay Natarajan,Yuriy Shusterman. Владелец: Micromaterials LLC. Дата публикации: 2020-09-03.

Double self-aligned via patterning

Номер патента: US20150364372A1. Автор: Hsueh-Chung Chen,Yongan Xu,Yunpeng Yin,Ailian Zhao. Владелец: International Business Machines Corp. Дата публикации: 2015-12-17.

Methods for Forming Self-Aligned Contacts Using Spin-on Silicon Carbide

Номер патента: US20220262679A1. Автор: Lior HULI,Junling Sun,Angelique RALEY,Andrew Metz. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-08-18.

Self-aligned contact with metal-insulator transistion materials

Номер патента: US20200135882A1. Автор: Peng Xu,Kangguo Cheng,ChoongHyun Lee,Juntao Li. Владелец: International Business Machines Corp. Дата публикации: 2020-04-30.

Self-aligned non-volatile memory cell

Номер патента: US20020063278A1. Автор: Bohumil Lojek,Alan Renninger. Владелец: Individual. Дата публикации: 2002-05-30.

Method for forming self-aligned contacts/vias with high corner selectivity

Номер патента: US20150170965A1. Автор: PENG Wang,Eric A. Hudson,Ananth INDRAKANTI. Владелец: Lam Research Corp. Дата публикации: 2015-06-18.

Self-aligned gate endcap (SAGE) architecture having gate contacts

Номер патента: US11935892B2. Автор: Walid M. Hafez,Sairam Subramanian. Владелец: Intel Corp. Дата публикации: 2024-03-19.

Self-aligned non-volatile memory cell

Номер патента: WO2002045176B1. Автор: Bohumil Lojek,Alan L Renninger. Владелец: Atmel Corp. Дата публикации: 2002-08-22.

Self-aligned non-volatile memory cell

Номер патента: EP1340264A1. Автор: Bohumil Lojek,Alan L. Renninger. Владелец: Atmel Corp. Дата публикации: 2003-09-03.

Self Aligned Contact Scheme

Номер патента: US20240258168A1. Автор: Yu-Lien Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-01.

Self-aligned trench mosfet and igbt structures and methods of fabrication

Номер патента: US20210226041A1. Автор: Hamza Yilmaz,Jong Oh Kim. Владелец: IPOWER SEMICONDUCTOR. Дата публикации: 2021-07-22.

Method of fabricating self-aligned polysilicon via plug

Номер патента: US6291338B1. Автор: JHY-JYI SZE,Benjamin Szu-Min Lin. Владелец: United Microelectronics Corp. Дата публикации: 2001-09-18.

Method of forming a self-aligned contact pad for use in a semiconductor device

Номер патента: US20020155687A1. Автор: Dae-hyuk Chung,In-seak Hwang,Han-Joo Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-10-24.

Self-aligned contacts

Номер патента: US20140070282A1. Автор: Shom Ponoth,David L. Rath,Muthumanickam Sankarapandian,David V. Horak,Su Chen Fan. Владелец: International Business Machines Corp. Дата публикации: 2014-03-13.

Method of forming a self-aligned contact opening

Номер патента: US20010029097A1. Автор: Kei-Yu Ko,Dave Pecora. Владелец: Individual. Дата публикации: 2001-10-11.

Self-aligned contacts

Номер патента: WO2014039166A1. Автор: Shom Ponoth,David L. Rath,Muthumanickam Sankarapandian,David V. Horak,Su Chen Fan. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2014-03-13.

Self-aligned air spacers and methods for forming

Номер патента: US12107146B2. Автор: Chih-Hao Wang,Cheng-Chi Chuang,Jia-Chuan You,Huan-Chieh Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-01.

Self-aligned contact etch for fabricating a FinFET

Номер патента: US09905473B1. Автор: Guillaume Bouche,Vimal Kamineni,Michael Aquilino. Владелец: Globalfoundries Inc. Дата публикации: 2018-02-27.

Self-aligned non-mandrel cut formation for tone inversion

Номер патента: US09905424B1. Автор: Shao Beng Law. Владелец: Globalfoundries Inc. Дата публикации: 2018-02-27.

Reverse tone self-aligned contact

Номер патента: US09812536B2. Автор: Ching-Feng Fu,Chia-Ying Lee,Yu-Chan Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-07.

Self-aligned deep contact for vertical FET

Номер патента: US09761491B1. Автор: John H. Zhang,Xusheng Wu,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2017-09-12.

Self-aligned contact metallization for reduced contact resistance

Номер патента: US09754940B2. Автор: Tahir Ghani,Glenn A. Glass,Anand S. Murthy. Владелец: Intel Corp. Дата публикации: 2017-09-05.

Raised metal semiconductor alloy for self-aligned middle-of-line contact

Номер патента: US09754935B2. Автор: Effendi Leobandung,Christian Lavoie. Владелец: International Business Machines Corp. Дата публикации: 2017-09-05.

Forming self-aligned conductive lines for resistive random access memories

Номер патента: US09705080B2. Автор: Fabio Pellizzer,Innocenzo Tortorelli,Pietro Petruzza. Владелец: Micron Technology Inc. Дата публикации: 2017-07-11.

Cut first self-aligned litho-etch patterning

Номер патента: US09698016B2. Автор: Ming-Chung Liang,Kuan-Wei Huang,Chia-Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-04.

Methods of forming self-aligned device level contact structures

Номер патента: US09653356B2. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-16.

Self-aligned contact process enabled by low temperature

Номер патента: US09634117B2. Автор: Hong He,Chun-Chen Yeh,Chiahsun Tseng,Yunpeng Yin. Владелец: International Business Machines Corp. Дата публикации: 2017-04-25.

Method of forming self-aligned metal lines and vias

Номер патента: US09607893B1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-28.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09570583B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2017-02-14.

Self-aligned bottom spacer epi last flow for vtfet

Номер патента: US20220005935A1. Автор: Tao Li,Ruilong Xie,Heng Wu,Sung Dae Suk. Владелец: International Business Machines Corp. Дата публикации: 2022-01-06.

Self-aligned bottom spacer epi last flow for VTFET

Номер патента: US11923434B2. Автор: Tao Li,Ruilong Xie,Heng Wu,Sung Dae Suk. Владелец: International Business Machines Corp. Дата публикации: 2024-03-05.

Method for forming self-aligned isolation trenches in semiconductor substrate and semiconductor device

Номер патента: US20150171162A1. Автор: Tzung-Han Lee,Yaw-Wen Hu. Владелец: Inotera Memories Inc. Дата публикации: 2015-06-18.

Semiconductor Device With Self-Aligned Back Side Features

Номер патента: US20170047346A1. Автор: Stephen A. Fanelli. Владелец: Qualcomm Inc. Дата публикации: 2017-02-16.

Semiconductor device with self-aligned back side features

Номер патента: US20160042967A1. Автор: Stephen A. Fanelli. Владелец: Qualcomm Switch Corp. Дата публикации: 2016-02-11.

Semiconductor device with self-aligned back side features

Номер патента: EP3178112A1. Автор: Stephen A. Fanelli. Владелец: Qualcomm Inc. Дата публикации: 2017-06-14.

Semiconductor device with self-aligned back side features

Номер патента: WO2016022341A1. Автор: Stephen A. Fanelli. Владелец: Silanna Semiconductor U.S.A., Inc.. Дата публикации: 2016-02-11.

Shallow trench isolation with self aligned PSG layer

Номер патента: US5729043A. Автор: Joseph F. Shepard. Владелец: International Business Machines Corp. Дата публикации: 1998-03-17.

Transistors with self-aligned source-connected field plates

Номер патента: US20230197795A1. Автор: Philippe Renaud,Humayun Kabir,Ibrahim Khalil,Bernhard Grote,Bruce McRae Green. Владелец: NXP USA Inc. Дата публикации: 2023-06-22.

Trench mosfet with self-aligned body contact with spacer

Номер патента: EP3365919A1. Автор: Kyle Terrill,Lingpeng Guan,Seokjin Jo. Владелец: Vishay Siliconix Inc. Дата публикации: 2018-08-29.

Self-aligned under bump metal

Номер патента: US09865555B2. Автор: Manoj K. Jain. Владелец: Texas Instruments Inc. Дата публикации: 2018-01-09.

Self-aligned ldmos and method

Номер патента: WO1984003997A1. Автор: Antonio R Alvarez. Владелец: Motorola Inc. Дата публикации: 1984-10-11.

Totally self-aligned transistor with polysilicon shallow trench isolation

Номер патента: US6127717A. Автор: Zoran Krivokapic,Ognjen Milic. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-10-03.

Self-aligned trench filling for narrow gap isolation regions

Номер патента: EP1949443A1. Автор: Jack H. Yuan. Владелец: SanDisk Corp. Дата публикации: 2008-07-30.

Method for fabricating CMOS devices with guardband

Номер патента: US4412375A. Автор: James A. Matthews. Владелец: Intel Corp. Дата публикации: 1983-11-01.

Self-alignment technique for semiconductor devices

Номер патента: WO1996030936A1. Автор: James C. Moyer,Martin J. Alter,Martin E. Garnett. Владелец: Micrel, Incorporated. Дата публикации: 1996-10-03.

Method of forming a narrow self-aligned, annular opening in a masking layer

Номер патента: US5047117A. Автор: Martin C. Roberts. Владелец: Micron Technology Inc. Дата публикации: 1991-09-10.

Self-aligned method for integrated circuit manufacture

Номер патента: US3948694A. Автор: B. David Mills, III. Владелец: Motorola Inc. Дата публикации: 1976-04-06.

Self-aligned shallow trench isolation and doping for vertical fin transistors

Номер патента: US20170358672A1. Автор: Brent A. Anderson,Junli Wang,Fee Li LIE. Владелец: International Business Machines Corp. Дата публикации: 2017-12-14.

Self-aligned shallow trench isolation and doping for vertical fin transistors

Номер патента: US20180040727A1. Автор: Brent A. Anderson,Junli Wang,Fee Li LIE. Владелец: International Business Machines Corp. Дата публикации: 2018-02-08.

Self aligned mosfet devices and associated fabrication methods

Номер патента: WO2023163855A1. Автор: Sudarsan Uppili,David Lee Snyder,Scott Joseph Alberhasky. Владелец: Scdevice LLC. Дата публикации: 2023-08-31.

Self-aligned contact (sac) in nanosheet transistors

Номер патента: US20230290821A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2023-09-14.

Self-aligned sti sonos

Номер патента: EP1875500A1. Автор: Yu Sun,Hidehiko Shiraiwa,Mark Randolph. Владелец: SPANSION LLC. Дата публикации: 2008-01-09.

Self-aligned shallow trench isolation and doping for vertical fin transistors

Номер патента: US09842931B1. Автор: Brent A. Anderson,Junli Wang,Fee Li LIE. Владелец: International Business Machines Corp. Дата публикации: 2017-12-12.

Compact self-aligned implantation transistor edge resistor for SRAM SEU mitigation

Номер патента: US09773808B2. Автор: Paul S. Fechner. Владелец: Honeywell International Inc. Дата публикации: 2017-09-26.

Self-aligned back side deep trench isolation structure

Номер патента: US09768218B2. Автор: JHY-JYI SZE,Yimin Huang,Alexander Kalnitsky. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-19.

Self-aligned low defect segmented III-V finFET

Номер патента: US09627276B2. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2017-04-18.

Self-aligned punch through stopper liner for bulk FinFET

Номер патента: US09559014B1. Автор: Veeraraghavan Basker,Theodorus Standaert,Kangguo Cheng,Junli Wang. Владелец: International Business Machines Corp. Дата публикации: 2017-01-31.

Methods of manufacturing semiconductor devices having self-aligned contact pads

Номер патента: US9184227B1. Автор: In-seak Hwang,Young-Kuk Kim,Han-jin Lim,Ki-Vin Im. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-11-10.

Ic chips with self-aligned thin film resistors

Номер патента: WO1989003121A1. Автор: Paul A. Ruggerio,Cynthia E. Anderson. Владелец: ANALOG DEVICES, INC.. Дата публикации: 1989-04-06.

Method of forming poly insulator poly capacitors by using a self-aligned salicide process

Номер патента: US20050085046A1. Автор: Hao Fang,Jung-Cheng Kao. Владелец: Individual. Дата публикации: 2005-04-21.

LDMOS DEVICE WITH BODY DIFFUSION SELF-ALIGNED TO GATE

Номер патента: US20180151722A1. Автор: Edwards Henry Litzmann,Hu Binghua,Todd James Robert. Владелец: . Дата публикации: 2018-05-31.

LDMOS DEVICE WITH BODY DIFFUSION SELF-ALIGNED TO GATE

Номер патента: US20170162690A1. Автор: Edwards Henry Litzmann,Hu Binghua,Todd James Robert. Владелец: . Дата публикации: 2017-06-08.

LDMOS device with body diffusion self-aligned to gate

Номер патента: US9887288B2. Автор: Binghua Hu,Henry Litzmann Edwards,James Robert TODD. Владелец: Texas Instruments Inc. Дата публикации: 2018-02-06.

Flip chip self-alignment features for substrate and leadframe applications

Номер патента: US20230369182A1. Автор: Marc Alan Mangrum. Владелец: Amkor Technology Singapore Holding Pte Ltd. Дата публикации: 2023-11-16.

Flip chip self-alignment features for substrate and leadframe applications

Номер патента: US20190043789A1. Автор: Marc Alan Mangrum. Владелец: Amkor Technology Inc. Дата публикации: 2019-02-07.

MOSFET device with shielding region and manufacturing method thereof

Номер патента: US12148824B2. Автор: Mario Giuseppe Saggio,Edoardo Zanetti. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2024-11-19.

REDUCED RESISTANCE FINFET DEVICE WITH LATE SPACER SELF ALIGNED CONTACT

Номер патента: US20150129988A1. Автор: Leobandung Effendi. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2015-05-14.

Semiconductor device with self-aligned waveguide and method therefor

Номер патента: US20240332206A1. Автор: Michael B. Vincent,Scott M. Hayes,Antonius Hendrikus Jozef Kamphuis. Владелец: NXP USA Inc. Дата публикации: 2024-10-03.

Semiconductor device with self-aligned waveguide and method therefor

Номер патента: US20230017646A1. Автор: Michael B. Vincent,Scott M. Hayes,Antonius Hendrikus Jozef Kamphuis. Владелец: NXP USA Inc. Дата публикации: 2023-01-19.

Semiconductor device with self-aligned waveguide and method therefor

Номер патента: US12033950B2. Автор: Michael B. Vincent,Scott M. Hayes,Antonius Hendrikus Jozef Kamphuis. Владелец: NXP USA Inc. Дата публикации: 2024-07-09.

Semiconductor integrated circuit device with wiring microstructure formed on gates and method of manufacturing the same

Номер патента: US5518961A. Автор: Kazunari Ishimaru. Владелец: Toshiba Corp. Дата публикации: 1996-05-21.

Glass substrates with self-assembled monolayers for copper adhesion

Номер патента: US20240222258A1. Автор: Darko Grujicic,Suddhasattwa NAD,Rachel Guia Parala Giron. Владелец: Intel Corp. Дата публикации: 2024-07-04.

Fabrication method for a capacitor having high capacitance

Номер патента: US6114213A. Автор: Dong Sun Kim. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2000-09-05.

Memory device with manufacturable cylindrical storage node

Номер патента: US09935110B2. Автор: Hyoung Seub Rhie. Владелец: Conversant Intellectual Property Management Inc. Дата публикации: 2018-04-03.

Memory device with manufacturable cylindrical storage node

Номер патента: US09583496B2. Автор: Hyoung Seub Rhie. Владелец: Conversant Intellectual Property Management Inc. Дата публикации: 2017-02-28.

Method of fabricating capacitor with high capacitance

Номер патента: US6051507A. Автор: Der-Yuan Wu,J. S. Jason Jenq. Владелец: United Microelectronics Corp. Дата публикации: 2000-04-18.

Memory device with manufacturable cylindrical storage node

Номер патента: US20180175044A1. Автор: Hyoung Seub Rhie. Владелец: Conversant Intellectual Property Management Inc. Дата публикации: 2018-06-21.

Self-aligned precise high sheet rho resistor for mixed-signal application

Номер патента: SG87076A1. Автор: Chu Shao-Fu Sanford,Kai Shao,Lee Cerdin. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2002-03-19.

Recessed gate silicon-on-insulator floating body device with self-aligned lateral isolation

Номер патента: US20140332880A1. Автор: John Kim. Владелец: Micron Technology Inc. Дата публикации: 2014-11-13.

Recessed gate silicon-on-insulator floating body device with self-aligned lateral isolation

Номер патента: US09553186B2. Автор: John Kim. Владелец: Ovonyx Memory Technology LLC. Дата публикации: 2017-01-24.

Opto-electronic device with self-aligned ohmic contact layer

Номер патента: US20010054716A1. Автор: Hiroyuki Fujiwara,Masaharu Nobori,Masumi Koizumi. Владелец: Oki Data Corp. Дата публикации: 2001-12-27.

Gate contact interlayer for hemt devices with self-aligned electrodes

Номер патента: US20220020857A1. Автор: Aurore Constant,Peter Coppens. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2022-01-20.

Gate with self-aligned ledged for enhancement mode GaN transistors

Номер патента: US09748347B2. Автор: Jianjun Cao,Alexander Lidow,Alana Nakata. Владелец: Efficient Power Conversion Corp. Дата публикации: 2017-08-29.

Multiple gate length device with self-aligned top junction

Номер патента: US20190206743A1. Автор: YI Qi,Hui Zang,Ruilong Xie,Jerome Ciavatti,Jianwei PENG,Hsien-Ching Lo. Владелец: Globalfoundries Inc. Дата публикации: 2019-07-04.

Self aligned embedded gate carbon transistors

Номер патента: US20150228753A1. Автор: Yu Lu,Keith Kwong Hon Wong,Dechao Guo,Shu-Jen Han. Владелец: International Business Machines Corp. Дата публикации: 2015-08-13.

Enhanced resurf hvpmos device with stacked hetero-doping rim and gradual drift region

Номер патента: WO2006033923A3. Автор: Jun Cai,Michael Harley-Stead,Jim G Holt. Владелец: Jim G Holt. Дата публикации: 2006-11-30.

Method of manufacturing field-effect transistors with self-aligned grid and transistors thus obtained

Номер патента: US4429452A. Автор: Didier Meignant. Владелец: US Philips Corp. Дата публикации: 1984-02-07.

Phase change switch with self-aligned heater and rf terminals

Номер патента: EP4106023A3. Автор: Matthias Markert,Christoph Kadow,Dominik Heiss. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2023-04-26.

MOSFET in SiC with self-aligned lateral MOS channel

Номер патента: US11923450B2. Автор: Adolf SCHÖNER,Nicolas THIERRY-JEBALI,Hossein ELAHIPANAH,Sergey RESHANOV. Владелец: Ii Vi Advanced Materials LLC. Дата публикации: 2024-03-05.

A method for fabrication of a high capacitance interpoly dielectric

Номер патента: WO2002080235A2. Автор: Mark A. Good,Amit S. Kelkar. Владелец: ATMEL CORPORATION. Дата публикации: 2002-10-10.

Semiconductor device with a toroidal-like junction

Номер патента: WO2005038867A3. Автор: Bohumil Lojek. Владелец: Atmel Corp. Дата публикации: 2006-12-07.

Self-aligned SOI device with body contact and NiSi2 gate

Номер патента: US6091123A. Автор: Shekhar Pramanick,Zoran Krivokapic. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-07-18.

Finfet semiconductor device with isolated channel regions

Номер патента: US20160093739A1. Автор: Ajey Poovannummoottil Jacob,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2016-03-31.

FinFET standard cell with double self-aligned contacts and method therefor

Номер патента: US12062659B2. Автор: YONG Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-08-13.

Process for manufacturing a power device with a trench-gate structure and corresponding device

Номер патента: US09536743B2. Автор: Giacomo Barletta. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2017-01-03.

Self-aligned dynamic pattern generator device and method of fabrication

Номер патента: US09536706B2. Автор: Michael Pedersen,Michael A. Huff. Владелец: Corp for National Research Initiatives. Дата публикации: 2017-01-03.

Method of fabricating self-aligned silicon carbide semiconductor devices

Номер патента: US7508000B2. Автор: Bart J. Van Zeghbroeck,John T. Torvik. Владелец: Microsemi Corp. Дата публикации: 2009-03-24.

Versatile system for self-aligning deposition equipment

Номер патента: US09698006B2. Автор: Martin Garcia. Владелец: Texas Instruments Inc. Дата публикации: 2017-07-04.

Self-aligned double patterning (sadp) method

Номер патента: US20200098580A1. Автор: Jui-Yu Pan,Kuo-Chyuan Tzeng,Lee-Chuan Tseng,Ying-Hua Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-26.

Self-aligned epitaxy layer

Номер патента: US12040386B2. Автор: Chih-Hao Wang,Kuo-Cheng Chiang,Kuan-Lun Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-16.

Self-aligned gate isolation

Номер патента: WO2007046920A1. Автор: Peter Chang. Владелец: Intel Corporation. Дата публикации: 2007-04-26.

Co-integration of self-aligned and non-self aligned heterojunction bipolar transistors

Номер патента: US09899375B1. Автор: Vibhor Jain,Qizhi Liu. Владелец: Globalfoundries Inc. Дата публикации: 2018-02-20.

Self-aligned contact for trench MOSFET

Номер патента: US09735266B2. Автор: Hsiao-Chin Tuan,Alex Kalnitsky,Kuo-Ming Wu,Wei Tsung Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-08-15.

Self-aligned floating gate in a vertical memory structure

Номер патента: US09698022B2. Автор: Randy J. Koval. Владелец: Intel Corp. Дата публикации: 2017-07-04.

LDMOS transistor with implant alignment spacers

Номер патента: US12132099B2. Автор: Hernan Rueda,Martin Garcia,Rodney Arlan Barksdale,Stephen C. Chew,Wayne Geoffrey Risner. Владелец: NXP USA Inc. Дата публикации: 2024-10-29.

FinFET STANDARD CELL WITH DOUBLE SELF-ALIGNED CONTACTS AND METHOD THEREFOR

Номер патента: US20230051161A1. Автор: YONG Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2023-02-16.

Self-aligned dynamic pattern generator device and method of fabrication

Номер патента: US20160233054A1. Автор: Michael Pedersen,Michael A. Huff. Владелец: Corp for National Research Initiatives. Дата публикации: 2016-08-11.

Self-aligned dynamic pattern generator device and method of fabrication

Номер патента: US9312103B2. Автор: Michael Pedersen,Michael A. Huff. Владелец: Corp for National Research Initiatives. Дата публикации: 2016-04-12.

Semiconductor device with a toroidal-like junction

Номер патента: US20070087557A1. Автор: Bohumil Lojek. Владелец: Atmel Corp. Дата публикации: 2007-04-19.

Semiconductor device with a toroidal-like junction

Номер патента: US20070075400A1. Автор: Bohumil Lojek. Владелец: Atmel Corp. Дата публикации: 2007-04-05.

Method of forming self-aligned silicides

Номер патента: US20060121708A1. Автор: Steven Huang,Yei-Hsiung Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2006-06-08.

Self-aligned gate endcap (sage) architectures with vertical sidewalls

Номер патента: US20240243202A1. Автор: Kiran Chikkadi,Ritesh K. Das,Ryan Pearce. Владелец: Intel Corp. Дата публикации: 2024-07-18.

Ldmos transistor with implant alignment spacers

Номер патента: US20230231034A1. Автор: Hernan Rueda,Martin Garcia,Rodney Arlan Barksdale,Stephen C. Chew,Wayne Geoffrey Risner. Владелец: NXP USA Inc. Дата публикации: 2023-07-20.

Self-aligned short-channel electronic devices and fabrication methods of same

Номер патента: US20200395473A1. Автор: Mark C. Hersam,Vinod K. Sangwan,Megan E. BECK. Владелец: Northwestern University. Дата публикации: 2020-12-17.

Method for forming self-aligned double pattern and semiconductor structures

Номер патента: US12100593B2. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Self-aligned gate last III-N transistors

Номер патента: US09837499B2. Автор: Sansaptak DASGUPTA,Han Wui Then,Seung Hoon Sung,Robert Chau,Sanaz Gardner,Marko Radosavlijevic. Владелец: Intel Corp. Дата публикации: 2017-12-05.

Memory device having self-aligned cell structure

Номер патента: US09773839B2. Автор: Jun Liu,Michael P. Violette. Владелец: Micron Technology Inc. Дата публикации: 2017-09-26.

Self-aligned split gate flash memory

Номер патента: US09741868B2. Автор: Shih-Chang Liu,Yuan-Tai Tseng,Chang-Ming Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-08-22.

Formation of self-aligned stacked cmos structures by lift-off

Номер патента: CA1252222A. Автор: Nicholas J. Szluk,Gayle W. Miller. Владелец: Individual. Дата публикации: 1989-04-04.

Method of fabricating self-aligned silicon carbide semiconductor devices

Номер патента: US20050260821A1. Автор: Bart Van Zeghbroeck,John Torvik. Владелец: Individual. Дата публикации: 2005-11-24.

Self-aligned implant under transistor gate

Номер патента: US6043535A. Автор: Theodore W. Houston. Владелец: Texas Instruments Inc. Дата публикации: 2000-03-28.

Method of fabricating density intensive non-self-aligned stacked CMOS

Номер патента: US4555843A. Автор: Satwinder D. S. Malhi. Владелец: Texas Instruments Inc. Дата публикации: 1985-12-03.

Self-aligned complementary HFETS

Номер патента: US5411903A. Автор: Faivel Pintchovski,Jenn-Hwa Huang,Schyi-Yi Wu. Владелец: Motorola Inc. Дата публикации: 1995-05-02.

Method for fabricating diffusion self-aligned short channel MOS device

Номер патента: US4062699A. Автор: William Eddie Armstrong. Владелец: Western Digital Corp. Дата публикации: 1977-12-13.

Passivated silicon carbide devices with low leakage current and method of fabricating

Номер патента: US6703276B2. Автор: Dev Alok,Emil Arnold. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2004-03-09.

Self aligned CCD element including two levels of electrodes and method of manufacture therefor

Номер патента: US3931674A. Автор: Gilbert F. Amelio. Владелец: Fairchild Camera and Instrument Corp. Дата публикации: 1976-01-13.

Lateral transistor structure having self-aligned base and base contact and method of fabrication

Номер патента: CA1179786A. Автор: Madhukar B. Vora. Владелец: Fairchild Camera and Instrument Corp. Дата публикации: 1984-12-18.

Process for providing self-aligned doping regions

Номер патента: CA1116313A. Автор: Vincent L. Rideout. Владелец: International Business Machines Corp. Дата публикации: 1982-01-12.

Self-aligned silicide gate for discrete shielded-gate trench power mosfet

Номер патента: US20240063305A1. Автор: Zhenyin Yang. Владелец: Analog Devices Inc. Дата публикации: 2024-02-22.

Self-aligned silicide gate for discrete shielded-gate trench power mosfet

Номер патента: EP4325583A1. Автор: Zhenyin Yang. Владелец: Analog Devices Inc. Дата публикации: 2024-02-21.

Extended drain lateral DMOS transistor with reduced gate charge and self-aligned extended drain

Номер патента: US8609490B2. Автор: David R. Zinn. Владелец: Micrel Inc. Дата публикации: 2013-12-17.

Method of forming self-aligned silicide layers on semiconductor devices

Номер патента: US20020182860A1. Автор: Jerry Lin,Cheng-Kuo Yuan,Chi-Wei Chou. Владелец: SILICON INTEGRATED SYSTEMS CORP. Дата публикации: 2002-12-05.

Self-aligned floating gate in a vertical memory structure

Номер патента: US9443864B2. Автор: Randy J. Koval. Владелец: Intel Corp. Дата публикации: 2016-09-13.

Self-alignment technique for junction isolation and wells

Номер патента: WO1996036067A8. Автор: Martin E Garnett,Michael R Hsing. Владелец: Micrel Inc. Дата публикации: 1999-07-08.

Self-aligned floating gate in a vertical memory structure

Номер патента: US20150187785A1. Автор: Randy J. Koval. Владелец: Intel Corp. Дата публикации: 2015-07-02.

Self-aligned floating gate in a vertical memory structure

Номер патента: US20150364486A1. Автор: Randy J. Koval. Владелец: Intel Corp. Дата публикации: 2015-12-17.

Method of fabricating hemt device with selective etching of gallium arsenide antimonide

Номер патента: US5118637A. Автор: Tomonori Ishikawa. Владелец: Fujitsu Ltd. Дата публикации: 1992-06-02.

Method of making MOS VLSI semiconductor device with metal gate and clad source/drain

Номер патента: US4661374A. Автор: Robert R. DOERING. Владелец: Texas Instruments Inc. Дата публикации: 1987-04-28.

Reduced electric field dmos using self-aligned trench isolation

Номер патента: WO2007018896A3. Автор: Michael Graf,Volker Dudek,Gayle W Miller Jr. Владелец: Gayle W Miller Jr. Дата публикации: 2008-06-19.

Reduced electric field dmos using self-aligned trench isolation

Номер патента: US20080135933A1. Автор: Michael Graf,Gayle W. Miller,Volker Dudek. Владелец: Atmel Corp. Дата публикации: 2008-06-12.

Reduced electric field dmos using self-aligned trench isolation

Номер патента: WO2007018896A2. Автор: Michael Graf,Volker Dudek,Gayle W. Miller, JR.. Владелец: ATMEL CORPORATION. Дата публикации: 2007-02-15.

LDMOS Transistor With Implant Alignment Spacers

Номер патента: US20220359727A1. Автор: Hernan Rueda,Martin Garcia,Rodney Arlan Barksdale,Stephen C. Chew,Wayne Geoffrey Risner. Владелец: NXP USA Inc. Дата публикации: 2022-11-10.

Method for forming self-aligned double pattern, and semiconductor structure

Номер патента: EP4181172A1. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-05-17.

Extended drain lateral dmos transistor with reduced gate charge and self-aligned extended drain

Номер патента: US20130244390A1. Автор: David R. Zinn. Владелец: Micrel Inc. Дата публикации: 2013-09-19.

Reduced electric field dmos using self-aligned trench isolation

Номер патента: EP1911095A2. Автор: Michael Graf,Volker Dudek,Gayle W. Miller, JR.. Владелец: Atmel Corp. Дата публикации: 2008-04-16.

Ldmos transistor with implant alignment spacers

Номер патента: EP4089741A2. Автор: Hernan Rueda,Martin Garcia,Rodney Arlan Barksdale,Stephen C. Chew,Wayne Geoffrey Risner. Владелец: NXP USA Inc. Дата публикации: 2022-11-16.

Completing middle of line integration allowing for self-aligned contacts

Номер патента: US9093557B2. Автор: Haiting Wang,Guillaume Bouche. Владелец: Globalfoundries Inc. Дата публикации: 2015-07-28.

Self-aligned two-time forming method capable of preventing sidewalls from being deformed

Номер патента: US11244833B1. Автор: Aimei Lin. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2022-02-08.

Method for fabricating y-direction, self-alignment mask rom device

Номер патента: US20030235955A1. Автор: Jen-Chuan Pan. Владелец: Individual. Дата публикации: 2003-12-25.

Self-aligned bipolar transistor using selective polysilicon growth

Номер патента: US4980739A. Автор: David P. Favreau. Владелец: Texas Instruments Inc. Дата публикации: 1990-12-25.

Method of making a self-aligned ferroelectric memory transistor

Номер патента: US20030071292A1. Автор: Tingkai Li,Sheng Hsu,Fengyan Zhang. Владелец: SHENG LABORATORIES OF AMERICA Inc. Дата публикации: 2003-04-17.

Mosfet having self-aligned gate and buried shield

Номер патента: EP1103074B1. Автор: Francois Hebert. Владелец: Rovec Acquisitions Ltd LLC. Дата публикации: 2010-01-06.

Method for forming self-aligned, dual silicon nitride liner for cmos devices

Номер патента: WO2006093730A1. Автор: Haining Yang,Thomas W. Dyer. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2006-09-08.

Method for forming self-aligned, dual silicon nitride liner for cmos devices

Номер патента: EP1856726A1. Автор: Haining Yang,Thomas W. Dyer. Владелец: International Business Machines Corp. Дата публикации: 2007-11-21.

Self-aligned trench mosfet structure and method of manufacture

Номер патента: WO2007114863A3. Автор: Christopher Boguslaw Kocon,Nathan Lawrence Kraft. Владелец: Nathan Lawrence Kraft. Дата публикации: 2008-10-30.

Self-aligned trench mosfet structure and method of manufacture

Номер патента: MY146754A. Автор: Kraft Nathan Lawrence,KOCON Christopher Boguslaw. Владелец: Fairchild Semiconductor. Дата публикации: 2012-09-14.

Self-aligned silicide gate technology for advanced deep submicron MOS device

Номер патента: US6239452B1. Автор: Qi Xiang,Ming-Ren Lin,Shekhar Pramanick. Владелец: Advanced Micro Devices Inc. Дата публикации: 2001-05-29.

Sacrificial fin for contact self-alignment

Номер патента: US20210328041A1. Автор: Eric Miller,Yann Mignot,Su Chen Fan,Indira Seshadri,Christopher J. Waskiewicz. Владелец: International Business Machines Corp. Дата публикации: 2021-10-21.

Method for forming a self-aligned twin well region with simplified processing

Номер патента: US20070212840A1. Автор: Gayle Miller,Bryan Sendelweck. Владелец: Individual. Дата публикации: 2007-09-13.

Method to make self-aligned vertical field effect transistor

Номер патента: US09899529B2. Автор: Mark Rodder,Joon Goo Hong,Borna Obradovic. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-02-20.

Method for fabricating a finFET metallization architecture using a self-aligned contact etch

Номер патента: US09818876B1. Автор: Guillaume Bouche. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-14.

Introducing self-aligned dopants in semiconductor fins

Номер патента: US09698018B1. Автор: Xintuo Dai,Jinping Liu,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2017-07-04.

Iterative self-aligned patterning

Номер патента: US09685332B2. Автор: De-Fang Chen,Chao-Cheng Chen,Chun-Hung Lee,Huan-Just Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-06-20.

Topological method to build self-aligned MTJ without a mask

Номер патента: US09666791B2. Автор: Xunyuan Zhang,Xiuyu Cai,Hyun-Jin Cho,Ruilong Xie,Seowoo Nam. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-30.

Methods of forming self-aligned contacts on FinFET devices

Номер патента: US09627274B1. Автор: Huang Liu,Xintuo Dai,Jinping Liu,Haifeng Sheng. Владелец: Globalfoundries Inc. Дата публикации: 2017-04-18.

Eeprom cell with self-aligned tunneling window

Номер патента: US20010042883A1. Автор: Sunil D. Mehta,Xiao Yu Li,Christopher O. Schmidt. Владелец: Individual. Дата публикации: 2001-11-22.

Methods of forming self aligned spacers for nanowire device structures

Номер патента: EP3394898A1. Автор: Anand Murthy,Karthik Jambunathan,Seiyon Kim,Jun Sung Kang,Glenn Glass. Владелец: Intel Corp. Дата публикации: 2018-10-31.

Vertical field effect transistors (vfets) with self-aligned wordlines

Номер патента: US20200020805A1. Автор: Gilbert Dewey,Abhishek A. Sharma,Ravi Pillarisetty,Van H. Le,Willy Rachmady. Владелец: Intel Corp. Дата публикации: 2020-01-16.

Monolithically integrated lateral bipolar device with self-aligned doped regions

Номер патента: WO2023161389A1. Автор: Edward John Coyne. Владелец: Analog Devices International Unlimited Company. Дата публикации: 2023-08-31.

Phase change memory cell with self-aligned vertical heater and low resistivity interface

Номер патента: US20150188040A1. Автор: Barbara Zanderighi,Francesco Pipia. Владелец: Micron Technology Inc. Дата публикации: 2015-07-02.

Bipolar transistor with self-aligned asymmetric spacer

Номер патента: US20230268401A1. Автор: Hong Yu,Vibhor Jain,Jianwei PENG. Владелец: GlobalFoundries US Inc. Дата публикации: 2023-08-24.

Method and system for a gallium nitride vertical jfet with self-aligned gate metallization

Номер патента: US20140203328A1. Автор: Richard J. Brown,Hui Nie,Donald R. Disney. Владелец: Avogy Inc. Дата публикации: 2014-07-24.

Methods for manufacturing enhancement-mode HEMTs with self-aligned field plate

Номер патента: US8168486B2. Автор: Francois Hebert. Владелец: INTERSIL AMERICAS LLC. Дата публикации: 2012-05-01.

Method for making a closed gate MOS transistor with self-aligned contacts with dual passivation layer

Номер патента: US4272881A. Автор: Rodney L. Angle. Владелец: RCA Corp. Дата публикации: 1981-06-16.

Method and system for a gan vertical jfet with self-aligned gate metallization

Номер патента: US20130299873A1. Автор: Richard J. Brown,Hui Nie,Donald R. Disney. Владелец: Avogy Inc. Дата публикации: 2013-11-14.

Techniques for device fabrication with self-aligned electrodes

Номер патента: EP2005499A2. Автор: Henning Sirringhaus,Paul A. Cain,Yong-Young Noh. Владелец: Plastic Logic Ltd. Дата публикации: 2008-12-24.

Junction field-effect transistor with self-aligning gate

Номер патента: GB2172747A. Автор: Franz-Josef Tegude. Владелец: International Standard Electric Corp. Дата публикации: 1986-09-24.

Vertical transistor structures having vertical-surrounding-gates with self-aligned features

Номер патента: US20070020819A1. Автор: Sanh Tang,Grant Huglin. Владелец: Individual. Дата публикации: 2007-01-25.

Quantum well structure with self-aligned gate and method of making the same

Номер патента: US5548129A. Автор: Randall L. Kubena. Владелец: Hughes Aircraft Co. Дата публикации: 1996-08-20.

Bipolar transistor with self-aligned asymmetric spacer

Номер патента: US11935928B2. Автор: Hong Yu,Vibhor Jain,Jianwei PENG. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-03-19.

Vertical memory cell with self-aligned thin film transistor

Номер патента: US11812600B2. Автор: Abhishek A. Sharma,Seung Hoon Sung,Jack Kavalieros,Van H. Le,Charles C. Kuo. Владелец: Intel Corp. Дата публикации: 2023-11-07.

Photodiode with self-aligned implants for high quantum efficiency and method of formation

Номер патента: US20070155040A1. Автор: Howard Rhodes,Chandra Mouli. Владелец: Individual. Дата публикации: 2007-07-05.

Bipolar transistor with self-aligned asymmetric spacer

Номер патента: EP4235799A1. Автор: Hong Yu,Vibhor Jain,Jianwei PENG. Владелец: GlobalFoundries US Inc. Дата публикации: 2023-08-30.

Linear MRAM device with a self-aligned bottom contact

Номер патента: US09553257B1. Автор: Michael C. Gaidis,Rohit Kilaru,Anthony J. Annunziata. Владелец: International Business Machines Corp. Дата публикации: 2017-01-24.

Linear MRAM device with a self-aligned bottom contact

Номер патента: US09553128B1. Автор: Michael C. Gaidis,Rohit Kilaru,Anthony J. Annunziata. Владелец: International Business Machines Corp. Дата публикации: 2017-01-24.

A method of fabricating cmos devices with ultra-shallow junctions and reduced drain area

Номер патента: EP1008174A1. Автор: Ognjen Milic-Strkalj. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-06-14.

Self-alignment scheme for a heterojunction bipolar transistor

Номер патента: US20090140297A1. Автор: Anna Topol,Francois Pagette. Владелец: International Business Machines Corp. Дата публикации: 2009-06-04.

Self-aligned vertical transistor dram structure and its manufacturing methods

Номер патента: US20040036519A1. Автор: Ching-Yuan Wu. Владелец: Intelligent Sources Development Corp. Дата публикации: 2004-02-26.

Self aligned symmetric intrinsic process and device

Номер патента: WO2000021125A1. Автор: Paul M. Enquist. Владелец: Research Triangle Institute. Дата публикации: 2000-04-13.

Self aligned symmetric intrinsic process and device

Номер патента: US20020100916A1. Автор: Paul Enquist. Владелец: Ziptronix Inc. Дата публикации: 2002-08-01.

Self-aligned silicon germanium FinFET with relaxed channel region

Номер патента: US09917194B2. Автор: Pierre Morin,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2018-03-13.

Self-aligned metal oxide thin-film transistor component and manufacturing method thereof

Номер патента: US09564536B2. Автор: Peng Wei,Xiaojun Yu,Zihong Liu. Владелец: Shenzhen Royole Technologies Co Ltd. Дата публикации: 2017-02-07.

Vertical power MOS device with increased ruggedness and method of fabrication

Номер патента: US5374571A. Автор: Satyendranath Mukherjee,Manjin J. Kim. Владелец: North American Philips Corp. Дата публикации: 1994-12-20.

Self-aligned shield structure for realizing high frequency power mosfet devices with improved reliability

Номер патента: WO2000049663A1. Автор: Francois Hebert,Szehim Daniel Ng. Владелец: Spectrian. Дата публикации: 2000-08-24.

Linear mram device with a self-aligned bottom contact

Номер патента: US20170005135A1. Автор: Michael C. Gaidis,Rohit Kilaru,Anthony J. Annunziata. Владелец: International Business Machines Corp. Дата публикации: 2017-01-05.

Self-aligned embedded phase change memory cell

Номер патента: US20200161370A1. Автор: Charles C. Kuo. Владелец: Intel Corp. Дата публикации: 2020-05-21.

Method of fabricating self aligned schottky junctions for semiconductors devices

Номер патента: EP1958244A1. Автор: Markus Müller. Владелец: NXP BV. Дата публикации: 2008-08-20.

Adhesive with Self-Connecting Interconnects

Номер патента: US20180130766A1. Автор: Belgacem Haba. Владелец: Invensas LLC. Дата публикации: 2018-05-10.

Memory cells having a self-aligning polarizer

Номер патента: US20150270480A1. Автор: Wei Chen,Jonathan D. Harms,Sunil S. Murthy. Владелец: Micron Technology Inc. Дата публикации: 2015-09-24.

Non-planar semiconductor device having self-aligned fin with top blocking layer

Номер патента: US09780217B2. Автор: Walid M. Hafez,Chia-Hong Jan,Jeng-Ya D. YEH,Joodong Park. Владелец: Intel Corp. Дата публикации: 2017-10-03.

Solar cell emitter region fabrication using self-aligned implant and cap

Номер патента: US09577134B2. Автор: Timothy Weidman. Владелец: SunPower Corp. Дата публикации: 2017-02-21.

Solder self-alignment methods

Номер патента: US5249733A. Автор: Michael F. Brady,Rajan D. Deshmukh. Владелец: AT&T Bell Laboratories Inc. Дата публикации: 1993-10-05.

N-channel clamp for ESD protection in self-aligned silicided CMOS process

Номер патента: US5262344A. Автор: Kaizad R. Mistry. Владелец: Digital Equipment Corp. Дата публикации: 1993-11-16.

Power semiconductor device with new guard ring termination design and method for producing same

Номер патента: US20110147880A1. Автор: Arnost Kopta,Sven Matthias. Владелец: ABB TECHNOLOGY AG. Дата публикации: 2011-06-23.

Photonic device with integrated hybrid microlens array

Номер патента: US20070126010A1. Автор: Eric Peeters,Patrick Maeda,Christopher Chua,Michel Rosa. Владелец: Palo Alto Research Center Inc. Дата публикации: 2007-06-07.

Self-aligned schottky-barrier clamped planar DMOS transistor structure and its manufacturing methods

Номер патента: US20060131619A1. Автор: Ching-Yuan Wu. Владелец: Silicon-Based Technology Corp. Дата публикации: 2006-06-22.

Self-aligned nanometer-level transistor defined without lithography

Номер патента: US20080128815A1. Автор: Bohumil Lojek. Владелец: Atmel Corp. Дата публикации: 2008-06-05.

Self-aligned flash memory device

Номер патента: US09978761B2. Автор: Ming-Chyi Liu,Shih-Chang Liu,Sheng-Chieh Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-22.

Self-aligned split gate eprom process

Номер патента: US4795719A. Автор: Boaz Eitan. Владелец: Waferscale Integration Inc. Дата публикации: 1989-01-03.

N-channel clamp for esd protection in self-aligned silicided cmos process

Номер патента: CA2039777A1. Автор: Kaizad Rumy Mistry. Владелец: Digital Equipment Corp. Дата публикации: 1991-10-28.

Method of fabricating a self-aligned contact trench DMOS transistor structure

Номер патента: US5665619A. Автор: Izak Bencuya,Sze-Hon Kwan. Владелец: National Semiconductor Corp. Дата публикации: 1997-09-09.

Method of manufacture for self-aligned floating gate memory cell

Номер патента: US4355455A. Автор: Charles E. Boettcher. Владелец: National Semiconductor Corp. Дата публикации: 1982-10-26.

Method of fabricating a self-aligned double recess gate profile

Номер патента: US5556797A. Автор: Danny Li,Tom Y. Chi,Liping D. Hou,Kusol Lee,Ishver K. Naik,Tom Quach. Владелец: Hughes Aircraft Co. Дата публикации: 1996-09-17.

Self-aligned split gate flash memory

Номер патента: US9536969B2. Автор: Shih-Chang Liu,Chang-Ming Wu,Tsung-Hsueh Yang,Chung-Chiang Min. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-03.

Self-aligned CMOS process for bulk silicon and insulating substrate device

Номер патента: US4047284A. Автор: Gregorio Spadea. Владелец: National Semiconductor Corp. Дата публикации: 1977-09-13.

Triple self-aligned bipolar junction transistor

Номер патента: US5382828A. Автор: Rashid Bashir,Gerold W. Neudeck. Владелец: PURDUE RESEARCH FOUNDATION. Дата публикации: 1995-01-17.

Fabrication method of self-aligned trenched power semiconductor structure

Номер патента: US20110306194A1. Автор: Chun Ying Yeh. Владелец: Great Power Semiconductor Corp. Дата публикации: 2011-12-15.

Self aligned field effect transistor structure

Номер патента: US20100155793A1. Автор: Lee-mi Do,Kyu-Ha Baek. Владелец: Electronics and Telecommunications Research Institute ETRI. Дата публикации: 2010-06-24.

Semi-conductor device with self-protection against overvoltage

Номер патента: GB988264A. Автор: . Владелец: Compagnie Generale dElectricite SA. Дата публикации: 1965-04-07.

Embedded MRAM Device with Top Via

Номер патента: US20210242277A1. Автор: Ekmini Anuja De Silva,Ashim Dutta,Dominik Metzler. Владелец: International Business Machines Corp. Дата публикации: 2021-08-05.

Self-aligned gated emitter tip arrays

Номер патента: US20140285084A1. Автор: Arash Akhavan Fomani,Luis Fernando Velasquez-Garcia,Akintunde Ibitayo Akinwande. Владелец: Individual. Дата публикации: 2014-09-25.

Structures and methods of self-aligned gate for sb-based fets

Номер патента: US20130075822A1. Автор: Heng-Kuang Lin,Han-Chieh Ho. Владелец: National Central University. Дата публикации: 2013-03-28.

Self aligned gate jfet structure and method

Номер патента: WO2007146734A3. Автор: Ashok Kumar Kapoor. Владелец: Ashok Kumar Kapoor. Дата публикации: 2008-02-21.

Non-volatile memory transistor with a self-aligned nitride storage layer

Номер патента: EP2434535A3. Автор: Hsin-Ming Chen,Ching-Sung Yang,Hau-yan Lu. Владелец: eMemory Technology Inc. Дата публикации: 2015-07-01.

Method for manufacturing a self-aligned MOS transistor

Номер патента: US20030082881A1. Автор: Ting-Chang Chang,Cheng-Jer Yang,Huang-Chung Cheng. Владелец: United Microelectronics Corp. Дата публикации: 2003-05-01.

Method and system for reducing short channel effects in a memory device formed using a self-aligned source

Номер патента: US20010050400A1. Автор: Yu Sun,Mark T. Ramsbey,Tommy Hsiao. Владелец: Individual. Дата публикации: 2001-12-13.

Select gate self-aligned patterning in split-gate flash memory cell

Номер патента: US10553596B2. Автор: Douglas Tad GRIDER, III,Xiangzheng Bo,John MacPeak. Владелец: Texas Instruments Inc. Дата публикации: 2020-02-04.

Select gate self-aligned patterning in split-gate flash memory cell

Номер патента: US20180254281A1. Автор: Douglas Tad GRIDER, III,Xiangzheng Bo,John MacPeak. Владелец: Texas Instruments Inc. Дата публикации: 2018-09-06.

Process for self-aligned source for high density memory

Номер патента: WO1997003470A1. Автор: Steven W. Longcor,James Hsu. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 1997-01-30.

Method and apparatus for allowing formation of self-aligned base contacts

Номер патента: US20050029625A1. Автор: Tahir Hussain,Mary Montes,Rajesh Rajavel. Владелец: HRL LABORATORIES LLC. Дата публикации: 2005-02-10.

Self-aligned crossbar-compatible electrochemical memory structure

Номер патента: US20230165015A1. Автор: John Rozen. Владелец: International Business Machines Corp. Дата публикации: 2023-05-25.

Select gate self-aligned patterning in split-gate flash memory cell

Номер патента: US09966380B1. Автор: Douglas Tad GRIDER, III,Xiangzheng Bo,John MacPeak. Владелец: Texas Instruments Inc. Дата публикации: 2018-05-08.

Self aligning soldering

Номер патента: US09825194B2. Автор: Slava Hasin,Ron HELFAN. Владелец: Essence Solar Solutions Ltd. Дата публикации: 2017-11-21.

Systems and methods for fabricating self-aligned resistive/magnetic memory cell

Номер патента: US09711714B2. Автор: Makoto Nagashima. Владелец: 4D S Pty Ltd. Дата публикации: 2017-07-18.

Self-aligned contact for trench power MOSFET

Номер патента: US09691863B2. Автор: Wenjun Li,Sik Lui,Hongyong Xue,Jowei Dun,Ching-Kai Lin,Yi Chang Yang,Terence Huang. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2017-06-27.

Double self-aligned phase change memory device structure

Номер патента: US09640757B2. Автор: Jun-Fei Zheng. Владелец: Entegris Inc. Дата публикации: 2017-05-02.

Self-aligned cross-point phase change memory-switch array

Номер патента: US09590012B2. Автор: Jong Won Lee,DerChang Kau,Gianpaolo Spadini. Владелец: Micron Technology Inc. Дата публикации: 2017-03-07.

Magnetically connectable device with self-aligning connector

Номер патента: US09876311B2. Автор: Timothy Jing Yin SZETO,Jeremy Zhi-Qiao Chan. Владелец: Nanoport Technology Inc. Дата публикации: 2018-01-23.

Method of manufacturing high capacitance anode and cathode films of capacitor

Номер патента: US20230010446A1. Автор: Shih-Pao Chien. Владелец: Trusval Technology Co Ltd. Дата публикации: 2023-01-12.

Integrated installation assembly with self aligning connector

Номер патента: US5128830A. Автор: Jeffrey M. Lewis,Alfred E. Deluca,David T. Symmes. Владелец: Digital Equipment Corp. Дата публикации: 1992-07-07.

High capacitance laminated buss and method of manufacture

Номер патента: CA1218123A. Автор: Kazuo Inoue. Владелец: Nippon Mektron KK. Дата публикации: 1987-02-17.

High capacitance single layer capacitor

Номер патента: US9324499B2. Автор: Ali Moalemi,Euan Patrick Armstrong. Владелец: Knowles Capital Formation Inc. Дата публикации: 2016-04-26.

Electronic device with magnetic connector

Номер патента: US20180123286A1. Автор: Timothy Jing Yin SZETO,Jeremy Zhi-Qiao Chan. Владелец: Nanoport Technology Inc. Дата публикации: 2018-05-03.

Method and system for self-alignment of signals in large-scale phased array systems

Номер патента: US12046829B2. Автор: Shahriar SHAHRAMIAN,Rosanah Murugesu. Владелец: NOKIA SOLUTIONS AND NETWORKS OY. Дата публикации: 2024-07-23.

Laser with self-start of mod synchronization

Номер патента: RU2642892C9. Автор: Ференц РАКШИ. Владелец: Алькон Ленскс, Инк.. Дата публикации: 2018-09-17.

Laser with self-start of mod synchronization

Номер патента: RU2642892C2. Автор: Ференц РАКШИ. Владелец: Алькон Ленскс, Инк.. Дата публикации: 2018-01-29.

Self-aligning connector

Номер патента: US09774136B2. Автор: Timothy Jing Yin SZETO,Jeremy Zhi-Qiao Chan. Владелец: Nanoport Technology Inc. Дата публикации: 2017-09-26.

Self-alignment for wireless charging

Номер патента: US20230077596A1. Автор: Jonathan D. Hurwitz,Christina L. Gilbert. Владелец: Google LLC. Дата публикации: 2023-03-16.

Self-alignment systems for stackable batteries

Номер патента: US20240178504A1. Автор: Sam Hoff,Raj Sohmshetty,Ireneusz TRYBULA. Владелец: FORD GLOBAL TECHNOLOGIES LLC. Дата публикации: 2024-05-30.

Thin-walled electrochemical cell with self-formed separator

Номер патента: RU2297694C2. Автор: Шалом ЛУСКИ,Эхуд ШХОРИ. Владелец: Пауэр Пэйпер Лтд.. Дата публикации: 2007-04-20.

Self-aligning connector assembly

Номер патента: US11831103B1. Автор: James Feister,Christopher Leighton Brown. Владелец: Lockheed Martin Corp. Дата публикации: 2023-11-28.

Method and system for self-alignment of signals in large-scale phased array systems

Номер патента: WO2020167300A1. Автор: Shahriar SHAHRAMIAN,Rosanah Murugesu. Владелец: Nokia of America Corporation. Дата публикации: 2020-08-20.

System and method for self - aligning hub connector

Номер патента: CA3205989A1. Автор: Jiri Slaby,James Rowe,Greg Nielan,Vincent KHAU,David GORGI. Владелец: Baxter International Inc. Дата публикации: 2022-07-07.

Self-aligning components for electrochemical cells

Номер патента: WO2004051765A3. Автор: ANDREWS Craig,James Evans,Chris Boyer,Brad Fiebig,James Layton,Jim Chepin. Владелец: Lynntech Power Systems Ltd. Дата публикации: 2006-09-21.

System and method for self-aligning hub connector

Номер патента: US12095203B2. Автор: Jiri Slaby,James Rowe,Greg Nielan,Vincent KHAU,David GORGI. Владелец: Baxter International Inc. Дата публикации: 2024-09-17.

Self-aligning portable actuator for remotely operating a power circuit breaker

Номер патента: US9117597B2. Автор: Charles Mark McClung,Russell Richard Safreed, III. Владелец: Martek Ltd. Дата публикации: 2015-08-25.

Self-aligning terminal block for battery pack

Номер патента: US7659694B2. Автор: Paul W Griffin. Владелец: Snap On Inc. Дата публикации: 2010-02-09.

Apparatus and method for self-aligning a cover ring in a sputter chamber

Номер патента: US20030075433A1. Автор: Chung-En Kao,Min-Te Lai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2003-04-24.

Process for making a self-aligned waveguide

Номер патента: US10312568B2. Автор: David P. Pappas. Владелец: US Department of Commerce. Дата публикации: 2019-06-04.

Process for making a self-aligned waveguide

Номер патента: US20190051966A1. Автор: XIAN Wu,Mustafa Bal,David P. Pappas. Владелец: US Department of Commerce. Дата публикации: 2019-02-14.

Self-aligning mate assurance modular docking electrical connector system

Номер патента: EP4148914A1. Автор: Jeffrey S. Campbell,Wesley W. Weber. Владелец: Aptiv Technologies Ltd. Дата публикации: 2023-03-15.

Broadband mimo antenna with self-decoupling characteristics

Номер патента: US20230138099A1. Автор: QIAN LI,Jun Zhang,Zhong Yu. Владелец: Xi'an University Of Posts&telecommunications. Дата публикации: 2023-05-04.

Self-aligned ion guide construction

Номер патента: EP1485938A1. Автор: Roger C. Tong. Владелец: Varian Inc. Дата публикации: 2004-12-15.

Self-aligning mechanical fastener

Номер патента: WO2023215160A1. Автор: Ankit Srivastava. Владелец: Ample Inc.. Дата публикации: 2023-11-09.

Self-aligned ion guide construction

Номер патента: US20030173515A1. Автор: Roger Tong. Владелец: Varian Inc. Дата публикации: 2003-09-18.

Self-Aligning Connector Interface

Номер патента: US20170271817A1. Автор: Thomas Binder,Augustin PICKEL. Владелец: Spinner GmbH. Дата публикации: 2017-09-21.

Self-aligning connector interface

Номер патента: WO2016075157A1. Автор: Thomas Binder,Augustin PICKEL. Владелец: Spinner GmbH. Дата публикации: 2016-05-19.

Demountable cathode glow discharge tube, particularly for self-aligning spectroscopic devices

Номер патента: US3633990A. Автор: George Baierlein. Владелец: BAIR ATOMIC Inc. Дата публикации: 1972-01-11.

Self-aligning connector interface

Номер патента: US09929507B2. Автор: Thomas Binder,Augustin PICKEL. Владелец: Spinner GmbH. Дата публикации: 2018-03-27.

Self-aligning drive with a virtual ellipse

Номер патента: RU2753017C1. Автор: Роберт Дж. АТМУР,Уильям Патрик САРДЖЕНТ. Владелец: Зе Боинг Компани. Дата публикации: 2021-08-11.

High-speed communication link with self-aligned scrambling

Номер патента: EP4360268A1. Автор: Lior Amarilio,Sharon Graif,Tomer Rafael Ben-Chen. Владелец: Qualcomm Inc. Дата публикации: 2024-05-01.

High-capacitance dynamic random access memory cell having a storage capacitor on a continuous irregular surface

Номер патента: US5936273A. Автор: Anchor Chen. Владелец: United Microelectronics Corp. Дата публикации: 1999-08-10.

High-speed communication link with self-aligned scrambling

Номер патента: US11843486B2. Автор: Lior Amarilio,Sharon Graif,Tomer Rafael Ben-Chen. Владелец: Qualcomm Inc. Дата публикации: 2023-12-12.

Coupled quantum dots with self-aligned gates

Номер патента: US20240196767A1. Автор: Peter Mueller,Kirsten Emilie Moselund,Bogdan Cezar Zota. Владелец: International Business Machines Corp. Дата публикации: 2024-06-13.

Floating gate memory device with increased coupling coefficient

Номер патента: WO2008147542A1. Автор: Fredrick B. Jenne. Владелец: CYPRESS SEMICONDUCTOR CORPORATION. Дата публикации: 2008-12-04.

Three terminal phase change memory with self-aligned contacts

Номер патента: US12108692B2. Автор: TIAN Shen,Jingyun Zhang,Heng Wu,Kevin W. Brew. Владелец: International Business Machines Corp. Дата публикации: 2024-10-01.

Method and arrangement for eliminating the influence of high-capacitance nodes

Номер патента: WO1996009709A3. Автор: Nianxiong Tan. Владелец: Forskarpatent I Linkoeping Ab. Дата публикации: 1996-06-06.

Method and arrangement for eliminating the influence of high-capacitance nodes

Номер патента: WO1996009709A2. Автор: Nianxiong Tan. Владелец: Forskarpatent I Linköping Ab. Дата публикации: 1996-03-28.

High capacitance memory device

Номер патента: US20240357745A1. Автор: Chih-Chieh Kao. Владелец: Innodisk Corp. Дата публикации: 2024-10-24.

High capacitance module

Номер патента: US20240357746A1. Автор: Chih-Chieh Kao. Владелец: Innodisk Corp. Дата публикации: 2024-10-24.

Method of making high capacitive multilayer conductive bars

Номер патента: US4584768A. Автор: Giorgio Tosti. Владелец: Mecondor SpA. Дата публикации: 1986-04-29.

High capacitance bus bar

Номер патента: CA1127254A. Автор: Donald H. Devries. Владелец: Rogers Corp. Дата публикации: 1982-07-06.

High capacitance bus bar and method of manufacture thereof

Номер патента: CA1143021A. Автор: Masaaki Muto,Sunichi Fukuda. Владелец: Rogers Corp. Дата публикации: 1983-03-15.

Crossbar array with self-formed micro channel insulator metal transition and self-aligned to rram filament

Номер патента: WO2023211509A1. Автор: Ning Ge,Minxian Zhang. Владелец: TETRAMEM INC.. Дата публикации: 2023-11-02.

Hybrid induction electric motor with self-aligning inner rotor based on permanent magnets

Номер патента: RU2638829C2. Автор: Луис ФИНКЛ. Владелец: Луис ФИНКЛ. Дата публикации: 2017-12-18.

Hybrid electric motor with self aligning permanent magnet and squirrel cage rotors

Номер патента: RU2669206C2. Автор: Луис ФИНКЛ. Владелец: Луис ФИНКЛ. Дата публикации: 2018-10-09.

Efficient power amplifier for high capacitive devices

Номер патента: US4628275A. Автор: John D. Skipper,Steven M. Long. Владелец: Rockwell International Corp. Дата публикации: 1986-12-09.

Method to scale dram with self aligned bit line process

Номер патента: US11765889B2. Автор: Abdul Wahab MOHAMMED,Gill Yong Lee,Sung-Kwan Kang,Fredrick FISHBURN. Владелец: Applied Materials Inc. Дата публикации: 2023-09-19.

Method to scale dram with self aligned bit line process

Номер патента: WO2022232473A1. Автор: Abdul Wahab MOHAMMED,Gill Yong Lee,Sung-Kwan Kang,Fredrick FISHBURN. Владелец: Applied Materials, Inc.. Дата публикации: 2022-11-03.

Stack DRAM cell manufacturing process with high capacitance capacitor

Номер патента: US5824582A. Автор: Horng-Huei Tseng. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 1998-10-20.

Method and structure for high capacitance memory cells

Номер патента: US6812513B2. Автор: Leonard Forbes,Kie Y. Ahn,Joseph E. Geusic. Владелец: Micron Technology Inc. Дата публикации: 2004-11-02.

High capacitance bus bar including multilayer ceramic capacitors

Номер патента: CA1187953A. Автор: Michael M. Gottlieb. Владелец: Rogers Corp. Дата публикации: 1985-05-28.

BGA Element Self-Alignment Structure and Alignment Method

Номер патента: US20240334592A1. Автор: Dongdong Shao. Владелец: Shenzhen Siptory Technology Co Ltd. Дата публикации: 2024-10-03.

Unified protocol device with self functional test and associated method

Номер патента: US20180115480A1. Автор: Horng-Bin WANG,Hsuan-Jung Hsu,Liang-Yen Wang. Владелец: MediaTek Inc. Дата публикации: 2018-04-26.

Electronic devices with flexible display cover layers

Номер патента: US11991901B2. Автор: Paul S. Drzaic,Young Cheol Yang,Hoon Sik Kim,Leiming WANG,Chang-Chia HUANG,Yasmin F. Afsar,Terry C. Lam. Владелец: Apple Inc. Дата публикации: 2024-05-21.

Wirelessly powered self-aligning lamp

Номер патента: US20240297537A1. Автор: Xiaobing Wang,Liangqing LI. Владелец: Goodwell International Hong Kong Co Ltd. Дата публикации: 2024-09-05.

Self-Aligning Lens Holder and Camera Assembly

Номер патента: US20190293896A1. Автор: Dincer Bozkaya,Dragan Pajevic,Matthew Anthony Brady,Douglas Scott Crow,Seth A. Savage. Владелец: Amazon Technologies Inc. Дата публикации: 2019-09-26.

Memory cells having a self-aligning polarizer

Номер патента: WO2015142614A1. Автор: Wei Chen,Jonathan D. Harms,Sunil S. Murthy. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2015-09-24.

Method of fabricating nonvolatile semiconductor memory devices with select gates

Номер патента: US5953611A. Автор: Makoto Tanaka. Владелец: Ricoh Co Ltd. Дата публикации: 1999-09-14.

Self-aligning virtual elliptical drive

Номер патента: AU2018202183B2. Автор: Robert J. Atmur,William Patrick SARGENT. Владелец: Boeing Co. Дата публикации: 2023-11-16.

Integrated circuit self-aligning process and apparatus

Номер патента: US5691216A. Автор: Wu-An Weng,Lee-Wei Yen. Владелец: Macronix International Co Ltd. Дата публикации: 1997-11-25.

Oxygen implant self-aligned, floating gate and isolation structure

Номер патента: US6066530A. Автор: Michael K. Templeton,Kathleen R. Early. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-05-23.

Method of making a self-aligned recessed container cell capacitor

Номер патента: US6258660B1. Автор: Karl M. Robinson,Michael A. Walker. Владелец: Micron Technology Inc. Дата публикации: 2001-07-10.

Self-aligning lens holder and camera assembly

Номер патента: GB2587717A. Автор: Bozkaya Dincer,Pajevic Dragan,Anthony Brady Matthew,Scott Crow Douglas,A Savage Seth. Владелец: Amazon Technologies Inc. Дата публикации: 2021-04-07.

Self-alignment protection device for permanent-magnet coupling

Номер патента: CA2945372C. Автор: Junfeng Xu,Fuxing Qi. Владелец: Jiangsu Magnet Valley Technologies Co ltd. Дата публикации: 2020-01-07.

Power supply system with self-excited drive function

Номер патента: EP3952086A1. Автор: Xin-Hung LIN,Wen-Yu Huang. Владелец: Delta Electronics Inc. Дата публикации: 2022-02-09.

Tires with self generation and sound absorption

Номер патента: US11993107B2. Автор: Soon Hong So,Jung Hee Choi,Chang Hyo Hong. Владелец: Hankook Tire and Technology Co Ltd. Дата публикации: 2024-05-28.

Motor with self power generation

Номер патента: US20180234005A1. Автор: Chia-Jen LIN,Feng-Chieh LIN,Chia-Ching Wu. Владелец: Teco Electric and Machinery Co Ltd. Дата публикации: 2018-08-16.

Cross-Point Self-Aligned Reduced Cell Size Phase Change Memory

Номер патента: US20120087181A1. Автор: Erh-Kun Lai,Hsiang-Lan Lung. Владелец: Macronix International Co Ltd. Дата публикации: 2012-04-12.

Novel dc solid-state circuit breaker with self-adapt current limiting capability and the control method thereof

Номер патента: US20210226439A1. Автор: BIN Li,Jiawei HE. Владелец: TIANJIN UNIVERSITY. Дата публикации: 2021-07-22.

Forward converter with self-driven synchronous rectifiers

Номер патента: EP2040365A3. Автор: Chih-Liang Wang,Ching-Sheng Yu. Владелец: Glacialtech Inc. Дата публикации: 2009-11-25.

Self-aligning and self-orientating swivel lock mechanism for desktop monitors

Номер патента: US20240125424A1. Автор: Ghee Beng Ooi,Surendran Ramachanthiran,Fong Tien Chee. Владелец: Dell Products LP. Дата публикации: 2024-04-18.

Apparatus and methods for monitoring self-aligned contact arrays

Номер патента: WO2003003375A3. Автор: Sanjay Tandon,Kurt H Weiner,Peter D Nunan. Владелец: KLA Tencor Corp. Дата публикации: 2003-08-21.

Apparatus and methods for monitoring self-aligned contact arrays

Номер патента: WO2003003375A2. Автор: Kurt H. Weiner,Peter D. Nunan,Sanjay Tandon. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2003-01-09.

Press with self aligning tooling

Номер патента: WO1989002845A1. Автор: Dean W. Martin. Владелец: CATERPILLAR INC.. Дата публикации: 1989-04-06.

Fiber optic connector microlens with self-aligning optical fiber cavity

Номер патента: US20110286698A1. Автор: Subhash Roy,Igor Zhovnirovsky,Reid Greenberg. Владелец: Individual. Дата публикации: 2011-11-24.

Gyratory crusher with self-aligning mainshaft features and method of assembly thereof

Номер патента: US20240299947A1. Автор: Stephen Richard HARRIS,William George Malone. Владелец: FLSmidth AS. Дата публикации: 2024-09-12.

Reducing Streaming ASR Model Delay With Self Alignment

Номер патента: US20240371379A1. Автор: Han Lu,Anshuman Tripathi,Qian Zhang,JaeYoung Kim,Hasim Sak. Владелец: Google LLC. Дата публикации: 2024-11-07.

Reducing streaming ASR model delay with self alignment

Номер патента: US12057124B2. Автор: Han Lu,Anshuman Tripathi,Qian Zhang,JaeYoung Kim,Hasim Sak. Владелец: Google LLC. Дата публикации: 2024-08-06.

High capacitance mirror driver cell

Номер патента: EP1012660A1. Автор: John F. Stockton. Владелец: Thomson Consumer Electronics Inc. Дата публикации: 2000-06-28.

High capacitance mirror driver cell

Номер патента: WO1999008152A1. Автор: John F. Stockton. Владелец: Thomson Consumer Electronics, Inc.. Дата публикации: 1999-02-18.

Tree delimbing device with self-aligning cutter head assembly

Номер патента: CA2168600C. Автор: Thomas E. Hamby, Jr.. Владелец: Individual. Дата публикации: 2005-12-06.

Fluid thrust assembly with self-aligning thrust bearings

Номер патента: CA2460475C. Автор: George A. Thomson,Keith Laskey. Владелец: Thordon Bearings Inc. Дата публикации: 2006-12-19.

400g silicon photonic package with self-aligned fiber

Номер патента: US20240280766A1. Автор: Mark Tieu Ming Seng. Владелец: Shunyun Technology Zhongshan Ltd. Дата публикации: 2024-08-22.

Container with self aligning magnetic sleeve

Номер патента: US20210261287A1. Автор: Sean Miller,Michael Mchale,Stephan WEMBACHER,Atman BUCH,Sophie LEFBVRE. Владелец: Weltraum GmbH. Дата публикации: 2021-08-26.

Container with self aligning magnetic sleeve

Номер патента: CA3073668A1. Автор: Sean Miller,Michael Mchale,Sophie Lefebvre,Stephan WEMBACHER,Atman BUCH. Владелец: Cosmo International Ltd. Дата публикации: 2021-08-24.

Inflation device with self aligning crank handle

Номер патента: EP3934729A1. Автор: Gregory R. McArthur. Владелец: Merit Medical Systems Inc. Дата публикации: 2022-01-12.

Gyratory crusher with self-aligning mainshaft features and method of assembly thereof

Номер патента: US11850600B2. Автор: Stephen Richard HARRIS,William George Malone. Владелец: FLSmidth AS. Дата публикации: 2023-12-26.

Gyratory crusher with self-aligning mainshaft features and method of assembly thereof

Номер патента: US20230330681A1. Автор: Stephen Richard HARRIS,William George Malone. Владелец: FLSmidth AS. Дата публикации: 2023-10-19.

Gyratory crusher with self-aligning mainshaft features and method of assembly thereof

Номер патента: EP4210873A1. Автор: Stephen Richard HARRIS,William George Malone. Владелец: FLSmidth AS. Дата публикации: 2023-07-19.

Gyratory crusher with self-aligning mainshaft features and method of assembly thereof

Номер патента: AU2021339095B2. Автор: Stephen Richard HARRIS,William George Malone. Владелец: FLSmidth AS. Дата публикации: 2024-05-23.

Batching device with self-cleaning nozzle

Номер патента: RU2382732C2. Автор: Балакрисхна РЕДДИ,Герхард УФЕЛЬ,Ричард ФАРРЕЛЛ. Владелец: НЕСТЕК С.А.. Дата публикации: 2010-02-27.

Reducing streaming asr model delay with self alignment

Номер патента: WO2022203735A1. Автор: Han Lu,Anshuman Tripathi,Qian Zhang,JaeYoung Kim,Hasim Sak. Владелец: Google LLC. Дата публикации: 2022-09-29.

Reducing streaming asr model delay with self alignment

Номер патента: EP4295356A1. Автор: Han Lu,Anshuman Tripathi,Qian Zhang,JaeYoung Kim,Hasim Sak. Владелец: Google LLC. Дата публикации: 2023-12-27.

Carton unloader with self-aligning interface

Номер патента: US20180257878A1. Автор: Michael L. Girtman,John Simons,Nathan Blough,Dean Roper,Steven W. GRIGGS. Владелец: INTELLIGRATED HEADQUARTERS LLC. Дата публикации: 2018-09-13.

Archery bow with self-aligning combination handgrip and forearm protector

Номер патента: CA1285190C. Автор: Emil Vyprachticky. Владелец: Individual. Дата публикации: 1991-06-25.

Opening device with self-flipping lid-body

Номер патента: US20030223744A1. Автор: Chin-Shu Wu. Владелец: Aiptek International Inc. Дата публикации: 2003-12-04.

Access port system with self-adjusting catheter length

Номер патента: AU2019378007B2. Автор: Pericles Calias,Michel MORENCY. Владелец: Imotik Biosolutions LLC. Дата публикации: 2024-08-08.

Access port system with self-adjusting catheter length

Номер патента: US20240335647A1. Автор: Pericles Calias,Michel MORENCY. Владелец: Imotik Biosolutions LLC. Дата публикации: 2024-10-10.

Six-wheel bogie with self-aligning axles

Номер патента: RU2278040C2. Автор: Вольфганг АУЕР. Владелец: БОМБАРДИР ТРАНСПОРТАЦИОН ГМБХ. Дата публикации: 2006-06-20.

Motion board drive system with self alignment

Номер патента: US4771558A. Автор: William D. Roberts,Graham M. Stopford. Владелец: ESKRIDGE JOE JOHN. Дата публикации: 1988-09-20.

Train having protection device with self-adaptive crashworthiness

Номер патента: US20240067237A1. Автор: Yao Yu,JIAN Li,Jialin Li,Guangjun GAO,Zihao XIE. Владелец: CENTRAL SOUTH UNIVERSITY. Дата публикации: 2024-02-29.

Sponge-based cleaning device with self-contained detergent reservoir

Номер патента: US20170112346A1. Автор: Jiaxing Tian. Владелец: Individual. Дата публикации: 2017-04-27.

Self-aligning device to patch interface

Номер патента: US20200245936A1. Автор: Charles Rector,Brian Walter KRONSTEDT,Timothy Jon ALPERS. Владелец: Preventice Technologies Inc. Дата публикации: 2020-08-06.

Self-aligning device to patch interface

Номер патента: WO2020159561A1. Автор: Charles Rector,Brian Walter KRONSTEDT,Timothy Jon ALPERS. Владелец: Preventice Technologies, Inc.. Дата публикации: 2020-08-06.

Self-aligning device to patch interface

Номер патента: EP3917387A1. Автор: Charles Rector,Brian Walter KRONSTEDT,Timothy Jon ALPERS. Владелец: Preventice Technologies Inc. Дата публикации: 2021-12-08.

Self-aligning antifriction bearing and its cage

Номер патента: RU2319047C2. Автор: Райнер ШРЁДЕР,Мартин ГРЕН. Владелец: Шефлер Кг. Дата публикации: 2008-03-10.

Self-aligning fitting assemblies and systems and methods including the same

Номер патента: WO2015053836A1. Автор: Tibor A. Koncz. Владелец: The Boeing Company. Дата публикации: 2015-04-16.

Laminar composite structure with self-restoring layer

Номер патента: RU2494872C2. Автор: Энцо КОЗЕНТИНО. Владелец: Эйрбас Оперэйшнз Лимитед. Дата публикации: 2013-10-10.

Improvements in or relating to a lathe for engraving cylindrical surfaces, with self-rectification

Номер патента: GB599709A. Автор: . Владелец: RELIEPHOGRAPHIE SOC POUR L EXP. Дата публикации: 1948-03-18.

Self-alignment device for ballscrew arrangement

Номер патента: US4787260A. Автор: Edward J. Bailey. Владелец: Milacron Inc. Дата публикации: 1988-11-29.

Magnetic self-aligning manufacturing fixture

Номер патента: EP3094205A1. Автор: YongSeon LEE. Владелец: Nike Innovate CV USA. Дата публикации: 2016-11-23.

Self-aligning molecular junctions for electronic biosensors

Номер патента: WO2024151976A2. Автор: Joshua Hihath. Владелец: Arizona Board of Regents on behalf of Arizona State University. Дата публикации: 2024-07-18.

Self-aligning and self-spacing tile system

Номер патента: US09783994B2. Автор: Noel Edmonds Chase. Владелец: Individual. Дата публикации: 2017-10-10.

Self-aligning photonic interconnections for photonic integrated circuits

Номер патента: US12038608B2. Автор: Dirk Robert Englund,Saumil Bandyopadhyay. Владелец: Massachusetts Institute of Technology. Дата публикации: 2024-07-16.

Self-aligning riveting tools and methods of operating thereof

Номер патента: US20180264539A1. Автор: Pradeepan Kuniyil,Blair Larsson. Владелец: Boeing Co. Дата публикации: 2018-09-20.

Self-aligning deburring tool for cnc machining centers

Номер патента: WO2023112054A1. Автор: Manjunath Laxman Kamadolli. Владелец: Manjunath Laxman Kamadolli. Дата публикации: 2023-06-22.

System for self-aligning vehicle headlamps

Номер патента: WO1997013118A1. Автор: Mohinder Kumra,Michael F. Scherrer. Владелец: Egs, Inc.. Дата публикации: 1997-04-10.

Cutting tool with self-clamping cutter head

Номер патента: RU2507037C2. Автор: Джил ХЕЧТ. Владелец: Искар Лтд.. Дата публикации: 2014-02-20.

Drilling bit with self-guiding nozzle and method for application thereof

Номер патента: RU2712890C2. Автор: Навид ОМИДВАР. Владелец: Нэшнл Ойлвэл Дхт, Л.П.. Дата публикации: 2020-01-31.

Self-aligning travelling collimating lens for sweeping laser

Номер патента: EP3529652A2. Автор: Kebin Li,Russell Gruhlke,Khurshid Alam,Robert Holman,John Wyrwas. Владелец: Qualcomm Inc. Дата публикации: 2019-08-28.

Self-aligning travelling collimating lens for sweeping laser

Номер патента: WO2018075291A2. Автор: Kebin Li,Russell Gruhlke,Khurshid Alam,Robert Holman,John Wyrwas. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2018-04-26.

Self alignment structure for applications joining extruded members

Номер патента: US09915046B2. Автор: Bryan Matthew Tweedy,Casey Dean Allen. Владелец: Hfw Solutions Inc. Дата публикации: 2018-03-13.

Elevated load-transfer device with self-supporting carrying frame

Номер патента: RU2631145C2. Автор: Торстен ХЁСКЕР. Владелец: Торстен ХЁСКЕР. Дата публикации: 2017-09-19.

Tongs with self-adjusted setting suitable for using with one hand

Номер патента: RU2261168C2. Автор: Ральф ПУЧ,Карл ПУЧ. Владелец: Книпекс-Верк К.Густав Пуч. Дата публикации: 2005-09-27.

Rotary tool with self-clamping cutter head with lock

Номер патента: RU2448815C2. Автор: Филип ШАХИН,Даниил МЕНЬ. Владелец: Искар Лтд.. Дата публикации: 2012-04-27.

Measuring ruler with self-locking function

Номер патента: US20230408236A1. Автор: Bai Yang,Zhihua Chen,Zhibo Zhang,Penghui Chen,Yingnan Quan. Владелец: Beijing Bowei Hengxin Technology Development Co Ltd. Дата публикации: 2023-12-21.

Self-aligning optical connector systems and methods

Номер патента: US20080292245A1. Автор: Thomas L. Weaver. Владелец: Boeing Co. Дата публикации: 2008-11-27.

A self-aligning interface

Номер патента: US20210404440A1. Автор: Nicolaj Biltoft Kristensen. Владелец: Vestas Wind Systems AS. Дата публикации: 2021-12-30.

Self-aligning stencil device

Номер патента: US09821545B2. Автор: John G. SWISHER,Janna MAKAEVA. Владелец: Innovative Art Concepts LLC. Дата публикации: 2017-11-21.

Angular contact self-aligning toroidal rolling element bearing

Номер патента: US09784309B2. Автор: Magnus Kellstrom,Arne Lars Jonas Kullin,Andreas LÖFQVIST. Владелец: SKF AB. Дата публикации: 2017-10-10.

Self-aligning system

Номер патента: US20180135671A1. Автор: William L. McCollum. Владелец: Toshiba International Corp. Дата публикации: 2018-05-17.

Self-aligning fitting assemblies and systems and methods including the same

Номер патента: EP3055202A1. Автор: Tibor A. Koncz. Владелец: Boeing Co. Дата публикации: 2016-08-17.

Self-aligning device to patch interface

Номер патента: US20210330260A1. Автор: Charles Rector,Brian Walter KRONSTEDT,Timothy Jon ALPERS. Владелец: Preventice Technologies Inc. Дата публикации: 2021-10-28.

Pilotless flight vehicle with self-bearing airframe

Номер патента: RU2374136C2. Автор: Ханс-Георг ШИБЕЛЬ. Владелец: Шибель Индастриз Аг. Дата публикации: 2009-11-27.

Self-aligning valve plug

Номер патента: CA2885747C. Автор: Biao Zhou,Jason S. Mevius,Guolei FAN. Владелец: Emerson Process Management Regulator Technologies Inc. Дата публикации: 2020-09-08.

Self-aligning idler wheel assembly

Номер патента: US5312176A. Автор: Elmer R. Crabb. Владелец: Caterpillar Inc. Дата публикации: 1994-05-17.

Self-aligning tools and seating assemblies

Номер патента: US8061178B2. Автор: Douglas W. Glenn. Владелец: Fatigue Technology Inc. Дата публикации: 2011-11-22.

Self-aligning coupler for a laser endoscope

Номер патента: US5251612A. Автор: Charles C. Negus. Владелец: Laser Engr Inc. Дата публикации: 1993-10-12.

Self-aligning coupler for a laser endoscope

Номер патента: CA2121894C. Автор: Charles C. Negus. Владелец: PLC Medical System Inc. Дата публикации: 1997-01-28.

Access port system for intrathecal drug delivery with self-adjusting catheter length

Номер патента: US11986616B2. Автор: Pericles Calias,Michel MORENCY. Владелец: Imotik Biosolutions LLC. Дата публикации: 2024-05-21.

Self-aligning plating system and method

Номер патента: US20240108387A1. Автор: Arthur Alfaro,Gordon D. Donald. Владелец: Medcom Advisors LLC. Дата публикации: 2024-04-04.

End seal with self-sealing insert

Номер патента: EP4378688A1. Автор: Anthony Foley. Владелец: Aj Flexo Inc. Дата публикации: 2024-06-05.

Self-aligning coupler

Номер патента: US20240240676A1. Автор: Tushar Anandrao Jadhav,Sheela Tushar Jadhav. Владелец: Individual. Дата публикации: 2024-07-18.

Corrosion-resistant and leak-proof stoma paste with self-regulation of strength and preparation method

Номер патента: US20220339325A1. Автор: Jianguo Lu,Lanqi Feng. Владелец: Zhende Medical Co Ltd. Дата публикации: 2022-10-27.

Pool cue self-aligning joint assembly

Номер патента: US20030096656A1. Автор: Jerrold Pechauer,Joseph Pechauer. Владелец: Individual. Дата публикации: 2003-05-22.

Self-aligned, sub-wavelength optical lithography

Номер патента: US8268534B2. Автор: Jason Blackstock,David Fattal. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2012-09-18.

Method for forming a self-aligned pixel electrode of an lcd

Номер патента: US20040017530A1. Автор: Hsin-Ming Chen. Владелец: Toppoly Optoelectronics Corp. Дата публикации: 2004-01-29.

Self-aligning apparatus

Номер патента: US20170030152A1. Автор: Gjerulf Ottersland. Владелец: MHWIRTH AS. Дата публикации: 2017-02-02.

Self-aligning coupler

Номер патента: EP4409152A1. Автор: Tushar Anandrao Jadhav,Sheela Tushar Jadhav. Владелец: Individual. Дата публикации: 2024-08-07.

Self-aligning roller bearing

Номер патента: US20230287930A1. Автор: Rainer Schröder,Andreas Bierlein,Christoph Schicke. Владелец: Schaeffler Technologies AG and Co KG. Дата публикации: 2023-09-14.

Self-aligning optical connector and method for using the same

Номер патента: US20070196052A1. Автор: Thomas Weaver. Владелец: Boeing Co. Дата публикации: 2007-08-23.

Bone fixation tangs with self-balancing extension

Номер патента: US20220183728A1. Автор: Lance Fagan. Владелец: Orthopedic Designs North America Inc. Дата публикации: 2022-06-16.

Self-aligning escape system anchor

Номер патента: US6968924B1. Автор: William E. Henson. Владелец: American Escape Systems Inc. Дата публикации: 2005-11-29.

Self-alignment filling level detecting device

Номер патента: US20140264098A1. Автор: Chao-Kai Cheng,Bo-Yi Wu,Chi-Fan Liao,Ciu-Hsing Liu. Владелец: FineTek Co Ltd. Дата публикации: 2014-09-18.

Drill with a boom arm and a self-aligning support system

Номер патента: US20190309574A1. Автор: Lynn Allan Buckner. Владелец: Individual. Дата публикации: 2019-10-10.

Truck unloader self aligning interface

Номер патента: EP4450233A1. Автор: John Simons,Nathan Blough,Dean Roper,Steven W. GRIGGS,Michael L Girtman. Владелец: INTELLIGRATED HEADQUARTERS LLC. Дата публикации: 2024-10-23.

Gas turbine engine, machine and self-aligning foil bearing system

Номер патента: US09657594B2. Автор: Steven A. Klusman. Владелец: Rolls Royce Corp. Дата публикации: 2017-05-23.

Self-aligning safety belt

Номер патента: US09656752B2. Автор: Robert W. Trimble,Aamir JAFRI,Armando Valdes. Владелец: Zodiac Seats US LLC. Дата публикации: 2017-05-23.

Self-alignment due to wettability difference of an interface

Номер патента: US09651736B2. Автор: Tien-I Bao,Hai-Ching Chen,Ying-hao Kuo,Jay Lai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-16.

Self-aligning axially constrained regulator valve assembly

Номер патента: US09639093B2. Автор: Daniel P. Morgan. Владелец: Parker Hannifin Corp. Дата публикации: 2017-05-02.

Aerosol-generating system with self-activated electrical heater

Номер патента: RU2710116C2. Автор: Тони РИВЕЛЛ. Владелец: ФИЛИП МОРРИС ПРОДАКТС С.А.. Дата публикации: 2019-12-24.

Self aligning window regulator

Номер патента: US5832667A. Автор: David A. Hill,Dennis J. Buening,Kevin A. McKenna. Владелец: Excel Industries Inc. Дата публикации: 1998-11-10.

Self aligning inking roll for a printer

Номер патента: US5048986A. Автор: James A. Michael,Marek W. Czesnik,Anthony J. Boon. Владелец: NCR Corp. Дата публикации: 1991-09-17.

Self-aligning safety sensors

Номер патента: CA2369289C. Автор: Gerald H. Williams,Robert Schram. Владелец: LYNX INDUSTRIES Inc. Дата публикации: 2007-07-03.

Welding Electrode Assembly Having Self-Aligning Features

Номер патента: US20090173720A1. Автор: Alexander D. Khakhalev,Vitaly V. Neverovich. Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2009-07-09.

Self-alignment clip for aligning hubs to flat shafts

Номер патента: US4175881A. Автор: John H. Loos. Владелец: White Consolidated Industries Inc. Дата публикации: 1979-11-27.

Anti-backlash, self-aligning nut

Номер патента: US3977269A. Автор: Francis M. Linley, Jr.. Владелец: UNIVERSAL THREAD GRINDING Co. Дата публикации: 1976-08-31.

Transport jig and transport method for double-row self-aligning roller bearing

Номер патента: US11111963B2. Автор: Natsumi MIYATA. Владелец: NTN Corp. Дата публикации: 2021-09-07.

Storage device with self-contained information storage space

Номер патента: US20140297925A1. Автор: Chin Fan WEI. Владелец: SK hynix Inc. Дата публикации: 2014-10-02.

Transport jig and transport method for double-row self-aligning roller bearing

Номер патента: US20190390716A1. Автор: Natsumi MIYATA. Владелец: NTN Corp. Дата публикации: 2019-12-26.

Self-aligning eeg sensor system

Номер патента: WO2024129787A1. Автор: Benjamin Arcand,David Yonce,Ryan TETRO. Владелец: Cogwear, Inc.. Дата публикации: 2024-06-20.

Train system with self-propelled single car

Номер патента: RU2758921C1. Автор: Джеремайя ХИТОН. Владелец: Джеремайя ХИТОН. Дата публикации: 2021-11-03.

Self-aligning pivot bolt

Номер патента: US4750851A. Автор: Henry W. Thomey. Владелец: Dyneer Corp. Дата публикации: 1988-06-14.

Self-aligning clutch release bearing

Номер патента: CA1098460A. Автор: Eli M. Ladin. Владелец: Federal Mogul LLC. Дата публикации: 1981-03-31.

Improvements relating to a self-aligning rolling bearing assembly

Номер патента: GB1102429A. Автор: Frank Anton Kocian. Владелец: International Harverster Corp. Дата публикации: 1968-02-07.

Self-aligning aerosol dispensing device

Номер патента: CA1128020A. Автор: Klaas J. Van Lit. Владелец: SC Johnson and Son Inc. Дата публикации: 1982-07-20.

Hopper car outlet gate assembly with self cleaning gear and rack actuation arrangement

Номер патента: CA1127005A. Автор: Osvaldo F. Chierici. Владелец: Holland Co. Дата публикации: 1982-07-06.

Low cost self aligning strapdown attitude and heading reference system

Номер патента: CA1131053A. Автор: Robert W. Maughmer. Владелец: Litton Systems Inc. Дата публикации: 1982-09-07.

Self-aligning biaxial load frame

Номер патента: US5279166A. Автор: Michael B. Ward,Jonathan S. Epstein,W. Randolph Lloyd. Владелец: EG&G Idaho Inc. Дата публикации: 1994-01-18.

Self aligning coupling

Номер патента: US20110049871A1. Автор: Kyle Geary Miller. Владелец: Toyota Motor Engineering and Manufacturing North America Inc. Дата публикации: 2011-03-03.

Self-aligning stencil device

Номер патента: US20140047988A1. Автор: John G. SWISHER,Janna MAKAEVA. Владелец: Innovative Art Concepts LLC. Дата публикации: 2014-02-20.

Hierarchical trim management for self-aligned double patterning

Номер патента: US20190179994A1. Автор: David Wolpert,Laura R. Darden. Владелец: International Business Machines Corp. Дата публикации: 2019-06-13.

Self-aligning stencil device

Номер патента: US20150202860A1. Автор: John G. SWISHER,Janna MAKAEVA. Владелец: Innovative Art Concepts LLC. Дата публикации: 2015-07-23.

Self-aligning power transmission belts and systems incorporating the same

Номер патента: WO2024050008A1. Автор: Kyle Spring,Thomas S. Moss, III,Walt LIFSEY. Владелец: Gates Corporation. Дата публикации: 2024-03-07.

Projector having a self-aligning replaceable projection lamp mechanism

Номер патента: EP1019782A1. Автор: Ernesto M. Rodriguez, Jr.,Paul A. Muller. Владелец: Minnesota Mining and Manufacturing Co. Дата публикации: 2000-07-19.

Self-aligning fixture for pre-loading and aligning pivot bearing assemblies

Номер патента: US20030126739A1. Автор: Walter Prater. Владелец: Individual. Дата публикации: 2003-07-10.

Self-aligning ivus catheter rotational core connector

Номер патента: EP2124755A2. Автор: Peter Thornton. Владелец: Boston Scientific Ltd Barbados. Дата публикации: 2009-12-02.

Self-aligning power transmission belts and systems incorporating the same

Номер патента: US20240068546A1. Автор: Kyle Spring,Thomas S. Moss, III,Walt LIFSEY. Владелец: Gates Corp. Дата публикации: 2024-02-29.

Filtration device combined with self-priming pump

Номер патента: US20070248456A1. Автор: Takashi Sasaki,Yoji Mori,Yasuichi Haga. Владелец: World Chemical KK. Дата публикации: 2007-10-25.

Irrigation system and reservoir with self-sealing openings

Номер патента: US12016273B2. Автор: Jeffrey Ramsdell,Lindsay Marker. Владелец: Ll Enterprises LLC. Дата публикации: 2024-06-25.

Automated mounting crane with self-folding boom

Номер патента: RU2161119C2. Автор: Акино Франсуа. Владелец: Потэн С.А.. Дата публикации: 2000-12-27.

Hydraulic brake with self-amplification

Номер патента: RU2454338C2. Автор: Кристиан ШТАММЕН,Тони ШИФФЕРС. Владелец: СИМЕНС АКЦИЕНГЕЗЕЛЛЬШАФТ. Дата публикации: 2012-06-27.

Page type display fixture with self return feature

Номер патента: CA1252998A. Автор: Charles H. Nervig,Vance E. Dimmick. Владелец: American Greetings Corp. Дата публикации: 1989-04-25.

Coaptation device with positioning system

Номер патента: WO2024006859A2. Автор: James R. Watson. Владелец: Trisail Medical Pte Ltd. Дата публикации: 2024-01-04.

Coaptation device with positioning system

Номер патента: WO2024006859A3. Автор: James R. Watson. Владелец: Trisail Medical Pte Ltd. Дата публикации: 2024-02-01.

Self-aligning connection device of detachable type

Номер патента: EP1222045A1. Автор: Alessandro Piana,Cristina Caprioli. Владелец: Auges Srl. Дата публикации: 2002-07-17.

Smart card with self-contained connection architecture

Номер патента: US20210342815A1. Автор: Saurabh Gupta. Владелец: Bank of America Corp. Дата публикации: 2021-11-04.

Water filter with self-rinsing capability

Номер патента: US12017164B2. Автор: Yigal Tsabari. Владелец: Individual. Дата публикации: 2024-06-25.

Self-aligning holographic optical system and related methods

Номер патента: US20050195454A1. Автор: Rene Helbing,Kenneth Wildnauer,Thomas Mikes. Владелец: AGILENT TECHNOLOGIES INC. Дата публикации: 2005-09-08.

Self-aligning bearing support assembly

Номер патента: CA3189522A1. Автор: Fernando Porchas Iniguez,Luis Felipe Gonzalez,Jamie Moreno Terrazas. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2023-09-01.

Bale mover with self-adjusting spinners

Номер патента: US20160295801A1. Автор: Mark Kiner,Ross Milton Bender,Heber M. Ramer. Владелец: Harper Industries Inc. Дата публикации: 2016-10-13.

Vertical axis wind turbine with self-adaptive blades based on wind conditions.

Номер патента: FI130969B1. Автор: Seyyedjavad Hosseininia. Владелец: Amertat Energy Oy. Дата публикации: 2024-06-24.

Spectrometer with Self-Referenced Calibration

Номер патента: US20240053198A1. Автор: Scott Sanders. Владелец: WISCONSIN ALUMNI RESEARCH FOUNDATION. Дата публикации: 2024-02-15.

Light fixture with self-test ability of sealing

Номер патента: US11988373B1. Автор: Weikai JIANG,Wenfeng Chen,Jinjian Cai,Qingbi He,Yinru Peng. Владелец: Guangzhou Haoyang Electronic Co Ltd. Дата публикации: 2024-05-21.

Self-aligning bearing assembly capable of reacting radial and axial loads

Номер патента: WO2006099363A1. Автор: Aldo Arena. Владелец: NORTHROP GRUMMAN CORPORATION. Дата публикации: 2006-09-21.

Self-aligning articulated joint for use in a hot runner system

Номер патента: EP1802435A4. Автор: Arnold Mai. Владелец: Husky Injection Molding Systems SA. Дата публикации: 2008-10-22.

Self-aligning articulated joint for use in a hot runner system

Номер патента: AU2005294079A1. Автор: Arnold Mai. Владелец: HUSKY INJECTION MOLDING SYSTEMS LTD. Дата публикации: 2006-04-20.

Self-aligning articulated joint for use in a hot runner system

Номер патента: WO2006039784A1. Автор: Arnold Mai. Владелец: Husky Injection Molding Systems Ltd.. Дата публикации: 2006-04-20.

Self-aligning mud pump assembly

Номер патента: US20180010404A1. Автор: Robert Berthaud,Pascal Berthaud. Владелец: Cameron International Corp. Дата публикации: 2018-01-11.

Self-aligning axial drive coupling

Номер патента: US20160169292A1. Автор: Brandon C. Cherry. Владелец: Deere and Co. Дата публикации: 2016-06-16.

System and method for application server with self-tuned threading model

Номер патента: EP1683014A2. Автор: Naresh Revanuru,Anno Langen. Владелец: BEA Systems Inc. Дата публикации: 2006-07-26.

System and method for application server with self-tuned threading model

Номер патента: WO2005114410A3. Автор: Naresh Revanuru,Anno R Langen. Владелец: Anno R Langen. Дата публикации: 2007-07-05.

System and method for application server with self-tuned threading model

Номер патента: WO2005114410A2. Автор: Anno R. Langen,Naresh Revanuru. Владелец: Bea Systems, Inc.. Дата публикации: 2005-12-01.

Ventilated facade system with self-supporting metal panels

Номер патента: EP4177415A1. Автор: Juan Carlos de Diego. Владелец: ASTURIANA DE LAMINADOS SA. Дата публикации: 2023-05-10.

Vertical axis wind turbine with self-adaptive blades based on wind conditions.

Номер патента: FI20237120A1. Автор: Seyyedjavad Hosseininia. Владелец: Amertat Energy Oy. Дата публикации: 2024-06-24.

Auto-boresight technique for self-aligning phase conjugate laser

Номер патента: WO1987003955A1. Автор: Robert W. Byren. Владелец: Hughes Aircraft Company. Дата публикации: 1987-07-02.

Self-aligning linear bearing

Номер патента: WO1996041962A1. Автор: Alonzo Wireman. Владелец: Reedrill Corp.. Дата публикации: 1996-12-27.

A large sealed self aligning roller bearing

Номер патента: EP2800915A1. Автор: Lars Stigsjöö,Bengt Engstrom,Pär STRAND. Владелец: SKF AB. Дата публикации: 2014-11-12.

Hydraulic caliper brake assembly with self-adjusting feature

Номер патента: US20100230218A1. Автор: Wayne-Ian Moore. Владелец: Ashima Ltd. Дата публикации: 2010-09-16.

Self aligning system

Номер патента: US20240286766A1. Автор: Ian Moore,Dan Edwards. Владелец: Manufacturing Technology Centre Ltd. Дата публикации: 2024-08-29.

Air conditioner with self-producing oxygen capability

Номер патента: US20040055323A1. Автор: Yin-Hsiang Tung. Владелец: Individual. Дата публикации: 2004-03-25.

Self-Aligning Light Source and Detector Assembly

Номер патента: US20110299289A1. Автор: William H. Wynn. Владелец: Endress and Hauser Conducta Inc. Дата публикации: 2011-12-08.

Self-aligning belt pulley

Номер патента: US20230373727A1. Автор: Jamie C. Brehmer,Joey R. Brehmer. Владелец: Brehmer Manufacturing Inc. Дата публикации: 2023-11-23.

Self aligning system

Номер патента: GB2627511A. Автор: Moore Ian,Edwards Dan. Владелец: Manufacturing Tech Centre Ltd. Дата публикации: 2024-08-28.

Angular contact self-aligning roller bearing

Номер патента: US12104647B2. Автор: Kai Cheng,HongJun GUO. Владелец: Individual. Дата публикации: 2024-10-01.

An inner ring for a self-aligning roller bearing

Номер патента: WO2024199666A1. Автор: Peter Frijlink,Bo Niclas Thim,Lars Eric Mikael STIGSJÖÖ,Eduardo Daniel Ortega Perdomo. Владелец: AKTIEBOLAGET SKF. Дата публикации: 2024-10-03.

Vehicle wheel with self-rescue apparatus

Номер патента: US09821986B2. Автор: Fei-Che Chen. Владелец: Lu Chuan Tung International Co Ltd. Дата публикации: 2017-11-21.

Self-aligning wheeled trailer connecting mechanism

Номер патента: US09770954B1. Автор: Donald P. Clark. Владелец: Individual. Дата публикации: 2017-09-26.

Method for forming a self-aligned Mach-Zehnder interferometer

Номер патента: US09696604B1. Автор: Masaki Kato,Jie Lin,Robb Johnson. Владелец: Inphi Corp. Дата публикации: 2017-07-04.

Floatable umbrella with self-ballasting member

Номер патента: US09648930B1. Автор: Jaime Mejia. Владелец: Individual. Дата публикации: 2017-05-16.

Self-aligning sensor array

Номер патента: US09554724B2. Автор: James Schuessler. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-01-31.

Receiving folder with self-arrangement

Номер патента: US09545141B2. Автор: Yueh-Yin Hsieh. Владелец: Individual. Дата публикации: 2017-01-17.

Self-aligning, double wire corner bead for fireproofing structural steel member and method of using same

Номер патента: US09540813B2. Автор: Philip Glen Miller. Владелец: Individual. Дата публикации: 2017-01-10.

Self-aligning axial drive coupling

Номер патента: US09512884B2. Автор: Brandon C. Cherry. Владелец: Deere and Co. Дата публикации: 2016-12-06.

Intervertebral prostheses with self-cutting fixing projections

Номер патента: RU2407486C2. Автор: Арнольд КЕЛЛЕР. Владелец: Сервитек, Инк.. Дата публикации: 2010-12-27.

Tool with self-clamping cutting head with breakout function

Номер патента: RU2446919C2. Автор: Джил ХЕЧТ,Джил ХЕЧТ (IL). Владелец: Искар Лтд.. Дата публикации: 2012-04-10.

Method to manufacture package with self-release of air

Номер патента: RU2478073C2. Автор: Хельмар УТЦ. Владелец: Амкор Флексиблз Транспак Нв. Дата публикации: 2013-03-27.

High capacitance flexible circuit

Номер патента: CA1196425A. Автор: Kevin W. Colvin. Владелец: Rogers Corp. Дата публикации: 1985-11-05.

METHOD FOR MANUFACTURING PLASTIC LABELS WITH SELF-ADHESIVE PATTERN, AND ATTACHING SUCH LABELS TO A TIN

Номер патента: US20120000598A1. Автор: . Владелец: REYNDERS ETIKETTEN, NAAMLOZE VENNOOTSCHAP. Дата публикации: 2012-01-05.

Energy absorbing means with self calibrating monitor

Номер патента: CA1291495C. Автор: Peter D. Dreissigacker,Richard A. Dreissigacker. Владелец: Individual. Дата публикации: 1991-10-29.

VARIABLE RESISTOR VOLTAGE DRIVER WITH SELF-NOISE COMPENSATION CIRCUIT

Номер патента: US20120001661A1. Автор: Farjadrad Ramin. Владелец: . Дата публикации: 2012-01-05.

Uncased motor with self-feeding

Номер патента: RU2431052C1. Автор: Николай Евгеньевич Староверов. Владелец: Николай Евгеньевич Староверов. Дата публикации: 2011-10-10.

Self-aligning flexible screw pair

Номер патента: RU2331806C1. Автор: Павел Валентинович Колпаков. Владелец: Павел Валентинович Колпаков. Дата публикации: 2008-08-20.

Self-aligned cmos process for bulk silicon and insulating substrate device

Номер патента: CA1057414A. Автор: Gregorio Spadea. Владелец: National Semiconductor Corp. Дата публикации: 1979-06-26.

Self-aligned cmos process for bulk silicon and insulating substrate device

Номер патента: CA1057415A. Автор: Gregorio Spadea. Владелец: National Semiconductor Corp. Дата публикации: 1979-06-26.

Self-aligned cmos process for bulk silicon and insulating substrated device

Номер патента: CA1057416A. Автор: Gregorio Spadea. Владелец: National Semiconductor Corp. Дата публикации: 1979-06-26.

Clamping self-aligning apparatus

Номер патента: RU2168397C2. Автор: Ю.В. Маркин,Н.М. Легкий. Владелец: Маркин Юрий Владимирович. Дата публикации: 2001-06-10.

Tuning mechanical application of pneumatic clutch system with self-regulation

Номер патента: SK6099Y1. Автор: Jaroslav Homišin. Владелец: Univ Tech Kosiciach. Дата публикации: 2012-04-03.

System and method for self - aligning hub connector

Номер патента: AU2021413226A9. Автор: Jiri Slaby,James Rowe,Greg Nielan,Vincent KHAU,David GORGI. Владелец: Baxter International Inc. Дата публикации: 2024-09-26.

Panty with self-adhesive and reusable liner

Номер патента: WO2024221020A1. Автор: Mao Tian JIAO. Владелец: Scavi Joint Stock Company. Дата публикации: 2024-10-24.

Lateral power device with self-biasing electrodes

Номер патента: MY147514A. Автор: KOCON Christopher Boguslaw. Владелец: Fairchild Semiconductor. Дата публикации: 2012-12-31.

Self-aligning re-keyable pin tumbler cabinet door lock

Номер патента: CA1328358C. Автор: Frank Joseph Martin. Владелец: Frank J Martin Co. Дата публикации: 1994-04-12.

Self-aligning bearing

Номер патента: CA1142206A. Автор: George A. Fischer,Melvin A. Prohl. Владелец: General Electric Co. Дата публикации: 1983-03-01.

Gate system with self-training functions

Номер патента: PH12019000404A1. Автор: Hsi-Yu Chen,Yi-Ting Hsiao. Владелец: Mitac Information Tech Corporation. Дата публикации: 2020-10-19.

Pulse generator of electric energy with self-excitation

Номер патента: RU2278457C2. Автор: Борис Тимофеевич Тучин. Владелец: Борис Тимофеевич Тучин. Дата публикации: 2006-06-20.

Improvements in Gas Burners for use with Self-igniting Incandescent Mantles.

Номер патента: GB189823369A. Автор: Albin Perlich,Richard Beese. Владелец: Individual. Дата публикации: 1898-12-17.

Improvements in Self-aligning Shaft-bearings.

Номер патента: GB189923910A. Автор: Joseph Temperley,John Ridley Temperley. Владелец: Individual. Дата публикации: 1900-02-10.