Self-aligned contact

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Self-aligned contact

Номер патента: US20170372956A1. Автор: Chen Zhang,Kangguo Cheng,Xin Miao,Wenyu Xu. Владелец: International Business Machines Corp. Дата публикации: 2017-12-28.

SELF-ALIGNED CONTACT

Номер патента: US20170372956A1. Автор: Cheng Kangguo,XU Wenyu,Zhang Chen,Miao Xin. Владелец: . Дата публикации: 2017-12-28.

Partial self-aligned contact for MOL

Номер патента: US11887890B2. Автор: Veeraraghavan Basker,Alexander Reznicek,Ruilong Xie,Junli Wang. Владелец: International Business Machines Corp. Дата публикации: 2024-01-30.

Methods for forming self-aligned contacts using spin-on silicon carbide

Номер патента: WO2022177828A1. Автор: Lior HULI,Junling Sun,Angelique RALEY,Andrew Metz. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2022-08-25.

Methods for Forming Self-Aligned Contacts Using Spin-on Silicon Carbide

Номер патента: US20220262679A1. Автор: Lior HULI,Junling Sun,Angelique RALEY,Andrew Metz. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-08-18.

Acceleration of etch selectivity for self-aligned contact

Номер патента: US5804506A. Автор: Randhir P. S. Thakur,Kirk Prall,Gordon A. Haller. Владелец: Micron Technology Inc. Дата публикации: 1998-09-08.

Self-aligned contacts for semiconductor device

Номер патента: US6165910A. Автор: Joel M. Cook,Janet M. Flanner,Ian J. Morey,Linda N. Marquez. Владелец: Lam Research Corp. Дата публикации: 2000-12-26.

MANUFACTURING A SELF-ALIGNING CONTACT WINDOW IN AN INTEGRATED CIRCUIT.

Номер патента: DE3687179D1. Автор: Barbara A Heath. Владелец: Thorn EMI North America Inc. Дата публикации: 1993-01-14.

Method for forming self-aligned contact of semiconductor device

Номер патента: JP5084074B2. Автор: 眞 雄 金. Владелец: SK hynix Inc. Дата публикации: 2012-11-28.

Reverse tone self-aligned contact

Номер патента: US09412656B2. Автор: Ching-Feng Fu,Chia-Ying Lee,Yu-Chan Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-09.

Reverse tone self-aligned contact

Номер патента: US09812536B2. Автор: Ching-Feng Fu,Chia-Ying Lee,Yu-Chan Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-07.

Method for forming self-aligned contacts/vias with high corner selectivity

Номер патента: US20150170965A1. Автор: PENG Wang,Eric A. Hudson,Ananth INDRAKANTI. Владелец: Lam Research Corp. Дата публикации: 2015-06-18.

Method for forming a self-aligned contact in a damascene structure used to form a memory device

Номер патента: US20160163593A1. Автор: Masanori Tsukamoto. Владелец: Sony Corp. Дата публикации: 2016-06-09.

Multicolor Self-Aligned Contact Selective Etch

Номер патента: US20190279901A1. Автор: Ying Zhang,Ho-Yung Hwang,Yung-Chen Lin,Qingjun Zhou. Владелец: Applied Materials Inc. Дата публикации: 2019-09-12.

Multicolor self-aligned contact selective etch

Номер патента: US11094589B2. Автор: Ying Zhang,Yung-Chen Lin,Qingjun Zhou,Ho-Yung David Hwang. Владелец: Applied Materials Inc. Дата публикации: 2021-08-17.

INTEGRATED CIRCUITS WITH SELF ALIGNED CONTACT STRUCTURES FOR IMPROVED WINDOWS AND FABRICATION METHODS

Номер патента: US20160049427A1. Автор: Zang Hui. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2016-02-18.

Method of forming vias and trenches for self-aligned contacts in a semiconductor structure

Номер патента: KR102128769B1. Автор: 키쓰 쿠옥 라오. Владелец: 삼성전자주식회사. Дата публикации: 2020-07-08.

Integrated circuits with self aligned contact structures for improved windows and fabrication methods

Номер патента: US9356047B2. Автор: Hui Zang. Владелец: Globalfoundries Inc. Дата публикации: 2016-05-31.

Integrated circuits with self aligned contact structures for improved windows and fabrication methods

Номер патента: US10068921B2. Автор: Hui Zang. Владелец: Globalfoundries Inc. Дата публикации: 2018-09-04.

METHODS FOR MAKING A TRIM-RATE TOLERANT SELF-ALIGNED CONTACT VIA STRUCTURE ARRAY

Номер патента: US20160190154A1. Автор: NOZAWA Kei. Владелец: . Дата публикации: 2016-06-30.

Partial Self-Aligned Contact for MOL

Номер патента: US20220108923A1. Автор: Veeraraghavan Basker,Alexander Reznicek,Ruilong Xie,Junli Wang. Владелец: International Business Machines Corp. Дата публикации: 2022-04-07.

Partial Self-Aligned Contact for MOL

Номер патента: US20210134671A1. Автор: Veeraraghavan Basker,Alexander Reznicek,Ruilong Xie,Junli Wang. Владелец: International Business Machines Corp. Дата публикации: 2021-05-06.

Forming self-aligned contacts

Номер патента: GB202001682D0. Автор: . Владелец: International Business Machines Corp. Дата публикации: 2020-03-25.

Gate cap last for self-aligned contact

Номер патента: US20200381306A1. Автор: Kangguo Cheng,ChoongHyun Lee,Ruilong Xie,Chanro Park. Владелец: International Business Machines Corp. Дата публикации: 2020-12-03.

Method of forming a self aligned contact (SAC) window

Номер патента: US5920780A. Автор: Chung-Cheng Wu. Владелец: Mosel Vitelic Inc. Дата публикации: 1999-07-06.

Self-aligned contact studs for semiconductor structures

Номер патента: EP0540446B1. Автор: Donna Rizzone Cote,David Stanasolovich,Ronald Archer Warren. Владелец: International Business Machines Corp. Дата публикации: 1998-07-22.

Transistor with air spacer and self-aligned contact

Номер патента: US09721897B1. Автор: Chen Zhang,Peng Xu,Kangguo Cheng,Xin Miao. Владелец: International Business Machines Corp. Дата публикации: 2017-08-01.

Methods for forming a self-aligned contact via selective lateral etch

Номер патента: US09368369B2. Автор: Jungmin Ko,Sean Kang. Владелец: Applied Materials Inc. Дата публикации: 2016-06-14.

Self Aligned Contact Scheme

Номер патента: US20240258168A1. Автор: Yu-Lien Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-01.

Transistor with air spacer and self-aligned contact

Номер патента: US20180090586A1. Автор: Chen Zhang,Peng Xu,Kangguo Cheng,Xin Miao. Владелец: International Business Machines Corp. Дата публикации: 2018-03-29.

Transistor with air spacer and self-aligned contact

Номер патента: US20180090593A1. Автор: Chen Zhang,Peng Xu,Kangguo Cheng,Xin Miao. Владелец: International Business Machines Corp. Дата публикации: 2018-03-29.

Self aligned contact scheme

Номер патента: US11978670B2. Автор: Yu-Lien Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-07.

TRANSISTOR WITH IMPROVED SELF-ALIGNED CONTACT

Номер патента: US20200135561A1. Автор: Cheng Kangguo,Li Juntao,Bi Zhenxing,Kong Dexin. Владелец: . Дата публикации: 2020-04-30.

SELF-ALIGNED CONTACT AND METHOD FOR FORMING THE SAME

Номер патента: US20190164830A1. Автор: LIN Hsien-Hsin. Владелец: MEDIATEK INC.. Дата публикации: 2019-05-30.

FINFET WITH ETCH-SELECTIVE SPACER AND SELF-ALIGNED CONTACT CAPPING LAYER

Номер патента: US20190164898A1. Автор: Xie Ruilong,Xu Guowei,Zang Hui,Beasor Scott. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2019-05-30.

METHOD FOR FORMING SELF-ALIGNED CONTACTS/VIAS WITH HIGH CORNER SELECTIVITY

Номер патента: US20150325479A1. Автор: WANG Peng,Hudson Eric A.,INDRAKANTI Ananth. Владелец: . Дата публикации: 2015-11-12.

Critical dimension control for self-aligned contact patterning

Номер патента: US10770349B2. Автор: Ziqing Duan,Abhijit Basu Mallick. Владелец: Applied Materials Inc. Дата публикации: 2020-09-08.

Method for forming self-aligned contact of semiconductor device

Номер патента: KR101046717B1. Автор: 이성권,정태우. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-07-05.

Forming method for self aligned contact of semiconductor device

Номер патента: KR100440076B1. Автор: 김진웅. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-07-15.

A method of manufacturing self align contact of semiconductor device

Номер патента: KR100400298B1. Автор: 권오성. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-10-04.

Fabricating method for self-aligned contact hole of semiconductor device

Номер патента: KR100300053B1. Автор: 강정원,채민철. Владелец: 현대반도체 주식회사. Дата публикации: 2001-10-19.

Self-aligned contact formation method of semiconductor device

Номер патента: KR100560294B1. Автор: 임규남,이해정. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-06-13.

Method for self aligned contact in semiconductor device

Номер патента: KR100838392B1. Автор: 김승범,신수범. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-06-13.

A method of manufacturing self align contact of semiconductor device

Номер патента: KR20010002131A. Автор: 권오성. Владелец: 현대전자산업 주식회사. Дата публикации: 2001-01-05.

Method for forming self align contact of semiconductor device

Номер патента: KR101073130B1. Автор: 이민석,이성권. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-10-12.

Self-aligned contacts for semiconductor devices

Номер патента: JP2004500696A. Автор: フラナー・ジャネット・エム.,マーキュイズ・リンダ・エヌ.. Владелец: Lam Research Corp. Дата публикации: 2004-01-08.

Forming method for self aligned contact of semiconductor device

Номер патента: KR100440079B1. Автор: 김진웅. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-07-15.

Self-aligned contact hole formation method using selective polymer deposition

Номер патента: JP4632371B2. Автор: 昌 熊 秋,太 赫 安,相 燮 鄭,智 洙 金. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2011-02-16.

Self-aligned contacts

Номер патента: US09892967B2. Автор: Mark T. Bohr,Tahir Ghani,Joseph M. Steigerwald,Jason W. Klaus,Subhash M. Joshi,Nadia M. Rahhal-Orabi,Jack Hwang,Ryan Mackiewicz. Владелец: Intel Corp. Дата публикации: 2018-02-13.

Self-aligned contacts

Номер патента: US09508821B2. Автор: Mark T. Bohr,Tahir Ghani,Joseph M. Steigerwald,Jason W. Klaus,Subhash M. Joshi,Nadia M. Rahhal-Orabi,Jack Hwang,Ryan Mackiewicz. Владелец: Intel Corp. Дата публикации: 2016-11-29.

Self-aligned contacts

Номер патента: US09466565B2. Автор: Mark T Bohr,Tahir Ghani,Joseph M. Steigerwald,Jason W. Klaus,Subhash M. Joshi,Jack Hwang,Ryan Mackiewicz,Nadia M. Rahhai-Orabi. Владелец: Intel Corp. Дата публикации: 2016-10-11.

Self-Aligned Contact and Method

Номер патента: US20160027689A1. Автор: Mei-Yun Wang,Fu-Kai Yang,Chao-Hsun Wang,Hsien-Cheng WANG,Shih-Wen Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-01-28.

Self-aligned contacts

Номер патента: US11600524B2. Автор: Mark T. Bohr,Tahir Ghani,Joseph M. Steigerwald,Jason W. Klaus,Subhash M. Joshi,Nadia M. Rahhal-Orabi,Jack Hwang,Ryan Mackiewicz. Владелец: Intel Corp. Дата публикации: 2023-03-07.

Self-aligned contacts

Номер патента: US20240030067A1. Автор: Mark T. Bohr,Tahir Ghani,Joseph M. Steigerwald,Jason W. Klaus,Subhash M. Joshi,Nadia M. Rahhal-Orabi,Jack Hwang,Ryan Mackiewicz. Владелец: Intel Corp. Дата публикации: 2024-01-25.

Self-aligned contacts

Номер патента: US11887891B2. Автор: Mark T. Bohr,Tahir Ghani,Joseph M. Steigerwald,Jason W. Klaus,Subhash M. Joshi,Nadia M. Rahhal-Orabi,Jack Hwang,Ryan Mackiewicz. Владелец: Intel Corp. Дата публикации: 2024-01-30.

METHOD OF FORMING A SELF-ALIGNED CONTACT USING SELECTIVE SiO2 DEPOSITION

Номер патента: US20180233407A1. Автор: Kandabara N. Tapily,Soo Doo Chae,Sangcheol Han. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-08-16.

TRANSISTOR DEVICE WITH ULTRA LOW-K SELF ALIGNED CONTACT CAP AND ULTRA LOW-K SPACER

Номер патента: US20200279745A1. Автор: Cheng Kangguo. Владелец: . Дата публикации: 2020-09-03.

Self-aligned contact frequency doubling technology for memory and logic device applications

Номер патента: US20080227295A1. Автор: Yijian Chen. Владелец: Individual. Дата публикации: 2008-09-18.

Super-self-aligned contacts and method for making the same

Номер патента: US09818747B2. Автор: Michael C. Smayling. Владелец: Tela Innovations Inc. Дата публикации: 2017-11-14.

Super-Self-Aligned Contacts and Method for Making the Same

Номер патента: US20200066722A1. Автор: Smayling Michael C.. Владелец: . Дата публикации: 2020-02-27.

Super-Self-Aligned Contacts and Method for Making the Same

Номер патента: US20180083003A1. Автор: Smayling Michael C.. Владелец: . Дата публикации: 2018-03-22.

TRANSISTOR WITH AIR SPACER AND SELF-ALIGNED CONTACT

Номер патента: US20180090593A1. Автор: Cheng Kangguo,Xu Peng,Zhang Chen,Miao Xin. Владелец: . Дата публикации: 2018-03-29.

METHOD FOR FORMING A SELF-ALIGNED CONTACT IN A DAMASCENE STRUCTURE USED TO FORM A MEMORY DEVICE

Номер патента: US20160163593A1. Автор: TSUKAMOTO MASANORI. Владелец: . Дата публикации: 2016-06-09.

SELF-ALIGNED CONTACT ON A SEMICONDUCTOR DEVICE

Номер патента: US20200152514A1. Автор: De Silva Ekmini A.,Dutta Ashim,Church Jennifer,Thompson Luciana M.. Владелец: . Дата публикации: 2020-05-14.

INTEGRATED CIRCUITS WITH SELF ALIGNED CONTACT STRUCTURES FOR IMPROVED WINDOWS AND FABRICATION METHODS

Номер патента: US20160260743A1. Автор: Zang Hui. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2016-09-08.

REVERSE TONE SELF-ALIGNED CONTACT

Номер патента: US20160329406A1. Автор: Lee Chia-Ying,Fu Ching-Feng,Yen Yu-Chan. Владелец: . Дата публикации: 2016-11-10.

A method for forming self-aligned contact of semiconductor device

Номер патента: KR100276387B1. Автор: 이재구,조창현,정홍식. Владелец: 윤종용. Дата публикации: 2000-12-15.

Method for forming self aligned contact in semiconductor device with buried gate

Номер патента: KR101075526B1. Автор: 신종한,박점용. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-10-20.

Method for forming self aligned contact hole in semiconductor

Номер патента: KR100839527B1. Автор: 전재영. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-06-19.

Method for fotming self aligned contact hole of semiconductor device

Номер патента: KR20010109369A. Автор: 박병준,오정훈. Владелец: 윤종용. Дата публикации: 2001-12-10.

Method for forming semiconductor device having self-aligned contact hole

Номер патента: KR100289750B1. Автор: 홍승완. Владелец: 윤종용. Дата публикации: 2001-05-15.

Self-align contact etch method of semiconductor device

Номер патента: KR100585084B1. Автор: 김현우,김지수,안태혁. Владелец: 삼성전자주식회사. Дата публикации: 2006-05-30.

Method for forming the semiconductor memory device having a self-aligned contact hole

Номер патента: KR20050003296A. Автор: 구동철. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-01-10.

Super-self-aligned contacts and method for making the same

Номер патента: US8541879B2. Автор: Michael C. Smayling. Владелец: Tela Innovations Inc. Дата публикации: 2013-09-24.

Method for forming self-aligned contacts using a hard mask

Номер патента: US6265296B1. Автор: Erik S. Jeng,Hung-Yi Luo,Hao-Chieh Liu,Tzu-Shih Yen. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2001-07-24.

Method of manufacturing a self-aligned contact structure

Номер патента: US20060105561A1. Автор: Yunjun Huh. Владелец: Individual. Дата публикации: 2006-05-18.

Method of fabricating self-aligned contact

Номер патента: KR20000054995A. Автор: 김동현,전광열. Владелец: 윤종용. Дата публикации: 2000-09-05.

Self-aligned contact based via to backside power rail

Номер патента: US20240290657A1. Автор: Tao Li,Ruilong Xie,Richard C. Johnson,Kisik Choi. Владелец: International Business Machines Corp. Дата публикации: 2024-08-29.

Integration of air spacer with self-aligned contact in transistor

Номер патента: US20200083101A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2020-03-12.

Self-aligned contact structures

Номер патента: US11916133B2. Автор: Chih-Hao Wang,Chia-Hao Chang,Cheng-Chi Chuang,Yu-Ming Lin,Li-Zhen YU,Lin-Yu HUANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-27.

Self-aligned contact structures

Номер патента: US20240021707A1. Автор: Chih-Hao Wang,Chia-Hao Chang,Cheng-Chi Chuang,Yu-Ming Lin,Li-Zhen YU,Lin-Yu HUANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-18.

Placeholder Profile for Backside Self-Aligned Contact

Номер патента: US20240072134A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Min Gyu Sung,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2024-02-29.

Integration of air spacer with self-aligned contact in transistor

Номер патента: US20200161169A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2020-05-21.

Modified self-aligned contact process and semiconductor device

Номер патента: US09711611B2. Автор: Kuang-Hsin Chen,Tsung-Yu CHIANG,Wei-Shuo HO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-18.

Material removal process for self-aligned contacts

Номер патента: US09761455B2. Автор: Sivananda K. Kanakasabapathy,Ahmet S. Ozcan. Владелец: International Business Machines Corp. Дата публикации: 2017-09-12.

Using Mask Layers to Facilitate the Formation of Self-Aligned Contacts and Vias

Номер патента: US20200126857A1. Автор: CHEN Jyh-Huei,Chen Yi-Ju,Tsai Kuo-Chiang,Yu Ke-Jing,Su Fu-Hsiang. Владелец: . Дата публикации: 2020-04-23.

Self Aligned Contact Scheme

Номер патента: US20210225706A1. Автор: HUANG Yu-Lien. Владелец: . Дата публикации: 2021-07-22.

Modified self-aligned contact process and semiconductor device

Номер патента: US20160211344A1. Автор: Kuang-Hsin Chen,Tsung-Yu CHIANG,Wei-Shuo HO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-07-21.

Gate cap last for self-aligned contact

Номер патента: US20200381306A1. Автор: Kangguo Cheng,ChoongHyun Lee,Ruilong Xie,Chanro Park. Владелец: International Business Machines Corp. Дата публикации: 2020-12-03.

A forming method of self align contact using ArF lithography

Номер патента: KR100527398B1. Автор: 이성권. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-11-15.

Material removal process for self-aligned contacts

Номер патента: US20170170019A1. Автор: Sivananda K. Kanakasabapathy,Ahmet S. Ozcan. Владелец: International Business Machines Corp. Дата публикации: 2017-06-15.

Material removal process for self-aligned contacts

Номер патента: US20170345659A1. Автор: Sivananda K. Kanakasabapathy,Ahmet S. Ozcan. Владелец: International Business Machines Corp. Дата публикации: 2017-11-30.

Material removal process for self-aligned contacts

Номер патента: US9929016B2. Автор: Sivananda K. Kanakasabapathy,Ahmet S. Ozcan. Владелец: International Business Machines Corp. Дата публикации: 2018-03-27.

Semiconductor device having sidewall spacers manifesting a self-aligned contact hole

Номер патента: US20010017423A1. Автор: Jae Roh,Woun Yang. Владелец: LG Semicon Co Ltd. Дата публикации: 2001-08-30.

Self-aligned contact metallization for reduced contact resistance

Номер патента: US09754940B2. Автор: Tahir Ghani,Glenn A. Glass,Anand S. Murthy. Владелец: Intel Corp. Дата публикации: 2017-09-05.

Closed cell lateral MOSFET using silicide source and body regions with self-aligned contacts

Номер патента: US09853143B2. Автор: Shekar Mallikarjunaswamy. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2017-12-26.

Self-aligned contact process enabled by low temperature

Номер патента: US09634117B2. Автор: Hong He,Chun-Chen Yeh,Chiahsun Tseng,Yunpeng Yin. Владелец: International Business Machines Corp. Дата публикации: 2017-04-25.

Method of forming a self-aligned contact pad for use in a semiconductor device

Номер патента: US20020155687A1. Автор: Dae-hyuk Chung,In-seak Hwang,Han-Joo Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-10-24.

Self-aligned contacts

Номер патента: US20140070282A1. Автор: Shom Ponoth,David L. Rath,Muthumanickam Sankarapandian,David V. Horak,Su Chen Fan. Владелец: International Business Machines Corp. Дата публикации: 2014-03-13.

Self-aligned contacts

Номер патента: WO2014039166A1. Автор: Shom Ponoth,David L. Rath,Muthumanickam Sankarapandian,David V. Horak,Su Chen Fan. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2014-03-13.

Self-aligned contact with metal-insulator transistion materials

Номер патента: US20200135882A1. Автор: Peng Xu,Kangguo Cheng,ChoongHyun Lee,Juntao Li. Владелец: International Business Machines Corp. Дата публикации: 2020-04-30.

Method of fabricating self-aligned contact

Номер патента: US6107175A. Автор: Han Lin,Sun-Chieh Chien,Jengping Lin. Владелец: United Microelectronics Corp. Дата публикации: 2000-08-22.

System for Self-Aligned Contacts

Номер патента: US20140213052A1. Автор: Keisuke Shinohara,Miguel Urteaga,Richard L. Pierson, Jr.. Владелец: Teledyne Scientific and Imaging LLC. Дата публикации: 2014-07-31.

Self-aligned contact and method for forming the same

Номер патента: US20190305110A1. Автор: Hsiu-Han Liao,Chien-Ting Chen,Sih-Han Chen,Yao-Ting Tsai. Владелец: Winbond Electronics Corp. Дата публикации: 2019-10-03.

Self-aligned contact structure for replacement metal gate

Номер патента: US20140377927A1. Автор: Soon-Cheon Seo,Alexander Reznicek,Balasubramanian S. Haran. Владелец: International Business Machines Corp. Дата публикации: 2014-12-25.

Method of fabricating self-aligned contact pad using chemical mechanical polishing process

Номер патента: US20100124817A1. Автор: Bo-Un Yoon,Chang-ki Hong,Joon-Sang Park,Ho-Young Kim. Владелец: Individual. Дата публикации: 2010-05-20.

Self-aligned contacts

Номер патента: US20130230978A1. Автор: Dechao Guo,Chung-Hsun Lin,Shu-Jen Han,Wilfried E.A. Haensch. Владелец: International Business Machines Corp. Дата публикации: 2013-09-05.

Self-aligned contacts

Номер патента: US20110248362A1. Автор: Dechao Guo,Chung-Hsun Lin,Shu-Jen Han,Wilfried E.A. Haensch. Владелец: International Business Machines Corp. Дата публикации: 2011-10-13.

Self-aligned contacts

Номер патента: US20120299125A1. Автор: Dechao Guo,Chung-Hsun Lin,Shu-Jen Han,Wilfried E.A. Haensch. Владелец: International Business Machines Corp. Дата публикации: 2012-11-29.

Direct backside self-aligned contact

Номер патента: WO2024082734A1. Автор: Chen Zhang,Ruilong Xie,Heng Wu,Min Gyu Sung,Julien Frougier. Владелец: Ibm (China) Co., Limited. Дата публикации: 2024-04-25.

Direct backside self-aligned contact

Номер патента: US20240128333A1. Автор: Chen Zhang,Ruilong Xie,Heng Wu,Min Gyu Sung,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2024-04-18.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09570583B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2017-02-14.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09455330B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2016-09-27.

PARTIAL SPACER FOR INCREASING SELF ALIGNED CONTACT PROCESS MARGINS

Номер патента: US20180068893A1. Автор: Alptekin Emre,Sardesai Viraj Y.,Vega Reinaldo A.,Ramachandran Ravikumar. Владелец: . Дата публикации: 2018-03-08.

Self aligned contact scheme

Номер патента: US11302577B2. Автор: Yu-Lien Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-04-12.

Self-aligned contact and method of forming the same

Номер патента: US20150206753A1. Автор: Cheng-Hao Yeh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-07-23.

Self-aligned contact set

Номер патента: US8058733B2. Автор: Chan-Lon Yang. Владелец: United Microelectronics Corp. Дата публикации: 2011-11-15.

Method for fabricating mos device with self-aligned contacts

Номер патента: CA1210528A. Автор: Tarsaim L. Batra. Владелец: American Microsystems Holding Corp. Дата публикации: 1986-08-26.

Sidewall spacers for self-aligned contacts

Номер патента: US09882023B2. Автор: Hua Feng Chen,Kuo-Hua Pan,Min-Yann Hsieh,Jyun-Ming Lin,C. H. Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-30.

Self aligned contact structure

Номер патента: US10074562B2. Автор: David L. Rath,Ravikumar Ramachandran,John A. Fitzsimmons,Russell H. Arndt,Rosa A. Orozco-Teran. Владелец: International Business Machines Corp. Дата публикации: 2018-09-11.

Self-aligned contact structure

Номер патента: US09548244B2. Автор: David L. Rath,Ravikumar Ramachandran,John A. Fitzsimmons,Rosa A. Orozco-Teran,Russell H Arndt. Владелец: International Business Machines Corp. Дата публикации: 2017-01-17.

Self-aligned contact structure

Номер патента: US9548244B2. Автор: David L. Rath,Ravikumar Ramachandran,John A. Fitzsimmons,Rosa A. Orozco-Teran,Russell H Arndt. Владелец: International Business Machines Corp. Дата публикации: 2017-01-17.

Self aligned contact structure

Номер патента: US20160336266A1. Автор: David L. Rath,Ravikumar Ramachandran,John A. Fitzsimmons,Rosa A. Orozco-Teran. Владелец: International Business Machines Corp. Дата публикации: 2016-11-17.

Self-aligned contacts

Номер патента: US20130178033A1. Автор: Mark T. Bohr,Tahir Ghani,Jason W. Klaus,Subhash M. Joshi,Nadia M. Rahhal-Orabi,Jack Hwang,Ryan Mackiewicz,Joseph M Steigerwald. Владелец: Intel Corp. Дата публикации: 2013-07-11.

SELF-ALIGNED CONTACTS

Номер патента: US20190051558A1. Автор: Ghani Tahir,Hwang Jack,Bohr Mark T.,Steigerwald Joseph M.,JOSHI Subhash M.,Rahhal-Orabi Nadia M.,Klaus Jason W.,Mackiewicz Ryan. Владелец: . Дата публикации: 2019-02-14.

SACRIFICIAL PRE-METAL DIELECTRIC FOR SELF-ALIGNED CONTACT SCHEME

Номер патента: US20160071953A1. Автор: Shankar Nagraj,van Schravendijk Bart J.,Banerji Ananda K.,Mountsier Thomas Weller. Владелец: . Дата публикации: 2016-03-10.

Self-aligned contacts

Номер патента: US20160155815A1. Автор: Mark T. Bohr,Tahir Ghani,Joseph M. Steigerwald,Jason W. Klaus,Subhash M. Joshi,Nadia M. Rahhal-Orabi,Jack Hwang,Ryan Mackiewicz. Владелец: Intel Corp. Дата публикации: 2016-06-02.

Sidewall Spacers for Self-Aligned Contacts

Номер патента: US20180151697A1. Автор: PAN Kuo-Hua,Lin Jyun-Ming,Chen Hua Feng,Hsieh Min-Yann,WU C.H.. Владелец: . Дата публикации: 2018-05-31.

SELF-ALIGNED CONTACT AND METHOD OF FORMING THE SAME

Номер патента: US20150206753A1. Автор: Yeh Cheng-Hao. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2015-07-23.

Sidewall Spacers for Self-Aligned Contacts

Номер патента: US20170250264A1. Автор: PAN Kuo-Hua,Lin Jyun-Ming,Chen Hua Feng,Hsieh Min-Yann,WU C.H.. Владелец: . Дата публикации: 2017-08-31.

SEMICONDUCTOR DEVICE WITH SELF-ALIGNED CONTACT AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20140346575A1. Автор: Chang Feng-Yi,Chen Hsuan-Hsu,Chen Chieh-Te. Владелец: . Дата публикации: 2014-11-27.

SELF-ALIGNED CONTACTS

Номер патента: US20150270216A1. Автор: Ghani Tahir,Hwang Jack,Bohr Mark T.,Steigerwald Joseph M.,JOSHI Subhash M.,Klaus Jason W.,Mackiewicz Ryan,Rahhai-Orabi Nadia M.. Владелец: . Дата публикации: 2015-09-24.

SELF-ALIGNED CONTACTS

Номер патента: US20200251387A1. Автор: Ghani Tahir,Hwang Jack,Bohr Mark T.,Steigerwald Joseph M.,JOSHI Subhash M.,Rahhal-Orabi Nadia M.,Klaus Jason W.,Mackiewicz Ryan. Владелец: . Дата публикации: 2020-08-06.

SELF-ALIGNED CONTACT AND MANUFACTURING METHOD THEREOF

Номер патента: US20180315608A1. Автор: Huang Tai-Chun,TANG Bang-Tai. Владелец: . Дата публикации: 2018-11-01.

SELF ALIGNED CONTACT STRUCTURE

Номер патента: US20160336266A1. Автор: Rath David L.,Fitzsimmons John A.,Ramachandran Ravikumar,Orozco-Teran Rosa A.. Владелец: . Дата публикации: 2016-11-17.

SELF-ALIGNED CONTACT AND MANUFACTURING METHOD THEREOF

Номер патента: US20200357648A1. Автор: Huang Tai-Chun,TANG Bang-Tai. Владелец: . Дата публикации: 2020-11-12.

SELF-ALIGNED CONTACT AND MANUFACTURING METHOD THEREOF

Номер патента: US20190385858A1. Автор: Huang Tai-Chun,TANG Bang-Tai. Владелец: . Дата публикации: 2019-12-19.

Semiconductor device having a self-aligned contact plug and fabricating method therefor

Номер патента: KR100450686B1. Автор: 손승용,지경구,강창진,김명철. Владелец: 삼성전자주식회사. Дата публикации: 2004-10-01.

Self-aligned contacts

Номер патента: EP4033543A1. Автор: Tahir Ghani,Mark Bohr,Jason Klaus,Jack Hwang,Subhash Joshi,Ryan Mackiewicz,Joseph STEIGERWALD,Nadia Rahhal-Orabi. Владелец: Intel Corp. Дата публикации: 2022-07-27.

Self-aligned contacts

Номер патента: EP3312888A2. Автор: Mark T. Bohr,Tahir Ghani,Joseph M. Steigerwald,Jason W. Klaus,Nadia M. Rahhal-Orabi,Jack Hwang,Subhash Joshi,Ryan Mackiewicz. Владелец: Intel Corp. Дата публикации: 2018-04-25.

Method of forming self-aligned contacts in a semi-conductor process

Номер патента: GB2269938B. Автор: James A Matthews. Владелец: Microunity Systems Engineering Inc. Дата публикации: 1994-09-07.

Self-aligned contacts

Номер патента: EP3096357B1. Автор: Mark T. Bohr,Tahir Ghani,Joseph M. Steigerwald,Jason W. Klaus,Nadia M. Rahhal-Orabi,Jack Hwang,Subhash Joshi,Ryan Mackiewicz. Владелец: Intel Corp. Дата публикации: 2024-03-13.

Method of Forming Self-Aligned Contacts for a Semiconductor Device

Номер патента: US20130189833A1. Автор: Peter Baars,Andy Wei,Martin Mazur,Erik Geiss. Владелец: Globalfoundries Inc. Дата публикации: 2013-07-25.

Method for forming self-aligned contacts and local interconnects simultaneously

Номер патента: US20070235798A1. Автор: Kuang-Chao Chen,Tuung Luoh,Ling-Wuu Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2007-10-11.

Self-aligned contact etch for fabricating a FinFET

Номер патента: US09905473B1. Автор: Guillaume Bouche,Vimal Kamineni,Michael Aquilino. Владелец: Globalfoundries Inc. Дата публикации: 2018-02-27.

Method of forming a self-aligned contact opening

Номер патента: US20010029097A1. Автор: Kei-Yu Ko,Dave Pecora. Владелец: Individual. Дата публикации: 2001-10-11.

Method for forming self-aligned contact window

Номер патента: US6015741A. Автор: Water Lur,Shih-Wei Sun,Tony Lin. Владелец: United Microelectronics Corp. Дата публикации: 2000-01-18.

Self-aligned contact and contact over active gate structures

Номер патента: US20200279773A1. Автор: Susmit Singha Roy,Regina FREED,Madhur Sachan,Sanjay Natarajan,Yuriy Shusterman. Владелец: Micromaterials LLC. Дата публикации: 2020-09-03.

Graphene/nanostructure fet with self-aligned contact and gate

Номер патента: WO2011160922A1. Автор: Isaac Lauer,Jeffrey Sleight,Josephine Chang. Владелец: Ibm United Kingdom Limited. Дата публикации: 2011-12-29.

Method of forming a self-aligned contact of a DRAM cell

Номер патента: US5885895A. Автор: Chuck Chen,Ming-Hua Liu. Владелец: United Microelectronics Corp. Дата публикации: 1999-03-23.

Self-aligned contact for MOS processing

Номер патента: US4795718A. Автор: Bruce A. Beitman. Владелец: HARRIS CORP. Дата публикации: 1989-01-03.

Method for forming self aligned contacts

Номер патента: US20020098640A1. Автор: Ii-Wook Kim,Jong-Sam Kim,Dong-kuk Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-07-25.

Method of fabricating a self-aligned contact using organic dielectric materials

Номер патента: US5482894A. Автор: Robert H. Havemann. Владелец: Texas Instruments Inc. Дата публикации: 1996-01-09.

Self-aligned contact process

Номер патента: US5500382A. Автор: Che-Chia Wei. Владелец: SGS Thomson Microelectronics Inc. Дата публикации: 1996-03-19.

Planarization method for self-aligned contact process

Номер патента: US6110827A. Автор: Der-Yuan Wu,Sun-Chieh Chien,Kun-Cho Chen. Владелец: United Microelectronics Corp. Дата публикации: 2000-08-29.

Self-aligned contact for replacement gate devices

Номер патента: US20130175587A1. Автор: Ying Li,Ravikumar Ramachandran,Richard S. Wise. Владелец: International Business Machines Corp. Дата публикации: 2013-07-11.

Method of forming self-aligned contacts and local interconnects

Номер патента: US20090280633A1. Автор: An Chyi Wei. Владелец: Macronix International Co Ltd. Дата публикации: 2009-11-12.

Insulated-gate field-effect transistor with self-aligned contact hole to source or drain

Номер патента: US4103415A. Автор: James A. Hayes. Владелец: Fairchild Camera and Instrument Corp. Дата публикации: 1978-08-01.

HIGH DENSITY MOSFET ARRAY WITH SELF-ALIGNED CONTACTS ENHANCEMENT PLUG AND METHOD

Номер патента: US20160035846A1. Автор: Lee Yeeheng,Chang Hong,Kim Jongoh. Владелец: . Дата публикации: 2016-02-04.

METHODS FOR FORMING A SELF-ALIGNED CONTACT VIA SELECTIVE LATERAL ETCH

Номер патента: US20160133480A1. Автор: KO Jungmin,Kang Sean. Владелец: . Дата публикации: 2016-05-12.

Method and apparatus for fabricating self-aligned contacts in an integrated circuit

Номер патента: US20030203613A1. Автор: Theodore Houston. Владелец: Individual. Дата публикации: 2003-10-30.

Method and apparatus for fabricating self-aligned contacts in an integrated circuit

Номер патента: US20030100159A1. Автор: Theodore Houston. Владелец: Texas Instruments Inc. Дата публикации: 2003-05-29.

Method and apparatus for fabricating self-aligned contacts in an integrated circuit

Номер патента: US6974968B2. Автор: Theodore W. Houston. Владелец: Texas Instruments Inc. Дата публикации: 2005-12-13.

Self-aligned contacts and methods of fabrication

Номер патента: US20150279738A1. Автор: Guillaume Bouche,Xiang Hu,Andre Labonte,Gabriel Padron Wells. Владелец: Globalfoundries Inc. Дата публикации: 2015-10-01.

Self-aligned contacts and methods of fabrication

Номер патента: US09460963B2. Автор: Guillaume Bouche,Xiang Hu,Andre Labonte,Gabriel Padron Wells. Владелец: Globalfoundries Inc. Дата публикации: 2016-10-04.

Vertical field effect transistors with self aligned contacts

Номер патента: US20200098929A1. Автор: Yi Song,Kangguo Cheng,Juntao Li. Владелец: International Business Machines Corp. Дата публикации: 2020-03-26.

SELF-ALIGNED CONTACTS

Номер патента: US20170040218A1. Автор: Ghani Tahir,Hwang Jack,Bohr Mark T.,Steigerwald Joseph M.,JOSHI Subhash M.,Rahhal-Orabi Nadia M.,Klaus Jason W.,Mackiewicz Ryan. Владелец: . Дата публикации: 2017-02-09.

VERTICAL FIELD EFFECT TRANSISTORS WITH SELF ALIGNED CONTACTS

Номер патента: US20200098929A1. Автор: Cheng Kangguo,Song Yi,Li Juntao. Владелец: . Дата публикации: 2020-03-26.

Reverse Tone Self-Aligned Contact

Номер патента: US20150235897A1. Автор: Lee Chia-Ying,Fu Ching-Feng,Yen Yu-Chan. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2015-08-20.

Methods of forming self-aligned contacts

Номер патента: US20200373309A1. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2020-11-26.

A method for forming gate spacer of self-aligned contact

Номер патента: KR100380348B1. Автор: 서준,송종희. Владелец: 삼성전자주식회사. Дата публикации: 2003-04-11.

Method for forming self-aligned contact hole in semiconductor device

Номер патента: KR100441998B1. Автор: 서준,송종희. Владелец: 삼성전자주식회사. Дата публикации: 2004-07-30.

Method of fabricating self-aligned contact for semiconductor device

Номер патента: KR920004366B1. Автор: 이대훈,정인술. Владелец: 정몽헌. Дата публикации: 1992-06-04.

Process of chemical mechanical polishing for isolating self-aligned contact pad

Номер патента: KR100475123B1. Автор: 홍창기,이재동,박정헌,박영래,김호영. Владелец: 삼성전자주식회사. Дата публикации: 2005-03-10.

Method for forming a self-aligned contact hole in a semiconductor device

Номер патента: US6808975B2. Автор: Jun Seo,Jong-Heui Song. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-10-26.

Method and apparatus for fabricating self-aligned contacts in an integrated circuit

Номер патента: US6576519B1. Автор: Theodore W. Houston. Владелец: Texas Instruments Inc. Дата публикации: 2003-06-10.

Method for isolating self-aligned contact pads

Номер патента: US20040132223A1. Автор: Young-rae Park,Chang-ki Hong,Jae-dong Lee,Jeong-Heon Park,Ho-Young Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-07-08.

Method for manufacturing gate spacer for self-aligned contact

Номер патента: US20020115249A1. Автор: Jun Seo,Jong-Heui Sing. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-08-22.

Methods of forming self-aligned contacts

Номер патента: US20220189965A1. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2022-06-16.

Methods of forming self-aligned contacts

Номер патента: US20200373309A1. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2020-11-26.

Electronic device having self-aligned contacts

Номер патента: US11638376B2. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2023-04-25.

Methods of forming self-aligned contacts comprising reusing hardmask materials and lithography reticles

Номер патента: US11302699B2. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2022-04-12.

Self-aligned contact process for complementary field-effect integrated circuits

Номер патента: US5283203A. Автор: Manzur Gill,Danny Shum. Владелец: Texas Instruments Inc. Дата публикации: 1994-02-01.

Methods of forming self-aligned contacts on FinFET devices

Номер патента: US09627274B1. Автор: Huang Liu,Xintuo Dai,Jinping Liu,Haifeng Sheng. Владелец: Globalfoundries Inc. Дата публикации: 2017-04-18.

MOSFET with asymmetric self-aligned contact

Номер патента: US09640436B1. Автор: Kangguo Cheng,Xin Miao,Ruilong Xie,Tenko Yamashita. Владелец: International Business Machines Corp. Дата публикации: 2017-05-02.

MOSFET with asymmetric self-aligned contact

Номер патента: US09484306B1. Автор: Kangguo Cheng,Xin Miao,Ruilong Xie,Tenko Yamashita. Владелец: International Business Machines Corp. Дата публикации: 2016-11-01.

MOSFET with asymmetric self-aligned contact

Номер патента: US09466570B1. Автор: Kangguo Cheng,Xin Miao,Ruilong Xie,Tenko Yamashita. Владелец: International Business Machines Corp. Дата публикации: 2016-10-11.

MOSFET with asymmetric self-aligned contact

Номер патента: US09698230B2. Автор: Kangguo Cheng,Xin Miao,Ruilong Xie,Tenko Yamashita. Владелец: International Business Machines Corp. Дата публикации: 2017-07-04.

Trench silicide with self-aligned contact vias

Номер патента: US09721888B2. Автор: Fei Liu,Josephine B. Chang,Michael A. Guillorn,Adam M. Pyzyna. Владелец: International Business Machines Corp. Дата публикации: 2017-08-01.

Semiconductor device with self-aligned contact plugs

Номер патента: US20150221590A1. Автор: Martin Poelzl. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2015-08-06.

Mosfet with asymmetric self-aligned contact

Номер патента: US20170140987A1. Автор: Kangguo Cheng,Xin Miao,Ruilong Xie,Tenko Yamashita. Владелец: International Business Machines Corp. Дата публикации: 2017-05-18.

Contact jumper for non-self aligned contact devices

Номер патента: US20240088241A1. Автор: Ruilong Xie,Heng Wu,Min Gyu Sung,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2024-03-14.

Self-aligned contacts for 3d logic and memory

Номер патента: WO2020242602A1. Автор: Jeffrey Smith,Kandabara Tapily,Anton Devilliers,Lars Liebmann. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2020-12-03.

Self-aligned contact cap

Номер патента: US20180025944A1. Автор: Peng Xu,Kangguo Cheng. Владелец: International Business Machines Corp. Дата публикации: 2018-01-25.

Self-aligned contact cap

Номер патента: US20180166336A1. Автор: Peng Xu,Kangguo Cheng. Владелец: International Business Machines Corp. Дата публикации: 2018-06-14.

Self-aligned contact cap

Номер патента: US20180025942A1. Автор: Peng Xu,Kangguo Cheng. Владелец: International Business Machines Corp. Дата публикации: 2018-01-25.

Self-aligned contacts for 3d logic and memory

Номер патента: US20200373203A1. Автор: Jeffrey Smith,Anton J. deVilliers,Kandabara Tapily,Lars Liebmann. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-11-26.

Self-Aligned Contact and Method

Номер патента: US20160027689A1. Автор: WANG Mei-Yun,Wang Hsien-Cheng,WANG Chao-Hsun,Yang Fu-Kai,Liu Shih-Wen. Владелец: . Дата публикации: 2016-01-28.

SELF-ALIGNED CONTACT CAP

Номер патента: US20180025942A1. Автор: Cheng Kangguo,Xu Peng. Владелец: . Дата публикации: 2018-01-25.

SELF-ALIGNED CONTACT CAP

Номер патента: US20180025944A1. Автор: Cheng Kangguo,Xu Peng. Владелец: . Дата публикации: 2018-01-25.

CMOS COMPATIBLE FUSE OR RESISTOR USING SELF-ALIGNED CONTACTS

Номер патента: US20170062413A1. Автор: Cheng Kangguo,Basker Veeraraghavan S.,Wang Junli,STANDAERT Theodorus E.. Владелец: . Дата публикации: 2017-03-02.

STRUCTURE AND METHOD FOR BI-LAYER SELF-ALIGNED CONTACT

Номер патента: US20210066470A1. Автор: Chang Chia-Hao,Wang Chih-hao,Lin Yu-Ming,Chuang Cheng-Chi,Yu Li-Zhen,Huang Lin-Yu. Владелец: . Дата публикации: 2021-03-04.

INTEGRATION OF AIR SPACER WITH SELF-ALIGNED CONTACT IN TRANSISTOR

Номер патента: US20200083101A1. Автор: Cheng Kangguo,Xie Ruilong,Park Chanro,Frougier Julien. Владелец: . Дата публикации: 2020-03-12.

Self-Aligned Contacts for MOL

Номер патента: US20210090950A1. Автор: Cheng Kangguo,Xie Ruilong,Fan Su Chen,Carr Adra. Владелец: . Дата публикации: 2021-03-25.

Mosfet with asymmetric self-aligned contact

Номер патента: US20170140987A1. Автор: Kangguo Cheng,Xin Miao,Ruilong Xie,Tenko Yamashita. Владелец: International Business Machines Corp. Дата публикации: 2017-05-18.

TRENCH SILICIDE WITH SELF-ALIGNED CONTACT VIAS

Номер патента: US20170162496A1. Автор: Liu Fei,Chang Josephine B.,Guillorn Michael A.,Pyzyna Adam M.. Владелец: . Дата публикации: 2017-06-08.

SELF-ALIGNED CONTACT CAP

Номер патента: US20180166336A1. Автор: Cheng Kangguo,Xu Peng. Владелец: . Дата публикации: 2018-06-14.

CMOS COMPATIBLE FUSE OR RESISTOR USING SELF-ALIGNED CONTACTS

Номер патента: US20170170169A1. Автор: Cheng Kangguo,Basker Veeraraghavan S.,Wang Junli,STANDAERT Theodorus E.. Владелец: . Дата публикации: 2017-06-15.

INTEGRATION OF AIR SPACER WITH SELF-ALIGNED CONTACT IN TRANSISTOR

Номер патента: US20200161169A1. Автор: Cheng Kangguo,Xie Ruilong,Park Chanro,Frougier Julien. Владелец: . Дата публикации: 2020-05-21.

Semiconductor device with self-aligned contact plugs

Номер патента: US20150221590A1. Автор: Martin Poelzl. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2015-08-06.

Semiconductor Device with Self-Aligned Contact

Номер патента: US20170278751A1. Автор: WANG Mei-Yun,Wang Hsien-Cheng,WANG Chao-Hsun,Yang Fu-Kai,Liu Shih-Wen. Владелец: . Дата публикации: 2017-09-28.

CMOS COMPATIBLE FUSE OR RESISTOR USING SELF-ALIGNED CONTACTS

Номер патента: US20180286856A1. Автор: Cheng Kangguo,Basker Veeraraghavan S.,Wang Junli,STANDAERT Theodorus E.. Владелец: . Дата публикации: 2018-10-04.

TRENCH SILICIDE WITH SELF-ALIGNED CONTACT VIAS

Номер патента: US20170330830A1. Автор: Liu Fei,Chang Josephine B.,Guillorn Michael A.,Pyzyna Adam M.. Владелец: . Дата публикации: 2017-11-16.

CMOS COMPATIBLE FUSE OR RESISTOR USING SELF-ALIGNED CONTACTS

Номер патента: US20170330875A1. Автор: Cheng Kangguo,Basker Veeraraghavan S.,Wang Junli,STANDAERT Theodorus E.. Владелец: . Дата публикации: 2017-11-16.

SELF-ALIGNED CONTACTS FOR 3D LOGIC AND MEMORY

Номер патента: US20200373203A1. Автор: deVilliers Anton J.,Smith Jeffrey,Tapily Kandabara,LIEBMANN Lars. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2020-11-26.

TWO-DIMENSIONAL (2D) SELF-ALIGNED CONTACT (OR VIA) TO ENABLE FURTHER DEVICE SCALING

Номер патента: US20200411376A1. Автор: Basker Veeraraghavan S.,Reznicek Alexander,Wang Junli,Yeh Chun-chen. Владелец: . Дата публикации: 2020-12-31.

Semiconductor device with a self-aligned contact and a method of manufacturing the same

Номер патента: US6573602B2. Автор: Myeong-Cheol Kim,Tae-Hyuk Ahn,Jun Seo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2003-06-03.

Self aligned contact pad in a semiconductor device and method for forming the same

Номер патента: US6204161B1. Автор: Tae-Young Chung,Jae-Goo Lee,Chang-Hyun Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2001-03-20.

Self-aligned contact cap

Номер патента: US9929046B2. Автор: Peng Xu,Kangguo Cheng. Владелец: International Business Machines Corp. Дата публикации: 2018-03-27.

Method for manufacturing a semiconductor device utilizing self-aligned contact regions

Номер патента: US4830971A. Автор: Tadashi Shibata. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1989-05-16.

METHOD FOR PRODUCING A TRANSISTOR WITH SELF-ALIGNED CONTACTS

Номер патента: FR2752644A1. Автор: Simon Deleonibus. Владелец: Commissariat a lEnergie Atomique CEA. Дата публикации: 1998-02-27.

Sealed self aligned contacts using two nitrides process

Номер патента: US5043790A. Автор: Douglas Butler. Владелец: NMB Semiconductor KK. Дата публикации: 1991-08-27.

Self-aligned contact and manufacturing method thereof

Номер патента: US20190385858A1. Автор: Tai-Chun Huang,Bang-Tai Tang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-12-19.

Self-aligned contact metallization for reduced contact resistance

Номер патента: US20160118384A1. Автор: Tahir Ghani,Glenn A. Glass,Anand S. Murthy. Владелец: Intel Corp. Дата публикации: 2016-04-28.

Self-aligned contact metallization for reduced contact resistance

Номер патента: WO2013095377A1. Автор: Tahir Ghani,Glenn A. Glass,Anand S. Murthy. Владелец: Intel Corporation. Дата публикации: 2013-06-27.

Forming Self-Aligned Contact with Spacer First

Номер патента: US20190027580A1. Автор: Xie Ruilong,Fan Su Chen,Pranatharthiharan Balasubramanian,Greene Andrew M.,Lian Sean,Raymond Mark V.. Владелец: . Дата публикации: 2019-01-24.

TRANSISTOR WITH AIR SPACER AND SELF-ALIGNED CONTACT

Номер патента: US20180090586A1. Автор: Cheng Kangguo,Xu Peng,Zhang Chen,Miao Xin. Владелец: . Дата публикации: 2018-03-29.

SELF-ALIGNED CONTACT WITH METAL-INSULATOR TRANSISTION MATERIALS

Номер патента: US20200135881A1. Автор: Cheng Kangguo,Xu Peng,Lee Choonghyun,Li Juntao. Владелец: . Дата публикации: 2020-04-30.

SELF-ALIGNED CONTACT WITH METAL-INSULATOR TRANSISTION MATERIALS

Номер патента: US20200135882A1. Автор: Cheng Kangguo,Xu Peng,Lee Choonghyun,Li Juntao. Владелец: . Дата публикации: 2020-04-30.

SELF-ALIGNED CONTACTS FOR NANOSHEET FIELD EFFECT TRANSISTOR DEVICES

Номер патента: US20210183711A1. Автор: Ryckaert Julien,Weckx Pieter,Horiguchi Naoto,BOEMMELS Juergen,Dentoni Litta Eugenio. Владелец: . Дата публикации: 2021-06-17.

METHOD OF FORMING A SELF-ALIGNED CONTACT USING SELECTIVE SiO2 DEPOSITION

Номер патента: US20180233407A1. Автор: Tapily Kandabara N.,Chae Soo Doo,Han Sangcheol. Владелец: . Дата публикации: 2018-08-16.

Critical Dimension Control For Self-Aligned Contact Patterning

Номер патента: US20180240706A1. Автор: Mallick Abhijit Basu,Duan Ziqing. Владелец: . Дата публикации: 2018-08-23.

FINFET WITH HIGH-K SPACER AND SELF-ALIGNED CONTACT CAPPING LAYER

Номер патента: US20190259619A1. Автор: Xu Guowei,Zang Hui,Tabakman Keith. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2019-08-22.

SELF-ALIGNED CONTACT PROCESS ENABLED BY LOW TEMPERATURE

Номер патента: US20180331039A1. Автор: Yin Yunpeng,Yeh Chun-chen,He Hong,Tseng Chiahsun. Владелец: . Дата публикации: 2018-11-15.

SELF-ALIGNED CONTACT

Номер патента: US20170372957A1. Автор: Cheng Kangguo,XU Wenyu,Zhang Chen,Miao Xin. Владелец: . Дата публикации: 2017-12-28.

Self aligned contact plug technology

Номер патента: US6348409B1. Автор: Cheng-Yeh Shih. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2002-02-19.

Self-aligned contact formation method of semiconductor device

Номер патента: KR100284535B1. Автор: 이재구,조창현,이규현,정상섭. Владелец: 윤종용. Дата публикации: 2001-04-02.

Method for forming self-aligned contact of semiconductor device

Номер патента: KR100268443B1. Автор: 조창현,김기남,정태영. Владелец: 윤종용. Дата публикации: 2000-10-16.

Semiconductor device having self aligned contact and method for manufacturing thereof

Номер патента: KR100335488B1. Автор: 박준수,이중현,김인성,강현재. Владелец: 윤종용. Дата публикации: 2002-05-04.

Method for forming a self aligned contact in a damascene metal gate

Номер патента: KR100376876B1. Автор: 김태균,여인석,장세억. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-03-19.

Method for forming a silicide gate stack for use in a self-aligned contact etch

Номер патента: KR100626928B1. Автор: 맥스에프 하이네만. Владелец: 마이크론 테크놀로지, 인크. Дата публикации: 2006-09-20.

Method of forming a self-aligned contact structure using a sacrificial mask layer

Номер патента: KR100541046B1. Автор: 정태영,윤철주. Владелец: 삼성전자주식회사. Дата публикации: 2006-01-11.

Method of making a self-aligned contact in semiconductor device

Номер патента: KR100260577B1. Автор: 김재갑. Владелец: 현대전자산업주식회사. Дата публикации: 2000-08-01.

Method for forming self alignment contact

Номер патента: CN1244727A. Автор: 林炳俊. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-02-16.

A semiconductor device having self-aligned contact hole and fabrication method thereof

Номер патента: KR100487951B1. Автор: 김형섭. Владелец: 삼성전자주식회사. Дата публикации: 2005-05-06.

METHOD FOR FORMING A SELF-ALIGNED CONTACT IN A SEMICONDUCTOR DEVICE

Номер патента: FR2784229B1. Автор: Chang Hyum Cho,Kyu Hyun Lee,Lae Goo Lee,Sang Sub Jeong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-03-12.

METHOD FOR PRODUCING A TRANSISTOR WITH SELF-ALIGNED CONTACTS

Номер патента: FR2752644B1. Автор: Simon Deleonibus. Владелец: Commissariat a lEnergie Atomique CEA. Дата публикации: 1998-10-02.

Method of manufacturing self-aligned contact hole for semiconductor device

Номер патента: KR960032616A. Автор: 다다시 후까세. Владелец: 닛뽕 덴끼 가부시끼가이샤. Дата публикации: 1996-09-17.

METHOD FOR FORMING A SELF-ALIGNED CONTACT IN A SEMICONDUCTOR DEVICE

Номер патента: FR2784229A1. Автор: Chang Hyum Cho,Kyu Hyun Lee,Lae Goo Lee,Sang Sub Jeong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-04-07.

Self-aligned contacts for field effect transistor devices

Номер патента: US8367508B2. Автор: Keith Kwong Hon Wong,Dechao Guo,Xinhui Wang,Wilfried E. Haensch. Владелец: International Business Machines Corp. Дата публикации: 2013-02-05.

Self-aligned contact process enabled by low temperature

Номер патента: US10566454B2. Автор: Hong He,Chun-Chen Yeh,Chiahsun Tseng,Yunpeng Yin. Владелец: Tessera LLC. Дата публикации: 2020-02-18.

Self-aligned contacts for field effect transistor devices

Номер патента: GB2492514C. Автор: Keith Kwong Hon Wong,Dechao Guo,Xinhui Wang,Wilfried E A Haensch. Владелец: International Business Machines Corp. Дата публикации: 2014-06-18.

Method for manufacturing self-aligned contact hole and semiconductor device

Номер патента: KR940007994A. Автор: 하노 멜츠너. Владелец: 제멘스 악티엔게젤샤프트. Дата публикации: 1994-04-28.

Method for forming a self aligned contact in a semiconductor device

Номер патента: GB9909492D0. Автор: . Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-06-23.

Method for manufacturing self aligned contact hole

Номер патента: KR100366617B1. Автор: 지경구,남병윤. Владелец: 삼성전자 주식회사. Дата публикации: 2003-01-09.

Self-aligned contact process enabled by low temperature

Номер патента: US9324830B2. Автор: Hong He,Chun-Chen Yeh,Chiahsun Tseng,Yunpeng Yin. Владелец: International Business Machines Corp. Дата публикации: 2016-04-26.

Self-aligned contact and method of fabricating the same

Номер патента: KR100268431B1. Автор: 박병준. Владелец: 윤종용. Дата публикации: 2000-10-16.

Method for fabricating self aligned contact hole

Номер патента: KR100613392B1. Автор: 황한규. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-08-17.

Self-aligned contact structure and method for fabricating the same

Номер патента: KR100467023B1. Автор: 이신애,김성민,김성호,박동건,이창섭,최정동. Владелец: 삼성전자주식회사. Дата публикации: 2005-01-24.

Enlarged sacrificial gate caps for forming self-aligned contacts

Номер патента: US10008385B1. Автор: Haiting Wang,Chih-Chiang Chang,Ashish Kumar JHA,Mitchell Rutkowski. Владелец: Globalfoundries Inc. Дата публикации: 2018-06-26.

Method of forming a self-aligned contact structure using a sacrificial mask layer

Номер патента: US7205232B2. Автор: Tae-Young Chung,Cheol-ju Yun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-04-17.

Semiconductor device having self-aligned contact hole and method of fabricating the same

Номер патента: US20040155282A1. Автор: Hyoung-Sub Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-08-12.

Self-aligned contacts for salicided MOS devices

Номер патента: US6258714B1. Автор: Ritu Shrivastava. Владелец: Alliance Semiconductor Corp. Дата публикации: 2001-07-10.

Method for forming a silicide gate stack for use in a self-aligned contact etch

Номер патента: WO2001071800A3. Автор: Max F Hineman. Владелец: Micron Technology Inc. Дата публикации: 2002-03-07.

Method of manufacturing self-aligned contact hole

Номер патента: US6498081B2. Автор: Byeong-Yun Nam,Kyeong-koo Chi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-12-24.

Method and device for self-aligned contact on a non-recessed metal gate

Номер патента: US9076816B2. Автор: Hoon Kim,Xunyuan Zhang,Xiuyu Cai. Владелец: Globalfoundries Inc. Дата публикации: 2015-07-07.

Self-aligned contact and manufacturing method thereof

Номер патента: US20200357648A1. Автор: Tai-Chun Huang,Bang-Tai Tang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-11-12.

SELF-ALIGNED CONTACT PROTECTION USING REINFORCED GATE CAP AND SPACER PORTIONS

Номер патента: US20180130889A1. Автор: Kim Hoon,Xie Ruilong,Park Chanro,Sung Min Gyu. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2018-05-10.

MODIFIED SELF-ALIGNED CONTACT PROCESS AND SEMICONDUCTOR DEVICE

Номер патента: US20150228746A1. Автор: Ho Wei-Shuo,Chen Kuang-Hsin,Chiang Tsung-Yu. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2015-08-13.

III-V SEMICONDUCTOR DEVICE HAVING SELF-ALIGNED CONTACTS

Номер патента: US20150243773A1. Автор: Shiu Kuen-Ting,Majumdar Amlan,Sun Yanning,Basu Anirban. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2015-08-27.

NON-SELF ALIGNED CONTACT SEMICONDUCTOR DEVICES

Номер патента: US20200295151A1. Автор: Cheng Kangguo,Xie Ruilong,Park Chanro,Amanapu Hari Prasad. Владелец: . Дата публикации: 2020-09-17.

III-V semiconductor device having self-aligned contacts

Номер патента: US9324853B2. Автор: Anirban Basu,Kuen-Ting Shiu,Amlan Majumdar,Yanning Sun. Владелец: International Business Machines Corp. Дата публикации: 2016-04-26.

Non-self aligned contact semiconductor devices

Номер патента: US20200295151A1. Автор: Hari Prasad Amanapu,Kangguo Cheng,Ruilong Xie,Chanro Park. Владелец: International Business Machines Corp. Дата публикации: 2020-09-17.

Method of manufacturing self-aligned contact in semiconductor device

Номер патента: CN106653847B. Автор: 李振铭,杨复凯,王美匀,赵高毅. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-07-17.

Self-aligned contact for embedded memory

Номер патента: US20230197513A1. Автор: Meng-Fan Chang,Tzu-Chiang CHEN,Hung-Li Chiang,Jer-Fu Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-06-22.

FinFET standard cell with double self-aligned contacts and method therefor

Номер патента: US12062659B2. Автор: YONG Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-08-13.

FinFET STANDARD CELL WITH DOUBLE SELF-ALIGNED CONTACTS AND METHOD THEREFOR

Номер патента: US20230051161A1. Автор: YONG Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2023-02-16.

Method for fabricating a finFET metallization architecture using a self-aligned contact etch

Номер патента: US09818876B1. Автор: Guillaume Bouche. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-14.

Completing middle of line integration allowing for self-aligned contacts

Номер патента: US9093557B2. Автор: Haiting Wang,Guillaume Bouche. Владелец: Globalfoundries Inc. Дата публикации: 2015-07-28.

Lift-off process for fabricating self-aligned contacts

Номер патента: CA1204224A. Автор: Alvin Milgram. Владелец: Fairchild Camera and Instrument Corp. Дата публикации: 1986-05-06.

High density mosfet array with self-aligned contacts enhancement plug and method

Номер патента: US20160035846A1. Автор: Hong Chang,Yeeheng Lee,Jongoh Kim. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2016-02-04.

Self-aligned contact openings for backside through substrate vias

Номер патента: US20240297099A1. Автор: Jeffrey Peter Gambino,Swarnal Borthakur. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2024-09-05.

Self-aligned contact openings for backside through substrate vias

Номер патента: US11973006B2. Автор: Jeffrey Peter Gambino,Swarnal Borthakur. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2024-04-30.

Semiconductor device with self-aligning contact and method for fabricating the same

Номер патента: US20220271036A1. Автор: Chun-Chi Lai. Владелец: Nanya Technology Corp. Дата публикации: 2022-08-25.

Method for forming self-aligned contact and integrated circuit with self-aligned contact

Номер патента: TW201243956A. Автор: Yi-Nan Chen,Hsien-Wen Liu,Jar-Ming Ho. Владелец: Nanya Technology Corp. Дата публикации: 2012-11-01.

Method for fabricating semiconductor device with self-aligning contact

Номер патента: US11764223B2. Автор: Chun-Chi Lai. Владелец: Nanya Technology Corp. Дата публикации: 2023-09-19.

Method for fabricating semiconductor device with self-aligning contact

Номер патента: US20220293602A1. Автор: Chun-Chi Lai. Владелец: Nanya Technology Corp. Дата публикации: 2022-09-15.

FORMING VIAS AND TRENCHES FOR SELF-ALIGNED CONTACTS IN A SEMICONDUCTOR STRUCTURE

Номер патента: US20140191409A1. Автор: Lao Keith Quoc. Владелец: . Дата публикации: 2014-07-10.

RECESSING RMG METAL GATE STACK FOR FORMING SELF-ALIGNED CONTACT

Номер патента: US20160149015A1. Автор: Cheng Kangguo,Khakifirooz Ali,Xie Ruilong,Cai Xiuyu. Владелец: . Дата публикации: 2016-05-26.

SELF-ALIGNED CONTACT STRUCTURE FOR REPLACEMENT METAL GATE

Номер патента: US20140377927A1. Автор: Reznicek Alexander,HARAN BALASUBRAMANIAN S.,Seo Soon-Cheon. Владелец: . Дата публикации: 2014-12-25.

MATERIAL REMOVAL PROCESS FOR SELF-ALIGNED CONTACTS

Номер патента: US20170358453A1. Автор: Ozcan Ahmet S.,Kanakasabapathy Sivananda K.. Владелец: . Дата публикации: 2017-12-14.

Method of forming self-aligned contact structure with locally etched gate conductive layer

Номер патента: US6855610B2. Автор: Ming-Sheng Tung,Yueh-Chuan Lee. Владелец: Promos Technologies Inc. Дата публикации: 2005-02-15.

Method of forming a self aligned contact in a semiconductor device

Номер патента: KR100334572B1. Автор: 박영우,이원성. Владелец: 윤종용. Дата публикации: 2002-05-03.

Dielectric liner for a self-aligned contact via structure

Номер патента: US9318384B2. Автор: Kangguo Cheng,Ali Khakifirooz,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2016-04-19.

Air-replaced spacer for self-aligned contact scheme

Номер патента: US20210125858A1. Автор: Zhiqiang Wu,Chun-Fu CHENG,Meng-Yu Lin,Chung-Wei Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-04-29.

Air-Replaced Spacer for Self-Aligned Contact Scheme

Номер патента: US20240339355A1. Автор: Zhiqiang Wu,Chun-Fu CHENG,Meng-Yu Lin,Chung-Wei Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-10.

Air-replaced spacer for self-aligned contact scheme

Номер патента: US12040222B2. Автор: Zhiqiang Wu,Chun-Fu CHENG,Meng-Yu Lin,Chung-Wei Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-16.

Self-aligned contact hole and pillar array patterning

Номер патента: EP3671822A1. Автор: Jae Uk Lee. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2020-06-24.

Protruding spacers for self-aligned contacts

Номер патента: TW200406045A. Автор: Kurt George Steiner,Gerald W Gibson Jr,Eduardo Jose Quinones. Владелец: AGERE SYSTEMS INC. Дата публикации: 2004-04-16.

Self aligned contact

Номер патента: TW200826240A. Автор: Yi Ding. Владелец: Promos Technologies Pte Ltd. Дата публикации: 2008-06-16.

Transistor having raised source/drain self-aligned contacts and method of forming same

Номер патента: TW200945581A. Автор: Swaminathan Sivakumar. Владелец: Intel Corp. Дата публикации: 2009-11-01.

Transistor formed with self-aligned contacts

Номер патента: WO2007033337A3. Автор: Andrew Marshall,Tito Gelsomini,Harvey Edd Davis. Владелец: Harvey Edd Davis. Дата публикации: 2009-04-23.

Transistor formed with self-aligned contacts

Номер патента: WO2007033337A2. Автор: Andrew Marshall,Tito Gelsomini,Harvey Edd Davis. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2007-03-22.

Method of forming a transistor with self-aligned contacts

Номер патента: EP1935012B1. Автор: Andrew Marshall,Tito Gelsomini,Harvey Edd Davis. Владелец: Texas Instruments Inc. Дата публикации: 2019-07-24.

Self-aligned contacts to gates

Номер патента: AU2003260060A1. Автор: Mark Bohr. Владелец: Intel Corp. Дата публикации: 2004-03-11.

Semiconductor devices with self-aligned contacts and low-k spacers

Номер патента: US09543426B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2017-01-10.

Air-replaced spacer for self-aligned contact scheme

Номер патента: US20220181202A1. Автор: Zhiqiang Wu,Chun-Fu CHENG,Meng-Yu Lin,Chung-Wei Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-06-09.

Method for manufacturing a semiconductor device having self-aligned contacts

Номер патента: US20030025137A1. Автор: Akira Takahashi. Владелец: Akira Takahashi. Дата публикации: 2003-02-06.

Method for manufacturing a semiconductor device having self-aligned contacts

Номер патента: US20040159953A1. Автор: Akira Takahashi. Владелец: Individual. Дата публикации: 2004-08-19.

Methods of fabricating integrated circuit devices including self-aligned contacts with increased alignment margin

Номер патента: US20050272251A1. Автор: Won-suk Yang,Ki-nam Kim. Владелец: Individual. Дата публикации: 2005-12-08.

SELF-ALIGNED CONTACT AND CONTACT OVER ACTIVE GATE STRUCTURES

Номер патента: US20200279773A1. Автор: Sachan Madhur,Freed Regina,Natarajan Sanjay,Roy Susmit Singha,Shusterman Yuriy. Владелец: Micromaterials LLC. Дата публикации: 2020-09-03.

Self-aligned contact/borderless contact opening and method for forming same

Номер патента: TW200425398A. Автор: Chia-Chi Chung. Владелец: Macronix Int Co Ltd. Дата публикации: 2004-11-16.

Embedded bottom metal contact formed by a self-aligned contact process for vertical transistors

Номер патента: GB201916897D0. Автор: . Владелец: International Business Machines Corp. Дата публикации: 2020-01-01.

Transistor having raised source/drain self-aligned contacts and method of forming same

Номер патента: WO2009085520A3. Автор: Swaminathan Sivakumar. Владелец: Intel Corporation. Дата публикации: 2009-09-17.

Self-Aligned Contacts for High k/Metal Gate Process Flow

Номер патента: US20120175711A1. Автор: Ying Li,Ravikumar Ramachandran,Ramachandra Divakaruni. Владелец: International Business Machines Corp. Дата публикации: 2012-07-12.

SELF-ALIGNED CONTACTS FOR HIGH k/METAL GATE PROCESS FLOW

Номер патента: US20130189834A1. Автор: Ying Li,Ravikumar Ramachandran,Ramachandra Divakaruni. Владелец: International Business Machines Corp. Дата публикации: 2013-07-25.

Super-Self-Aligned Contacts and Method for Making the Same

Номер патента: US20140030890A1. Автор: Smayling Michael C.. Владелец: Tela Innovations, Inc.. Дата публикации: 2014-01-30.

SELF-ALIGNED CONTACT SCHEME FOR PILLAR-BASED MEMORY ELEMENTS

Номер патента: US20210020508A1. Автор: Lanzillo Nicholas Anthony,RIZZOLO Michael,Briggs Benjamin D.. Владелец: . Дата публикации: 2021-01-21.

TRANSISTOR WITH REDUCED GATE RESISTANCE AND IMPROVED PROCESS MARGIN OF FORMING SELF-ALIGNED CONTACT

Номер патента: US20220051939A1. Автор: YANG SHENG-HUI. Владелец: . Дата публикации: 2022-02-17.

Methods of forming semiconductor device with self-aligned contact elements and the resulting device

Номер патента: US20170077247A1. Автор: Xiuyu Cai,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-16.

GRAPHENE/NANOSTRUCTURE FET WITH SELF-ALIGNED CONTACT AND GATE

Номер патента: US20220093772A1. Автор: Chang Josephine,Lauer Isaac,Sleight Jeffrey. Владелец: . Дата публикации: 2022-03-24.

SELF-ALIGNED CONTACT STRUCTURES

Номер патента: US20220173223A1. Автор: Chang Chia-Hao,Wang Chih-hao,Lin Yu-Ming,Chuang Cheng-Chi,Yu Li-Zhen,Huang Lin-Yu. Владелец: . Дата публикации: 2022-06-02.

AIR-REPLACED SPACER FOR SELF-ALIGNED CONTACT SCHEME

Номер патента: US20210125858A1. Автор: Wu Zhiqiang,Lin Meng-Yu,Wu Chung-Wei,Cheng Chun-Fu. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd. Дата публикации: 2021-04-29.

AIR-REPLACED SPACER FOR SELF-ALIGNED CONTACT SCHEME

Номер патента: US20220181202A1. Автор: Wu Zhiqiang,Lin Meng-Yu,Wu Chung-Wei,Cheng Chun-Fu. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2022-06-09.

SELF-ALIGNED CONTACT PROCESS ENABLED BY LOW TEMPERATURE

Номер патента: US20170141038A1. Автор: Yin Yunpeng,Yeh Chun-chen,He Hong,Tseng Chiahsun. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2017-05-18.

MIDDLE OF THE LINE SUBTRACTIVE SELF-ALIGNED CONTACTS

Номер патента: US20170162437A1. Автор: Pranatharthiharan Balasubramanian,Rubin Joshua M.. Владелец: . Дата публикации: 2017-06-08.

MIDDLE OF THE LINE SUBTRACTIVE SELF-ALIGNED CONTACTS

Номер патента: US20170162443A1. Автор: Pranatharthiharan Balasubramanian,Rubin Joshua M.. Владелец: . Дата публикации: 2017-06-08.

METHOD FOR FORMING SELF-ALIGNED CONTACTS/VIAS WITH HIGH CORNER SELECTIVITY

Номер патента: US20150170965A1. Автор: WANG Peng,Hudson Eric A.,INDRAKANTI Ananth. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2015-06-18.

Method of Forming Self-Aligned Contacts Using a Replacement Metal Gate Process in a Semiconductor Device

Номер патента: US20150263131A1. Автор: Metz Andrew. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2015-09-17.

MIDDLE OF THE LINE SUBTRACTIVE SELF-ALIGNED CONTACTS

Номер патента: US20180261511A1. Автор: Pranatharthiharan Balasubramanian,Rubin Joshua M.. Владелец: . Дата публикации: 2018-09-13.

SELF-ALIGNED CONTACTS AND METHODS OF FABRICATION

Номер патента: US20150279738A1. Автор: Labonte Andre,Bouche Guillaume,Wells Gabriel Padron,HU Xiang. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2015-10-01.

MULTICOLOR SELF-ALIGNED CONTACT SELECTIVE ETCH

Номер патента: US20210343592A1. Автор: ZHANG YING,Hwang Ho-Yung David,Zhou Qingjun,Lin Yung-Chen. Владелец: Applied Materials, Inc.. Дата публикации: 2021-11-04.

Multicolor Self-Aligned Contact Selective Etch

Номер патента: US20190279901A1. Автор: ZHANG YING,Zhou Qingjun,Lin Yung-Chen,Hwang Ho-yung. Владелец: . Дата публикации: 2019-09-12.

MATERIAL REMOVAL PROCESS FOR SELF-ALIGNED CONTACTS

Номер патента: US20170345659A1. Автор: Ozcan Ahmet S.,Kanakasabapathy Sivananda K.. Владелец: . Дата публикации: 2017-11-30.

Self aligned contact scheme

Номер патента: US9548366B1. Автор: Tsai-Jung Ho,Kuang-Yuan Hsu,Pei-Ren Jeng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-17.

Self aligned contact scheme

Номер патента: US9859386B2. Автор: Tsai-Jung Ho,Kuang-Yuan Hsu,Pei-Ren Jeng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-02.

Manufacturing method for self-aligned contacts

Номер патента: TW384525B. Автор: Jin-Huei Li,Jia-Wen Liang. Владелец: United Microelectronics Corp. Дата публикации: 2000-03-11.

Method for fabricating a self-aligned contact

Номер патента: US6001743A. Автор: Jia-Hwa Lee,Chia-Wen Liang. Владелец: United Microelectronics Corp. Дата публикации: 1999-12-14.

A method for forming a self-aligned contact of a semiconductor device

Номер патента: KR100609523B1. Автор: 남상혁. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-08-04.

Method for etching a self align contact of semiconductor device

Номер патента: KR20030000949A. Автор: 조영재. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-01-06.

METHOD FOR FABRICATION OF SELF ALIGN CONTACT HOLE OF SEMICONDUCTOR DEVICE USING ArF PHOTO LITHOGRAPHY

Номер патента: KR100942980B1. Автор: 이성권. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2010-02-17.

Method for fabricating semiconductor device having self-aligned contact pad

Номер патента: KR100652369B1. Автор: 이강윤. Владелец: 삼성전자주식회사. Дата публикации: 2006-11-30.

Self-aligning contact hole etching process

Номер патента: CN104465493A. Автор: 李俊杰,王文武,李俊峰,李春龙,洪培真. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2015-03-25.

Semiconductor device having self-aligned contact and method of fabricating the same

Номер патента: CN101009267A. Автор: 朴源模. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-08-01.

Method of manufacturing semiconductor device using self-aligned contact process

Номер патента: KR100647481B1. Автор: 이성호,김영희,남신우,안창문. Владелец: 삼성전자주식회사. Дата публикации: 2006-11-17.

Method for forming self-aligned contacts in semiconductor devices

Номер патента: KR970018058A. Автор: 최창원. Владелец: 김광호. Дата публикации: 1997-04-30.

Method of forming self-aligned contact in semiconductor device

Номер патента: KR0164497B1. Автор: 최창원. Владелец: 김광호. Дата публикации: 1999-02-01.

The preparation method of self-aligned contact hole

Номер патента: CN106206421B. Автор: 陈宏�,许昕睿. Владелец: Shanghai Huahong Grace Semiconductor Manufacturing Corp. Дата публикации: 2019-06-28.

MANUFACTURING METHOD FOR LOCAL INTERCONNECTIONS AND SELF-ALIGNED CONTACTS

Номер патента: FR2772985A1. Автор: Shih Wei Sun. Владелец: United Microelectronics Corp. Дата публикации: 1999-06-25.

MANUFACTURING METHOD FOR LOCAL INTERCONNECTIONS AND SELF-ALIGNED CONTACTS

Номер патента: FR2772985B1. Автор: Shih Wei Sun. Владелец: United Microelectronics Corp. Дата публикации: 2000-05-26.

Semiconductor device having self-aligned contact structure and methods of forming same

Номер патента: CN1148788C. Автор: ,林炳俊,黄有商. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-05-05.

Method of fabricating self-align-contact

Номер патента: US6169025B1. Автор: Chien-Li Kuo. Владелец: United Microelectronics Corp. Дата публикации: 2001-01-02.

Method of forming a self-aligned contact hole on a semiconductor wafer

Номер патента: US6306760B1. Автор: Hsin-Tuei Hsu,Yuang-Chang Lin,Wen-Jeng Lin. Владелец: United Microelectronics Corp. Дата публикации: 2001-10-23.

CMOS device with dual epi-channels and self-aligned contacts and manufacturing processes

Номер патента: DE112008000094B4. Автор: Pushkar Ranade,Keith E. Zawadzki. Владелец: Intel Corp. Дата публикации: 2016-09-15.

Method of forming a self-aligned contact pad in a damascene gate process

Номер патента: KR100350056B1. Автор: 김기남,정태영,이규현,황유상,우형수. Владелец: 삼성전자 주식회사. Дата публикации: 2002-08-24.

Process for the production of self-aligned contacts on buried bit lines

Номер патента: DE10256936B3. Автор: Josef Dr. Willer,Juerg Haufe. Владелец: Qimonda Flash GmbH. Дата публикации: 2004-09-09.

Semiconductor device with self-aligned contacts and method of fabrication

Номер патента: EP0749156A1. Автор: Hanno Melzner. Владелец: SIEMENS AG. Дата публикации: 1996-12-18.

Process for making self-aligned contacts

Номер патента: EP0355339A2. Автор: David Stanasolovich,Steven Peterman. Владелец: International Business Machines Corp. Дата публикации: 1990-02-28.

Self-aligned contacts for transistors

Номер патента: US7563701B2. Автор: Brian S. Doyle,Peter L. D. Chang. Владелец: Intel Corp. Дата публикации: 2009-07-21.

Self-aligned contacts for high k/metal gate process flow

Номер патента: US8536656B2. Автор: Ying Li,Ravikumar Ramachandran,Ramachandra Divakaruni. Владелец: International Business Machines Corp. Дата публикации: 2013-09-17.

Structure and fabricating method of self-aligned contact

Номер патента: TW388104B. Автор: Jian-Li Guo. Владелец: United Microelectronics Corp. Дата публикации: 2000-04-21.

Self-aligned contact method

Номер патента: CN1825541A. Автор: 洪昌基,朴正宪,李在东,朴俊相,尹世罗,裵根熙,郑明浩,具珠善,金荣玉. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-08-30.

Semiconductor device having self-aligned contact and method of forming the same

Номер патента: KR100675303B1. Автор: 박원모. Владелец: 삼성전자주식회사. Дата публикации: 2007-01-29.

Sidewall spacer structure for self-aligned contact and method for forming the same

Номер патента: KR100499161B1. Автор: 이동준,이재구,정태영. Владелец: 삼성전자주식회사. Дата публикации: 2005-07-01.

Manufacturing method of self-aligned contact of dynamic random access memory

Номер патента: TW320765B. Автор: Ming-Hwa Liou,Ming-Tsong Chen. Владелец: United Microelectronics Corp. Дата публикации: 1997-11-21.

Semiconductor apparatus formed by SAC (self-aligned contact)

Номер патента: US6483138B1. Автор: Masami Aoki,Kazumasa Sunouchi,Mariko Habu,Tahru Ozaki. Владелец: Toshiba Corp. Дата публикации: 2002-11-19.

Method of making self-aligned contact for via hole

Номер патента: TW392305B. Автор: Wen-Yi Tan,Kuen-Ji Lin. Владелец: United Microelectronics Corp. Дата публикации: 2000-06-01.

Methods of forming semiconductor device having a self-aligned contact structure

Номер патента: EP1169731B1. Автор: Byung-Jun Park,Yoo-Sang Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-02-25.

Method for forming self-aligning contact structure in semiconductor IC device

Номер патента: CN1319886A. Автор: 金允基,朴东建,朴钟佑. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2001-10-31.

Method for making self-aligned contact

Номер патента: TW436985B. Автор: Ye-Sen Lin. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2001-05-28.

Semiconductor device having a self-aligned contact structure and methods of forming the same

Номер патента: EP1169731A1. Автор: Byung-Jun Park,Yoo-Sang Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-01-09.

Self-aligned contacts for high k/metal gate process flow

Номер патента: CN103299428A. Автор: 李影,R·拉玛钱德兰,R·迪瓦卡鲁尼. Владелец: International Business Machines Corp. Дата публикации: 2013-09-11.

The forming method of self-aligned contact hole

Номер патента: CN108417527A. Автор: 郭振强. Владелец: Shanghai Huahong Grace Semiconductor Manufacturing Corp. Дата публикации: 2018-08-17.

Semiconductor arrangement with self-aligned contacts and method for their production

Номер патента: DE59506590D1. Автор: Hanno Melzner. Владелец: SIEMENS AG. Дата публикации: 1999-09-16.

Method of manufacturing self-aligned contact

Номер патента: US6171954B1. Автор: Chen-Chung Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2001-01-09.

A method of forming self-aligned contacts portion

Номер патента: CN106531684B. Автор: 赵超,朱慧珑,殷华湘,赵治国. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2019-07-16.

Method for fabricating self-aligned contact hole

Номер патента: US6303491B1. Автор: Jengping Lin,Tse Yao Huang,Tzu-Ching Tsai,Lin-Chin Su. Владелец: Nanya Technology Corp. Дата публикации: 2001-10-16.

Self-aligned contact with zero offset to gate

Номер патента: EP0660395A2. Автор: Robert Louis Hodges,Loi Nguyen. Владелец: SGS Thomson Microelectronics Inc. Дата публикации: 1995-06-28.

CMOS device with dual epi channels and self-aligned contacts

Номер патента: DE112008000094T5. Автор: Pushkar Hillsboro Ranade,Keith E. Portland Zawadzki. Владелец: Intel Corp. Дата публикации: 2010-01-21.

Semiconductor device with self-aligned contact and its manufacture

Номер патента: TW347558B. Автор: Kenichi Inoue,Koichi Hashimoto,Kazuo Itabashi,Osamu Tuboi,Yuji Yokoyam. Владелец: Fujitsu Ltd. Дата публикации: 1998-12-11.

Recess transistor (TR) gate to obtain large self-aligned contact (SAC) open margin

Номер патента: US7091540B2. Автор: Ji-Young Kim,Jin-Jun Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-08-15.

Method for forming self align contact hole of semiconductor device

Номер патента: KR100745058B1. Автор: 조영재. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-08-01.

Semiconductor memory device having a self-aligned contact and fabricating method thereof

Номер патента: KR100366620B1. Автор: 김명철,안태혁,정상섭,남병윤. Владелец: 삼성전자 주식회사. Дата публикации: 2003-01-09.

Method of forming a self-aligned contact pad for a semiconductor device

Номер патента: US6355547B1. Автор: Gwan-Hyeob Koh,Jae-Goo Lee,Chang-Hyun Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-03-12.

Method of forming self-aligned contacts for a semiconductor device

Номер патента: US8927407B2. Автор: Peter Baars,Andy Wei,Martin Mazur,Erik Geiss. Владелец: Globalfoundries Inc. Дата публикации: 2015-01-06.

Transistor formed with self-aligned contacts

Номер патента: EP1935012A4. Автор: Andrew Marshall,Tito Gelsomini,Harvey Edd Davis. Владелец: Texas Instruments Inc. Дата публикации: 2011-04-20.

Semiconductor device having self-aligned contact and landing pad structure and method of forming same

Номер патента: US20020000601A1. Автор: Seungmoo Choi. Владелец: Individual. Дата публикации: 2002-01-03.

Method of forming self-aligned contacts and local interconnects

Номер патента: US20070004187A1. Автор: An Chyi Wei. Владелец: Macronix International Co Ltd. Дата публикации: 2007-01-04.

Method of integrating a salicide process and a self-aligned contact process

Номер патента: US6383878B1. Автор: Shui-Chin Huang. Владелец: Winbond Electronics Corp. Дата публикации: 2002-05-07.

Semiconductor device having self-aligned contact and landing pad structure and method of forming same

Номер патента: TW471138B. Автор: Seungmoo Choi. Владелец: Lucent Technologies Inc. Дата публикации: 2002-01-01.

Self-aligned contacts to gates

Номер патента: US6686247B1. Автор: Mark Bohr. Владелец: Intel Corp. Дата публикации: 2004-02-03.

Self-aligned contact landing on a metal circuit

Номер патента: US20240112954A1. Автор: Ming-Hsun LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-04.

Method of manufacturing self-aligned contact opening

Номер патента: TWI301997B. Автор: Pin Yao Wang,Liang Chuan Lai,Jeng Huan Yang. Владелец: Powerchip Semiconductor Corp. Дата публикации: 2008-10-11.

Transistor with reduced gate resistance and improved process margin of forming self-aligned contact

Номер патента: US20220051939A1. Автор: Sheng-hui Yang. Владелец: Nanya Technology Corp. Дата публикации: 2022-02-17.

Method for making self-aligned contacts to source/drain without hard mask layer

Номер патента: SG99295A1. Автор: Weining Li. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2003-10-27.

Graphene/nanostructure fet with self-aligned contact and gate

Номер патента: GB201211842D0. Автор: . Владелец: International Business Machines Corp. Дата публикации: 2012-08-15.

Method of manufacturing self-aligned contact opening and semiconductor device

Номер патента: TW200701307A. Автор: Pin-Yao Wang,Liang-Chuan Lai,Jeng-Huan Yang. Владелец: Powerchip Semiconductor Corp. Дата публикации: 2007-01-01.

MOSFET WITH ASYMMETRIC SELF-ALIGNED CONTACT

Номер патента: US20170141198A1. Автор: Cheng Kangguo,Yamashita Tenko,Xie Ruilong,Miao Xin. Владелец: . Дата публикации: 2017-05-18.

SELF-ALIGNED CONTACT MOS DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: FR2446011A1. Автор: . Владелец: American Microsystems Holding Corp. Дата публикации: 1980-08-01.

Self-aligned contact for trench power MOSFET

Номер патента: US09691863B2. Автор: Wenjun Li,Sik Lui,Hongyong Xue,Jowei Dun,Ching-Kai Lin,Yi Chang Yang,Terence Huang. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2017-06-27.

Method of fabricating a self-aligned contact trench DMOS transistor structure

Номер патента: US5665619A. Автор: Izak Bencuya,Sze-Hon Kwan. Владелец: National Semiconductor Corp. Дата публикации: 1997-09-09.

Self-aligned contact for trench power mosfet

Номер патента: US20160300917A1. Автор: Wenjun Li,Sik Lui,Hongyong Xue,Jowei Dun,Ching-Kai Lin,Yi Chang Yang,Terence Huang. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2016-10-13.

CMOS COMPATIBLE FUSE OR RESISTOR USING SELF-ALIGNED CONTACTS

Номер патента: US20170062409A1. Автор: Cheng Kangguo,Basker Veeraraghavan S.,Wang Junli,STANDAERT Theodorus E.. Владелец: . Дата публикации: 2017-03-02.

Self-aligned contacts for complementary field-effect integrated circuits

Номер патента: EP0557754A2. Автор: Manzur Gill,Danny Shum. Владелец: Texas Instruments Inc. Дата публикации: 1993-09-01.

Method of forming salicided self-aligned contact for SRAM cells

Номер патента: US5573980A. Автор: Chue-San Yoo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 1996-11-12.

Formation of self-organized stacked islands for self-aligned contacts

Номер патента: AU2002335309A1. Автор: Detlev GRÜTZMACHER. Владелец: Scherrer Paul Institut. Дата публикации: 2003-04-01.

Formation of self-organized stacked islands for self-aligned contacts

Номер патента: WO2003025989A3. Автор: Detlev Gruetzmacher. Владелец: Detlev Gruetzmacher. Дата публикации: 2003-10-16.

Formation of self-organized stacked islands for self-aligned contacts of low dimensional structures

Номер патента: EP1436831A2. Автор: Detlev GRÜTZMACHER. Владелец: Scherrer Paul Institut. Дата публикации: 2004-07-14.

Method of making threshold voltage tuning using self-aligned contact cap

Номер патента: US09601387B2. Автор: Hoon Kim,Chanro Park,Xiuyu Harry CAI. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-21.

Formation of self-organized stacked islands for self-aligned contacts of low dimensional structures

Номер патента: US20050037556A1. Автор: Detlev GRÜTZMACHER. Владелец: Detlev GRÜTZMACHER. Дата публикации: 2005-02-17.

Formation of self-organized stacked islands for self-aligned contacts

Номер патента: WO2003025989A2. Автор: Detlev GRÜTZMACHER. Владелец: PAUL SCHERRER INSTITUT. Дата публикации: 2003-03-27.

SELF-ALIGNED CONTACTS

Номер патента: US20130230978A1. Автор: Guo Dechao,Han Shu-Jen,Haensch Wilfried E.A.,Lin Chung-Hsun. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-09-05.

Self aligned contact with improved robustness

Номер патента: US20140070333A1. Автор: Shom Ponoth,Raghavasimhan Sreenivasan,Kangguo Cheng,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2014-03-13.

System for Self-Aligned Contacts

Номер патента: US20140213052A1. Автор: JR. Richard L.,Pierson,Shinohara Keisuke,Urteaga Miguel. Владелец: . Дата публикации: 2014-07-31.

PARTIAL SPACER FOR INCREASING SELF ALIGNED CONTACT PROCESS MARGINS

Номер патента: US20160181392A1. Автор: Alptekin Emre,Sardesai Viraj Y.,Vega Reinaldo A.,Ramachandran Ravikumar. Владелец: . Дата публикации: 2016-06-23.

THRESHOLD VOLTAGE TUNING USING SELF-ALIGNED CONTACT CAP

Номер патента: US20150194350A1. Автор: Kim Hoon,Park Chanro,CAI Xiuyu Harry. Владелец: . Дата публикации: 2015-07-09.

SELF-ALIGNED CONTACT PROCESS ENABLED BY LOW TEMPERATURE

Номер патента: US20150279996A1. Автор: Yin Yunpeng,Yeh Chun-chen,He Hong,Tseng Chiahsun. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2015-10-01.

SELF-ALIGNED CONTACT AND METHOD FOR FORMING THE SAME

Номер патента: US20190305110A1. Автор: CHEN Chien-Ting,Tsai Yao-Ting,LIAO Hsiu-Han,CHEN Sih-Han. Владелец: . Дата публикации: 2019-10-03.

Self-aligned contact formation method of semiconductor device

Номер патента: KR940001268A. Автор: 김정호,김승준,이동덕,김일욱. Владелец: 현대전자산업 주식회사. Дата публикации: 1994-01-11.

Method for forming self-aligned contact of semiconductor device

Номер патента: KR940016879A. Автор: 박해성. Владелец: 현대전자산업 주식회사. Дата публикации: 1994-07-25.

Semiconductor component with a self-aligned contact and method for its production

Номер патента: DE4426364B8. Автор: Hyun-Cheol Choe. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-10-28.

Method for producing a transistor with self-aligned contacts and field insulation

Номер патента: WO1997050118A1. Автор: Simon Deleonibus. Владелец: Commissariat A L'energie Atomique. Дата публикации: 1997-12-31.

Method of forming self-aligned contact of semiconductor device

Номер патента: KR100245136B1. Автор: 김정호,김승준,이동덕,김일욱. Владелец: 현대전자산업주식회사. Дата публикации: 2000-03-02.

Threshold voltage tuning using self-aligned contact cap

Номер патента: US20150194350A1. Автор: Hoon Kim,Chanro Park,Xiuyu Harry CAI. Владелец: Globalfoundries Inc. Дата публикации: 2015-07-09.

Self-aligned contact for trench MOSFET

Номер патента: US09735266B2. Автор: Hsiao-Chin Tuan,Alex Kalnitsky,Kuo-Ming Wu,Wei Tsung Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-08-15.

Gate tie-down enablement with inner spacer

Номер патента: US10332977B2. Автор: Lars W. Liebmann,Sanjay C. Mehta,Su Chen Fan,Andre P. Labonte. Владелец: International Business Machines Corp. Дата публикации: 2019-06-25.

Gate tie-down enablement with inner spacer

Номер патента: US09899259B2. Автор: Lars W. Liebmann,Sanjay C. Mehta,Su Chen Fan,Andre P. Labonte. Владелец: International Business Machines Corp. Дата публикации: 2018-02-20.

Gate tie-down enablement with inner spacer

Номер патента: US20180151433A1. Автор: Lars W. Liebmann,Sanjay C. Mehta,Su Chen Fan,Andre P. Labonte. Владелец: International Business Machines Corp. Дата публикации: 2018-05-31.

Gate tie-down enablement with inner spacer

Номер патента: US09941163B2. Автор: Lars W. Liebmann,Sanjay C. Mehta,Su Chen Fan,Andre P. Labonte. Владелец: International Business Machines Corp. Дата публикации: 2018-04-10.

MATERIAL REMOVAL PROCESS FOR SELF-ALIGNED CONTACTS

Номер патента: US20170170019A1. Автор: Ozcan Ahmet S.,Kanakasabapathy Sivananda K.. Владелец: . Дата публикации: 2017-06-15.

Self-aligned contact for trench MOSFET

Номер патента: CN102270660B. Автор: 亚历山大·卡尼斯基,段孝勤,吴国铭,黄伟宗. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-03-05.

Self-aligned interconnection for integrated circuits

Номер патента: US20180366370A1. Автор: Fabio Pellizzer,Roberto Somaschini,Antonino Rigano. Владелец: Individual. Дата публикации: 2018-12-20.

Self-aligned interconnection for integrated circuits

Номер патента: US20160181156A1. Автор: Fabio Pellizzer,Roberto Somaschini,Antonino Rigano. Владелец: US Bank NA. Дата публикации: 2016-06-23.

Self-aligned interconnection for integrated circuits

Номер патента: US10157788B2. Автор: Fabio Pellizzer,Roberto Somaschini,Antonino Rigano. Владелец: Micron Technology Inc. Дата публикации: 2018-12-18.

Gate tie-down enablement with inner spacer

Номер патента: US20170278753A1. Автор: Lars W. Liebmann,Sanjay C. Mehta,Su Chen Fan,Andre P. Labonte. Владелец: International Business Machines Corp. Дата публикации: 2017-09-28.

Gate tie-down enablement with inner spacer

Номер патента: US20170047252A1. Автор: Lars W. Liebmann,Sanjay C. Mehta,Su Chen Fan,Andre P. Labonte. Владелец: International Business Machines Corp. Дата публикации: 2017-02-16.

Gate tie-down enablement with inner spacer

Номер патента: US20170047254A1. Автор: Lars W. Liebmann,Sanjay C. Mehta,Su Chen Fan,Andre P. Labonte. Владелец: International Business Machines Corp. Дата публикации: 2017-02-16.

Gate tie-down enablement with inner spacer

Номер патента: US20170372959A1. Автор: Lars W. Liebmann,Sanjay C. Mehta,Su Chen Fan,Andre P. Labonte. Владелец: International Business Machines Corp. Дата публикации: 2017-12-28.

Gate tie-down enablement with inner spacer

Номер патента: US9735054B2. Автор: Lars W. Liebmann,Sanjay C. Mehta,Su Chen Fan,Andre P. Labonte. Владелец: International Business Machines Corp. Дата публикации: 2017-08-15.

Gate tie-down enablement with inner spacer

Номер патента: US9929049B2. Автор: Lars W. Liebmann,Sanjay C. Mehta,Su Chen Fan,Andre P. Labonte. Владелец: International Business Machines Corp. Дата публикации: 2018-03-27.

Gate tie-down enablement with inner spacer

Номер патента: US9627257B2. Автор: Lars W. Liebmann,Sanjay C. Mehta,Su Chen Fan,Andre P. Labonte. Владелец: International Business Machines Corp. Дата публикации: 2017-04-18.

Methods of manufacturing semiconductor devices having self-aligned contact pads

Номер патента: US9184227B1. Автор: In-seak Hwang,Young-Kuk Kim,Han-jin Lim,Ki-Vin Im. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-11-10.

Self-aligned contact with ct cut after rmg

Номер патента: US20240347533A1. Автор: Ruilong Xie,Chanro Park,Juntao Li,Min Gyu Sung,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2024-10-17.

SELF-ALIGNED CONTACT METALLIZATION FOR REDUCED CONTACT RESISTANCE

Номер патента: US20130277752A1. Автор: Ghani Tahir,Glass Glenn A.,Murthy Anand S.. Владелец: . Дата публикации: 2013-10-24.

COMPLETING MIDDLE OF LINE INTEGRATION ALLOWING FOR SELF-ALIGNED CONTACTS

Номер патента: US20150041909A1. Автор: Bouche Guillaume,WANG Haiting. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2015-02-12.

METHODS OF FORMING SEMICONDUCTOR DEVICE WITH SELF-ALIGNED CONTACT ELEMENTS AND THE RESULTING DEVICE

Номер патента: US20140197468A1. Автор: Xie Ruilong,Cai Xiuyu. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2014-07-17.

SEMICONDUCTOR DEVICE WITH SELF-ALIGNING CONTACT AND METHOD FOR FABRICATING THE SAME

Номер патента: US20220271036A1. Автор: Lai Chun-Chi. Владелец: . Дата публикации: 2022-08-25.

METHOD FOR FABRICATING SEMICONDUCTOR DEVICE WITH SELF-ALIGNING CONTACT

Номер патента: US20220293602A1. Автор: Lai Chun-Chi. Владелец: . Дата публикации: 2022-09-15.

Super-Self-Aligned Contacts and Method for Making the Same

Номер патента: US20160190132A1. Автор: Smayling Michael C.. Владелец: . Дата публикации: 2016-06-30.

STRUCTURE AND METHOD OF FORMING SELF ALIGNED CONTACTS IN SEMICONDUCTOR DEVICE

Номер патента: US20190181047A1. Автор: Sung Min Gyu. Владелец: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.. Дата публикации: 2019-06-13.

METHOD FOR FABRICATING SELF-ALIGNED CONTACT IN A SEMICONDUCTOR DEVICE

Номер патента: US20170207135A1. Автор: WANG Mei-Yun,Yang Fu-Kai,Chao Kuo-Yi,LEE Chen-Ming. Владелец: . Дата публикации: 2017-07-20.

USING SELECTIVELY FORMED CAP LAYERS TO FORM SELF-ALIGNED CONTACTS TO SOURCE/DRAIN REGIONS

Номер патента: US20210280690A1. Автор: Cheng Kangguo,Xie Ruilong,Park Chanro,Lee Choonghyun. Владелец: . Дата публикации: 2021-09-09.

SEMICONDUCTOR DEVICES HAVING SELF-ALIGNED CONTACT PADS AND METHODS OF MANUFACTURING THE SAME

Номер патента: US20150311276A1. Автор: Kim Young-Kuk,Im Ki-vin,LIM Han-jin,Hwang In-seak. Владелец: . Дата публикации: 2015-10-29.

SOLID-STATE WAFER BONDING OF FUNCTIONAL MATERIALS ON SUBSTRATES AND SELF-ALIGNED CONTACTS

Номер патента: US20170317050A1. Автор: Chen Renjie,Dayeh Shadi A.. Владелец: . Дата публикации: 2017-11-02.

Lift off method of foring self-aligning contact

Номер патента: JPS5944824A. Автор: アルビン・ミルグラム. Владелец: Fairchild Camera and Instrument Corp. Дата публикации: 1984-03-13.

Lift-off process for fabricating self-aligned contacts

Номер патента: DE3375962D1. Автор: Alvin Milgram. Владелец: Fairchild Camera and Instrument Corp. Дата публикации: 1988-04-14.

Independently controlled, double gate nanowire memory cell with self-aligned contacts

Номер патента: US7498211B2. Автор: Peter L. D. Chang,Ibrahim Ban. Владелец: Intel Corp. Дата публикации: 2009-03-03.

Lift-off process for fabricating self-aligned contacts

Номер патента: EP0100735A3. Автор: Alvin Milgram. Владелец: Fairchild Camera and Instrument Corp. Дата публикации: 1984-10-24.

Lift-off process for fabricating self-aligned contacts

Номер патента: EP0100735A2. Автор: Alvin Milgram. Владелец: Fairchild Camera and Instrument Corp. Дата публикации: 1984-02-15.

Self align contact fabrication method

Номер патента: KR100202198B1. Автор: 박희국,오진성,김일욱,손곤. Владелец: 현대전자산업주식회사. Дата публикации: 1999-06-15.

Self-aligned contact (sac) in nanosheet transistors

Номер патента: US20230290821A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2023-09-14.

SELF-ALIGNED CONTACTS IN THREE-DIMENSIONAL MEMORY DEVICES AND METHODS FOR FORMING THE SAME

Номер патента: US20210020566A1. Автор: ZHU Hongbin,Hua Zi Qun,Tang Juan. Владелец: . Дата публикации: 2021-01-21.

SELF ALIGNED CONTACT FORMATION

Номер патента: US20150108651A1. Автор: Huang Gin-Chen,Chen Neng-Kuo,Wann Clement Hsingjen,Hsu Chia-Jung,Yu Shao-Ming,Sun Sey-Ping. Владелец: . Дата публикации: 2015-04-23.

SELF-ALIGNED CONTACTS IN THREE-DIMENSIONAL MEMORY DEVICES AND METHODS FOR FORMING THE SAME

Номер патента: US20210183765A1. Автор: ZHU Hongbin,Hua Zi Qun,Tang Juan. Владелец: . Дата публикации: 2021-06-17.

SELF-ALIGNED CONTACT STRUCTURE

Номер патента: US20150371948A1. Автор: Rath David L.,Fitzsimmons John A.,Ramachandran Ravikumar,Arndt Russell H.,Orozco-Teran Rosa A.. Владелец: . Дата публикации: 2015-12-24.

반도체소자의 콘택홀 형성 방법

Номер патента: KR20060000902A. Автор: 이민석,이성권,이동덕. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-01-06.

반도체소자의 제조방법

Номер патента: KR20030058042A. Автор: 전승준. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-07-07.

SEMICONDUCTOR DEVICE WITH LOW-K GATE CAP AND SELF-ALIGNED CONTACT

Номер патента: US20160163808A1. Автор: Cheng Kangguo,Reznicek Alexander,Khakifirooz Ali,Surisetty Charan V. V. S.. Владелец: . Дата публикации: 2016-06-09.

CLOSED CELL LATERAL MOSFET USING SILICIDE SOURCE AND BODY REGIONS WITH SELF-ALIGNED CONTACTS

Номер патента: US20160225898A1. Автор: Mallikarjunaswamy Shekar. Владелец: . Дата публикации: 2016-08-04.

CAP LAYERS FOR SEMICONDUCTOR DEVICES WITH SELF-ALIGNED CONTACT ELEMENTS

Номер патента: US20150243604A1. Автор: Xie Ruilong,Cai Xiuyu,Zhao Larry. Владелец: . Дата публикации: 2015-08-27.

Super-Self-Aligned Contacts and Method for Making the Same

Номер патента: US20150091190A1. Автор: Smayling Michael C.. Владелец: . Дата публикации: 2015-04-02.

High density MOSFET array with self-aligned contacts enhancement plug and method

Номер патента: US09401409B2. Автор: Hong Chang,Yeeheng Lee,Jongoh Kim. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2016-07-26.

Method for making a closed gate MOS transistor with self-aligned contacts with dual passivation layer

Номер патента: US4272881A. Автор: Rodney L. Angle. Владелец: RCA Corp. Дата публикации: 1981-06-16.

High band-gap devices with self-aligned contact

Номер патента: WO2024206206A1. Автор: Dong Seup Lee,Jungwoo Joh. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2024-10-03.

High band-gap devices with self-aligned contact

Номер патента: US20240322006A1. Автор: Dong Seup Lee,Jungwoo Joh. Владелец: Texas Instruments Inc. Дата публикации: 2024-09-26.

Self-aligned contact doping for organic field-effect transistors

Номер патента: TW550843B. Автор: Guenter Schmid,Hagen Klauk. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2003-09-01.

Self-aligned process

Номер патента: US09343272B1. Автор: Nitin K. Ingle,Anchuan Wang,Mandar B. Pandit. Владелец: Applied Materials Inc. Дата публикации: 2016-05-17.

EMBEDDED BOTTOM METAL CONTACT FORMED BY A SELF-ALIGNED CONTACT PROCESS FOR VERTICAL TRANSISTORS

Номер патента: US20180337257A1. Автор: Yamashita Tenko,Wu Heng,Fan Su Chen,Liu Zuoguang. Владелец: . Дата публикации: 2018-11-22.

EMBEDDED BOTTOM METAL CONTACT FORMED BY A SELF-ALIGNED CONTACT PROCESS FOR VERTICAL TRANSISTORS

Номер патента: US20180337260A1. Автор: Yamashita Tenko,Wu Heng,Fan Su Chen,Liu Zuoguang. Владелец: . Дата публикации: 2018-11-22.

Self aligned contacts for back contact solar cells

Номер патента: WO2015130989A1. Автор: Mehrdad M. Moslehi,Sean M. Seutter,Virendra V. Rana,Pawan Kapur,Anand Deshpande. Владелец: SOLEXEL, INC.. Дата публикации: 2015-09-03.

SELF-ALIGNED CONTACT METALLIZATION FOR REDUCED CONTACT RESISTANCE

Номер патента: US20150311204A1. Автор: Ghani Tahir,Glass Glenn A.,Murthy Anand S.. Владелец: Intel Corporation. Дата публикации: 2015-10-29.

SELF-ALIGNED CONTACT FOR REPLACEMENT GATE DEVICES

Номер патента: US20130175587A1. Автор: LI Ying,Ramachandran Ravikumar,Wise Richard S.. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-07-11.

SELF-ALIGNED CONTACT EMPLOYING A DIELECTRIC METAL OXIDE SPACER

Номер патента: US20130178053A1. Автор: LI Ying,Utomo Henry K.. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-07-11.

SELF-ALIGNED CONTACT FOR REPLACEMENT METAL GATE AND SILICIDE LAST PROCESSES

Номер патента: US20130234253A1. Автор: Toh Eng Huat,Quek Elgin. Владелец: GLOBALFOUNDRIES Singapore Pte. Ltd.. Дата публикации: 2013-09-12.

SELF-ALIGNED CONTACT FOR TRENCH MOSFET

Номер патента: US20130277736A1. Автор: Wu Kuo-Ming,KALNITSKY Alex,TUAN Hsiao-Chin,HUANG Wei Tsung. Владелец: . Дата публикации: 2013-10-24.

SEMICONDUCTOR DEVICES WITH SELF-ALIGNED CONTACTS AND LOW-K SPACERS

Номер патента: US20140042502A1. Автор: Cheng Kangguo,Khakifirooz Ali,Xie Ruilong,Cai Xiuyu. Владелец: Globalfounries Inc.. Дата публикации: 2014-02-13.

Iii-v semiconductor device having self-aligned contacts

Номер патента: US20150021662A1. Автор: Anirban Basu,Amlan Majumdar,Yanning Sun. Владелец: International Business Machines Corp. Дата публикации: 2015-01-22.

SEMICONDUCTOR DEVICES HAVING SELF-ALIGNED CONTACT PADS

Номер патента: US20160027786A1. Автор: Kim Young-Kuk,Im Ki-vin,LIM Han-jin,Hwang In-seak. Владелец: . Дата публикации: 2016-01-28.

SELF ALIGNED CONTACT WITH IMPROVED ROBUSTNESS

Номер патента: US20150041868A1. Автор: Ponoth Shom,Cheng Kangguo,Khakifirooz Ali,SREENIVASAN Raghavasimhan. Владелец: . Дата публикации: 2015-02-12.

VERTICAL-TRANSPORT TRANSISTORS WITH SELF-ALIGNED CONTACTS

Номер патента: US20190051757A1. Автор: Xie Ruilong,Zang Hui,Chanemougame Daniel,Bourjot Emilie,Lee Tek Po Rinus. Владелец: . Дата публикации: 2019-02-14.

SELF-ALIGNED CONTACT FOR VERTICAL FIELD EFFECT TRANSISTOR

Номер патента: US20200052096A1. Автор: ANDERSON Brent A.,Wang Junli,Xie Ruilong,Fan Su Chen,Pranatharthiharan Balasubramanian,Bentley Steven. Владелец: . Дата публикации: 2020-02-13.

SELF-ALIGNED CONTACTS

Номер патента: US20140151817A1. Автор: Ghani Tahir,Hwang Jack,Bohr Mark T.,Steigerwald Joseph M.,JOSHI Subhash M.,Klaus Jason W.,Mackiewicz Ryan,Rahhai-Orabi Nadia M.. Владелец: . Дата публикации: 2014-06-05.

REDUCED RESISTANCE FINFET DEVICE WITH LATE SPACER SELF ALIGNED CONTACT

Номер патента: US20150129988A1. Автор: Leobandung Effendi. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2015-05-14.

CIRCUIT STRUCTURE AND METHOD FOR RESISTIVE RAM WITH SELF ALIGNED CONTACTS IN ZERO-VIA LAYER

Номер патента: US20210159273A1. Автор: Gupta Anuj,Paul Bipul C.,Versaggi Joe A.. Владелец: . Дата публикации: 2021-05-27.

METHOD AND DEVICE FOR SELF-ALIGNED CONTACT ON A NON-RECESSED METAL GATE

Номер патента: US20150137273A1. Автор: Kim Hoon,Zhang Xunyuan,Cai Xiuyu. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2015-05-21.

METHOD FOR FABRICATING A FINFET METALLIZATION ARCHITECTURE USING A SELF-ALIGNED CONTACT ETCH

Номер патента: US20180138308A1. Автор: Bouche Guillaume. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2018-05-17.

High Density MOSFET Array with Self-Aligned Contacts Delimited by Nitride-Capped Trench Gate Stacks and Method

Номер патента: US20140252460A1. Автор: Lee Yeeheng,Chang Hong,Kim Jongoh. Владелец: . Дата публикации: 2014-09-11.

Method of Forming Self-Alignment Contact

Номер патента: US20170170292A1. Автор: HSU Hung-Chang,Jang Syun-Ming,Lin Sheng-Hsuan,SU Hung-Wen,Lee Ya-Lien,LIN Rueijer,TSAI Ming-Hsing,Liu Hsiao-Ping,Kao Yen-Shou. Владелец: . Дата публикации: 2017-06-15.

SELF ALIGNED CONTACTS FOR SOLAR CELLS

Номер патента: US20170194520A1. Автор: Moslehi Mehrdad M.,Kapur Pawan,Rana Virendra V.,Seutter Sean M.,Deshpande Anand. Владелец: . Дата публикации: 2017-07-06.

SELF-ALIGNED CONTACT PROCESS ENABLED BY LOW TEMPERATURE

Номер патента: US20160204257A1. Автор: Yin Yunpeng,Yeh Chun-chen,He Hong,Tseng Chiahsun. Владелец: . Дата публикации: 2016-07-14.

Self Aligned Contacts for Solar Cells

Номер патента: US20150221792A1. Автор: Moslehi Mehrdad M.,Kapur Pawan,Rana Virendra V.,Seutter Sean M.,Deshpande Anand. Владелец: . Дата публикации: 2015-08-06.

SELF-ALIGNED CONTACT

Номер патента: US20210242317A1. Автор: Shu Jiehui,WANG Haiting,Gu Sipeng,SHEN Yanping. Владелец: . Дата публикации: 2021-08-05.

SELF-ALIGNED CONTACTS FOR REPLACEMENT METAL GATE TRANSISTORS

Номер патента: US20140327058A1. Автор: Cheng Kangguo,Reznicek Alexander,Khakifirooz Ali,Adam Thomas N.,SREENIVASAN Raghavasimhan. Владелец: . Дата публикации: 2014-11-06.

HIGH DENSITY MOSFET ARRAY WITH SELF-ALIGNED CONTACTS ENHANCEMENT PLUG AND METHOD

Номер патента: US20150255565A1. Автор: Lee Yeeheng,Chang Hong,Kim Jongoh. Владелец: . Дата публикации: 2015-09-10.

SEMICONDUCTOR DEVICE WITH SELF-ALIGNED CONTACT ELEMENTS

Номер патента: US20150263160A1. Автор: Cheng Kangguo,Khakifirooz Ali,Xie Ruilong,Cai Xiuyu. Владелец: . Дата публикации: 2015-09-17.

DIELECTRIC LINER FOR A SELF-ALIGNED CONTACT VIA STRUCTURE

Номер патента: US20150270359A1. Автор: Cheng Kangguo,Basker Veeraraghavan S.,Khakifirooz Ali. Владелец: . Дата публикации: 2015-09-24.

FORMING SELF-ALIGNED CONTACTS ON PILLAR STRUCTURES

Номер патента: US20180261649A1. Автор: "OSullivan Eugene J.",EDELSTEIN Daniel C.,Utomo Henry K.,Annunziata Anthony J.. Владелец: . Дата публикации: 2018-09-13.

SELF-ALIGNED CONTACT FOR TRENCH POWER MOSFET

Номер патента: US20170288028A1. Автор: Lin Ching-Kai,Lui Sik,Li Wenjun,Xue Hongyong,Huang Terence,Yang Yi Chang,Dun Jowei. Владелец: . Дата публикации: 2017-10-05.

SELF ALIGNED CONTACT SCHEME

Номер патента: US20170288031A1. Автор: Jeng Pei-Ren,Hsu Kuang-Yuan,Ho Tsai-Jung. Владелец: . Дата публикации: 2017-10-05.

SELF-ALIGNED CONTACT FOR TRENCH POWER MOSFET

Номер патента: US20160300917A1. Автор: Lin Ching-Kai,Lui Sik,Li Wenjun,Xue Hongyong,Huang Terence,Yang Yi Chang,Dun Jowei. Владелец: . Дата публикации: 2016-10-13.

SELF-ALIGNED CONTACTS FOR VERTICAL FIELD EFFECT TRANSISTORS

Номер патента: US20200295156A1. Автор: Kanakasabapathy Sivananda K.,Fan Su Chen,De Silva Ekmini A.. Владелец: . Дата публикации: 2020-09-17.

FORMING SELF-ALIGNED CONTACTS ON PILLAR STRUCTURES

Номер патента: US20180308897A1. Автор: "OSullivan Eugene J.",EDELSTEIN Daniel C.,Utomo Henry K.,Annunziata Anthony J.. Владелец: . Дата публикации: 2018-10-25.

FORMING SELF-ALIGNED CONTACTS ON PILLAR STRUCTURES

Номер патента: US20180308898A1. Автор: "OSullivan Eugene J.",EDELSTEIN Daniel C.,Utomo Henry K.,Annunziata Anthony J.. Владелец: . Дата публикации: 2018-10-25.

III-V SEMICONDUCTOR DEVICE HAVING SELF-ALIGNED CONTACTS

Номер патента: US20150325650A1. Автор: Shiu Kuen-Ting,Majumdar Amlan,Sun Yanning,Basu Anirban. Владелец: . Дата публикации: 2015-11-12.

VERTICAL-TRANSPORT FIELD-EFFECT TRANSISTORS WITH SELF-ALIGNED CONTACTS

Номер патента: US20190312116A1. Автор: Bentley Steven,Chanemougame Daniel,Bourjot Emilie. Владелец: . Дата публикации: 2019-10-10.

INTEGRATED MULTIPLE GATE LENGTH SEMICONDUCTOR DEVICE INCLUDING SELF-ALIGNED CONTACTS

Номер патента: US20150349075A1. Автор: Venigalla Rajasekhar,Fan Su Chen,Pranatharthiharan Balasubramanian. Владелец: . Дата публикации: 2015-12-03.

SELF-ALIGNED CONTACT FOR VERTICAL FIELD EFFECT TRANSISTOR

Номер патента: US20180337256A1. Автор: ANDERSON Brent A.,Wang Junli,Xie Ruilong,Fan Su Chen,Pranatharthiharan Balasubramanian,Bentley Steven. Владелец: . Дата публикации: 2018-11-22.

Self-Aligned Contact and Manufacturing Method Thereof

Номер патента: US20180350927A1. Автор: Lee Tung Ying,YEH Chih Chieh,LIU Chi-Wen,Yeo Yee-Chia,YEH Jeng-Ya David,Chiu Yuan-Hung. Владелец: . Дата публикации: 2018-12-06.

RECESSING RMG METAL GATE STACK FOR FORMING SELF-ALIGNED CONTACT

Номер патента: US20160372576A1. Автор: Cheng Kangguo,Khakifirooz Ali,Xie Ruilong,Cai Xiuyu. Владелец: . Дата публикации: 2016-12-22.

SELF-ALIGNED CONTACT AND MANUFACTURING METHOD THEREOF

Номер патента: US20170365674A1. Автор: Lee Tung Ying,YEH Chih Chieh,LIU Chi-Wen,Yeo Yee-Chia,YEH Jeng-Ya David,Chiu Yuan-Hung. Владелец: . Дата публикации: 2017-12-21.

Method of forming self-aligning contacting hole

Номер патента: JPS60216582A. Автор: シエン テン スウ. Владелец: RCA Corp. Дата публикации: 1985-10-30.

III-V semiconductor device having self-aligned contacts

Номер патента: US9666684B2. Автор: Anirban Basu,Amlan Majumdar,Yanning Sun. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-30.

Manufacturing method of self-aligned contact in semiconductor device

Номер патента: KR930009476B1. Автор: 이원규. Владелец: 정몽헌. Дата публикации: 1993-10-04.

Method for manufacturing semiconductor memory device having self-aligned contact

Номер патента: CN1181628A. Автор: 潘涍同,崔铉哲,崔昌植. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1998-05-13.

Thin body silicon-on-insulator transistor with borderless self-aligned contacts

Номер патента: TW201009958A. Автор: Isaac Lauer,Amlan Majumdar,Michael A Guillorn,Katherina E Babich. Владелец: Ibm. Дата публикации: 2010-03-01.

반도체 소자의 제조방법

Номер патента: KR20030055796A. Автор: 김재헌,전범진. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-07-04.

Method for manufacturing semiconductor device

Номер патента: US09768070B1. Автор: Dong-Kwon Kim,Hyung-Suk Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-09-19.

Replacement metal gate structures

Номер патента: US09691877B2. Автор: Theodorus E. Standaert,Kangguo Cheng,Junli Wang,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-06-27.

Replacement metal gate structures

Номер патента: US09685532B2. Автор: Theodorus E. Standaert,Kangguo Cheng,Junli Wang,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-06-20.

Semiconductor device having reduced contact resistance

Номер патента: US09627322B2. Автор: Injo OK,Charan V. Surisetty,Balasubramanian S. Pranatharthiharan. Владелец: International Business Machines Corp. Дата публикации: 2017-04-18.

Self-aligning contact assembly

Номер патента: US20100216349A1. Автор: Attalee S. Taylor. Владелец: Tyco Electronics Corp. Дата публикации: 2010-08-26.

Improvements relating to electric switches having self-aligning contacts

Номер патента: GB596156A. Автор: . Владелец: English Electric Co Ltd. Дата публикации: 1947-12-30.

반도체 소자의 소자분리막 형성 방법

Номер патента: KR100634422B1. Автор: 이준현. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2006-10-13.

반도체 소자의 소자분리막 형성 방법

Номер патента: KR20060078199A. Автор: 이준현. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2006-07-05.

Self-aligned gate tie-down contacts with selective etch stop liner

Номер патента: US09570573B1. Автор: Lars W. Liebmann,Ruilong Xie,Su Chen Fan. Владелец: Globalfoundries Inc. Дата публикации: 2017-02-14.

Semiconductor structure and method for forming the same

Номер патента: US20200343348A1. Автор: JIN Jisong. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2020-10-29.

Trench silicide contacts with high selectivity process

Номер патента: US20170125292A1. Автор: BALASUBRAMANIAN Pranatharthiharan,Andrew M. Greene,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2017-05-04.

Trench silicide contacts with high selectivity process

Номер патента: US20170125414A1. Автор: BALASUBRAMANIAN Pranatharthiharan,Andrew M. Greene,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2017-05-04.

Trench silicide contacts with high selectivity process

Номер патента: US20180108749A1. Автор: BALASUBRAMANIAN Pranatharthiharan,Andrew M. Greene,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2018-04-19.

반도체 소자의 게이트 전극 형성 방법

Номер патента: KR100506452B1. Автор: 복철규,황영선. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-08-08.

반도체 소자의 게이트 전극 형성 방법

Номер патента: KR20050001749A. Автор: 복철규,황영선. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-01-07.

Self aligned active trench contact

Номер патента: US20150171091A1. Автор: Steven Alan Lytle. Владелец: Texas Instruments Inc. Дата публикации: 2015-06-18.

Self aligned active trench contact

Номер патента: US09640539B2. Автор: Steven Alan Lytle. Владелец: Texas Instruments Inc. Дата публикации: 2017-05-02.

Self aligned active trench contact

Номер патента: US20170194332A1. Автор: Steven Alan Lytle. Владелец: Texas Instruments Inc. Дата публикации: 2017-07-06.

Self aligned active trench contact

Номер патента: US20190057969A1. Автор: Steven Alan Lytle. Владелец: Texas Instruments Inc. Дата публикации: 2019-02-21.

Self-aligned backside contact module for 3dic application

Номер патента: US20240096750A1. Автор: Stanley Seungchul SONG,Qingqing Liang,Periannan Chidambaram,George Pete IMTHURN. Владелец: Qualcomm Inc. Дата публикации: 2024-03-21.

Self-aligned backside contact for 3dic application

Номер патента: WO2024064520A1. Автор: Stanley Seungchul SONG,Qingqing Liang,Periannan Chidambaram,George Pete IMTHURN. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2024-03-28.

Apparatus and methods for monitoring self-aligned contact arrays

Номер патента: WO2003003375A2. Автор: Kurt H. Weiner,Peter D. Nunan,Sanjay Tandon. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2003-01-09.

Apparatus and methods for monitoring self-aligned contact arrays

Номер патента: WO2003003375A3. Автор: Sanjay Tandon,Kurt H Weiner,Peter D Nunan. Владелец: KLA Tencor Corp. Дата публикации: 2003-08-21.

Three terminal phase change memory with self-aligned contacts

Номер патента: US12108692B2. Автор: TIAN Shen,Jingyun Zhang,Heng Wu,Kevin W. Brew. Владелец: International Business Machines Corp. Дата публикации: 2024-10-01.

Structures and methods for forming SRAM cells with self-aligned contacts

Номер патента: TW200739886A. Автор: Haining S Yang,Robert C Wong. Владелец: Ibm. Дата публикации: 2007-10-16.

Method of forming a self-aligned contact via for a magnetic random access memory

Номер патента: TW200727394A. Автор: Wei-Chuan Chen,Cheng-Tyng Yen,Kuei-Hung Shen. Владелец: Ind Tech Res Inst. Дата публикации: 2007-07-16.

Self align contact structure semiconductor device & its fabrication method

Номер патента: KR0165304B1. Автор: 최진규,노준영. Владелец: 김광호. Дата публикации: 1998-12-15.

반도체 디바이스를 제조하는 방법

Номер патента: KR100676643B1. Автор: 최승무. Владелец: 루센트 테크놀러지스 인크. Дата публикации: 2007-02-01.

Semiconductor device and forming method thereof

Номер патента: US20220115509A1. Автор: ChihCheng LIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-04-14.

Method for creating self-aligned transistor contacts

Номер патента: US09461128B2. Автор: Mark A. Zaleski,Guillaume Bouche,Andy Chih-Hung Wei,Jason E. Stephens,Tuhin Guha Neogi. Владелец: Globalfoundries Inc. Дата публикации: 2016-10-04.

Semiconductor device and method of manufacturing same

Номер патента: US20030089922A1. Автор: Tatsuya Kunikiyo,Masato Fujinaga. Владелец: Mitsubishi Electric Corp. Дата публикации: 2003-05-15.

Contact Over Active Gate Structure

Номер патента: US20200075408A1. Автор: Huixiong Dai,Christopher S. Ngai,Wenhui Wang. Владелец: Applied Materials Inc. Дата публикации: 2020-03-05.

Method of fabricating integrated circuit device

Номер патента: US11804458B2. Автор: Jun Zhou,Sheng Hu,Qiong Zhan. Владелец: Wuhan Xinxin Semiconductor Manufacturing Co Ltd. Дата публикации: 2023-10-31.

Method for creating self-aligned transistor contacts

Номер патента: US20160093704A1. Автор: Mark A. Zaleski,Guillaume Bouche,Andy Chih-Hung Wei,Jason E. Stephens,Tuhin Guha Neogi. Владелец: Globalfoundries Inc. Дата публикации: 2016-03-31.

Highly integrated semiconductor wiring structure

Номер патента: US5567989A. Автор: Sang-pil Sim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1996-10-22.

Method for manufacturing an internally shielded dynamic random access memory cell

Номер патента: US5352621A. Автор: Jae-Kap Kim,In-Sool Chung. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1994-10-04.

Process of forming contact holes

Номер патента: US5500080A. Автор: Yang K. Choi. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1996-03-19.

Silicon nitride capped poly resistor with SAC process

Номер патента: US6232194B1. Автор: Dun-Nian Yaung,Shou-Gwo Wuu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2001-05-15.

Capping layer for gate electrodes

Номер патента: US12080779B2. Автор: Teng-Chun Tsai,Huang-Lin Chao,Chin-Hsiang Lin,Akira Mineji. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-03.

Capping layer for gate electrodes

Номер патента: US20210057543A1. Автор: Teng-Chun Tsai,Huang-Lin Chao,Chin-Hsiang Lin,Akira Mineji. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-02-25.

Capping layer for guide electrodes

Номер патента: US20240363726A1. Автор: Teng-Chun Tsai,Huang-Lin Chao,Chin-Hsiang Lin,Akira Mineji. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Method for fabricating a gate stack in very large scale integrated semiconductor memories

Номер патента: US20030036278A1. Автор: ARKALGUD Sitaram. Владелец: Individual. Дата публикации: 2003-02-20.

Self aligned trench MOSFET with integrated diode

Номер патента: US09911840B2. Автор: Sik Lui,Anup Bhalla. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2018-03-06.

Semiconductor device and method of fabricating the same

Номер патента: US09627514B1. Автор: Dong-Kwon Kim,Ji-Hoon Cha. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-04-18.

Semiconductor device and manufacturing process thereof

Номер патента: US20010002070A1. Автор: Hiroshi Kimura,Takahisa Eimori. Владелец: Mitsubishi Electric Corp. Дата публикации: 2001-05-31.

Methods of forming self-aligned device level contact structures

Номер патента: US09653356B2. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-16.

Memory device and method for fabricating the same

Номер патента: US6777285B2. Автор: Kent Kuohua Chang,Weng-Hsing Huang. Владелец: Macronix International Co Ltd. Дата публикации: 2004-08-17.

[memory device and method for fabricating the same]

Номер патента: US20040110344A1. Автор: Kent Kuohua Chang,Weng-Hsing Huang. Владелец: Individual. Дата публикации: 2004-06-10.

Discontinuities in a semiconductor device to accommodate for manufacturing variations and/or misalignment tolerances

Номер патента: US09583581B1. Автор: Qing Liu. Владелец: Broadcom Corp. Дата публикации: 2017-02-28.

Fabrication process of a semiconductor device with a wiring structure

Номер патента: US5578524A. Автор: Tadashi Fukase,Takehiko Hamada. Владелец: NEC Corp. Дата публикации: 1996-11-26.

Method of forming contact hole and method of fabricating semiconductor device

Номер патента: US20060134910A1. Автор: Pin-Yao Wang,Min-San Huang,Leon Lai. Владелец: Individual. Дата публикации: 2006-06-22.

Gate contact over active region in cell

Номер патента: WO2019226229A1. Автор: Richard T. Schultz. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2019-11-28.

Gate contact over active region in cell

Номер патента: US20190363167A1. Автор: Richard T. Schultz. Владелец: Advanced Micro Devices Inc. Дата публикации: 2019-11-28.

Gate contact over active region in cell

Номер патента: US20210028288A1. Автор: Richard T. Schultz. Владелец: Advanced Micro Devices Inc. Дата публикации: 2021-01-28.

Gate contact over active region in cell

Номер патента: EP3803962A1. Автор: Richard T. Schultz. Владелец: Advanced Micro Devices Inc. Дата публикации: 2021-04-14.

반도체 소자의 제조 방법

Номер патента: KR20080063893A. Автор: 이성권. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-07-08.

세그먼트 타입의 테스트 몰딩패턴들을 구비한 반도체소자및 그 제조방법

Номер патента: KR20080027985A. Автор: 이미경. Владелец: 삼성전자주식회사. Дата публикации: 2008-03-31.

반도체 소자의 콘택홀 형성 방법

Номер патента: KR20020096744A. Автор: 송운영,최동구. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2002-12-31.

반도체 소자의 제조 방법

Номер патента: KR20080100684A. Автор: 이정석,성현석. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-11-19.

반도체 소자

Номер патента: KR20010092090A. Автор: 안정수,최진오. Владелец: 윤종용. Дата публикации: 2001-10-24.

Method of forming a contact hole in semiconductor device

Номер патента: KR20090025778A. Автор: 최윤제. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-03-11.

一种自对准接触区处理方法、槽孔结构及芯片

Номер патента: CN116847657. Автор: 姚春,顾林,陈华伦,王壮壮,杜怡行. Владелец: Hua Hong Semiconductor Wuxi Co Ltd. Дата публикации: 2023-10-03.

一种自对准接触区处理方法、槽孔结构及芯片

Номер патента: CN116847657A. Автор: 姚春,顾林,陈华伦,王壮壮,杜怡行. Владелец: Hua Hong Semiconductor Wuxi Co Ltd. Дата публикации: 2023-10-03.

A method of manufacturing self align contact of semiconductor device

Номер патента: KR100372770B1. Автор: 김진웅. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-02-20.

Method for forming self aligning contact window structure on semiconductor substrate

Номер патента: CN1365137A. Автор: 曾鸿辉. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2002-08-21.

Method for forming semiconductor device structure

Номер патента: US09786754B1. Автор: Chih-Ping Lin,Chung-Yeh Lee. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2017-10-10.

Interface engineering to optimize metal-III-V

Номер патента: GB201302000D0. Автор: . Владелец: International Business Machines Corp. Дата публикации: 2013-03-20.

Method for fabricating semiconductor device

Номер патента: US20090170301A1. Автор: Kyung-Doo Kang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-07-02.

반도체 소자 형성 방법

Номер патента: KR20090043345A. Автор: 김재범. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-05-06.

Resistor disposed directly upon a sac cap of a gate structure of a semiconductor structure

Номер патента: US09876010B1. Автор: Hui Zang,Jerome Ciavatti,Jagar Singh. Владелец: Globalfoundries Inc. Дата публикации: 2018-01-23.

Memory device and method of manufacturing the same

Номер патента: US20240244838A1. Автор: Hsiu-Han Liao,Yao-Ting Tsai,Che-Fu Chuang. Владелец: Winbond Electronics Corp. Дата публикации: 2024-07-18.

Memory device and method of manufacturing the same

Номер патента: US11974428B2. Автор: Hsiu-Han Liao,Yao-Ting Tsai,Che-Fu Chuang. Владелец: Winbond Electronics Corp. Дата публикации: 2024-04-30.

Method of fabricating a dynamic random access memory capacitor

Номер патента: US6054394A. Автор: Chuan-Fu Wang. Владелец: United Microelectronics Corp. Дата публикации: 2000-04-25.

Semiconductor device having saddle fin transistor and manufacturing method of the same

Номер патента: US20130087853A1. Автор: Kyu Tae Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2013-04-11.

Transistor backside routing layers with contacts having varying depths

Номер патента: US20230317803A1. Автор: Shaun MILLS. Владелец: Intel Corp. Дата публикации: 2023-10-05.

Improvements in or relating to electrical contacts

Номер патента: GB540040A. Автор: . Владелец: Igranic Electric Co Ltd. Дата публикации: 1941-10-02.

一种自对准隔离方法、膜结构及芯片

Номер патента: CN116847658A. Автор: 姚春,顾林,陈华伦,王壮壮,杜怡行. Владелец: Hua Hong Semiconductor Wuxi Co Ltd. Дата публикации: 2023-10-03.

一种自对准隔离方法、膜结构及芯片

Номер патента: CN116847658. Автор: 姚春,顾林,陈华伦,王壮壮,杜怡行. Владелец: Hua Hong Semiconductor Wuxi Co Ltd. Дата публикации: 2023-10-03.

Method of fabricating semiconductor device

Номер патента: US20060051908A1. Автор: Hiroaki Hazama,Kazuhito Narita,Hirohisa Iizuka,Eiji Kamiya,Norio Ohtani. Владелец: Toshiba Corp. Дата публикации: 2006-03-09.

Method of fabricating semiconductor device

Номер патента: US7297599B2. Автор: Hiroaki Hazama,Kazuhito Narita,Hirohisa Iizuka,Eiji Kamiya,Norio Ohtani. Владелец: Toshiba Corp. Дата публикации: 2007-11-20.

High-capacitance dynamic random access memory cell having a storage capacitor on a continuous irregular surface

Номер патента: US5936273A. Автор: Anchor Chen. Владелец: United Microelectronics Corp. Дата публикации: 1999-08-10.

Spacer integration scheme in mram technology

Номер патента: EP1547148A2. Автор: Kia-Seng Low,John P. Hummel,Greg Costrini,Wolfgang Raberg,Igor Kasko,Frank Findeis. Владелец: International Business Machines Corp. Дата публикации: 2005-06-29.

Semiconductor device and its manufacture method

Номер патента: CN106941097A. Автор: 田中义典,张维哲. Владелец: Winbond Electronics Corp. Дата публикации: 2017-07-11.

Improvements in or relating to fastening, securing and like devices

Номер патента: GB694040A. Автор: . Владелец: Individual. Дата публикации: 1953-07-15.

Method of fabricating a self-aligned contact opening and structure of a self-aligned contact

Номер патента: TW200512862A. Автор: Ping Hsu,Yi-Nan Chen. Владелец: Nanya Technology Corp. Дата публикации: 2005-04-01.

Method of fabricating a self-aligned contact opening and structure of a self-aligned contact

Номер патента: TWI227927B. Автор: Ping Hsu,Yi-Nan Chen. Владелец: Nanya Technology Corp. Дата публикации: 2005-02-11.

Method of fabricating a contact and structure of a self-aligned contact

Номер патента: TWI238492B. Автор: Hsin-Tang Peng. Владелец: Promos Technologies Inc. Дата публикации: 2005-08-21.

Butted contact process for self-align contact of integrated circuit

Номер патента: TW425669B. Автор: Jung-Jr Liau. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2001-03-11.

Method of fabricating a contact and structure of a self-aligned contact

Номер патента: TW200534427A. Автор: Hsin-Tang Peng. Владелец: Promos Technologies Inc. Дата публикации: 2005-10-16.

METHOD FOR SELF-ALIGNING A STOP LAYER TO A REPLACEMENT GATE FOR SELF-ALIGNED CONTACT INTEGRATION

Номер патента: US20120068234A1. Автор: . Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-03-22.

Method of manufacturing metal source line and self-aligned contact and device thereby

Номер патента: TW291603B. Автор: Liing Chen,Horng-Jeng Sonq. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 1996-11-21.

Forming method for self-alignment contacts

Номер патента: TW366564B. Автор: Dun-Nian Yaung,Li-Jr Jau,Kuo-Ching Huang,Shou-Gwo Wu. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 1999-08-11.

Method for forming a self-aligned contact structure on a semiconductor substrate

Номер патента: TW469593B. Автор: Horng-Huei Tseng. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2001-12-21.

Manufacturing method of self-aligned contact

Номер патента: TW418502B. Автор: Hau-Jie Liou. Владелец: Winbond Electronics Corp. Дата публикации: 2001-01-11.

Method of using a self-alignment contact hole technique to form a semiconductor structure

Номер патента: TW544863B. Автор: Wen-Shiun Lo. Владелец: Winbond Electronics Corp. Дата публикации: 2003-08-01.

Method of fabricating a self-aligned contact opening and method of fabricating interconnects

Номер патента: TWI237870B. Автор: Shih-Fan Kuan,Kuo-Chien Wu. Владелец: Nanya Technology Corp. Дата публикации: 2005-08-11.

Method of producing self-aligned contact dual gate structure

Номер патента: TW409301B. Автор: Jung-Jr Liau. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2000-10-21.

Method of forming a gate structure and a self-aligned contact structure

Номер патента: TW554424B. Автор: Wen-Wei Lo,Su-Chen Lai. Владелец: Promos Technologies Inc. Дата публикации: 2003-09-21.

The producing method for SRAM with self-aligned contact

Номер патента: TW333700B. Автор: Jong-Jyh Liaw. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 1998-06-11.

Self-aligned contact process of crown-type capacitors

Номер патента: TW423104B. Автор: Yu-Hua Li,Min-Shiung Jiang,Jen-Min Wu. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2001-02-21.

Deep level self-aligned contact process

Номер патента: TW527691B. Автор: Jian-Luen Yang,Lian-Fa Hung. Владелец: United Microelectronics Corp. Дата публикации: 2003-04-11.

Self-aligned contact process with polysilicon barrier

Номер патента: TW302503B. Автор: Show-Gwo Wuu,Chyuan-Jong Wang,Menq-Song Liang,Jong-Huei Su. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 1997-04-11.

Method for manufacturing self-aligned contacts

Номер патента: TW417242B. Автор: Fu-Liang Yang,Shiang-Yuan Jeng,Jian-Sheng Shie,Wen-Ruei Lin,Bo-Ru Shiu. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2001-01-01.

Manufacturing method for integrating metal silicide and self-aligned contact

Номер патента: TW416126B. Автор: Jen-Ming Huang. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2000-12-21.

Method of forming self-aligned contacts

Номер патента: TWI221639B. Автор: Pei-Ren Jeng. Владелец: Macronix Int Co Ltd. Дата публикации: 2004-10-01.

Method of forming self-aligned contacts

Номер патента: TW200423234A. Автор: Pei-Ren Jeng. Владелец: Macronix Int Co Ltd. Дата публикации: 2004-11-01.

The producing method for self-aligned contact hole by spin-coating

Номер патента: TW306045B. Автор: Lih-Wei Yan,Miin-Horng Wang. Владелец: Macronix Int Co Ltd. Дата публикации: 1997-05-21.

Manufacturing method of self-aligned contact of integrated circuit and structure thereof

Номер патента: TW318271B. Автор: Jenn-Cherng Jou,Jenn Tsaur,Tzong-Sheng Jang. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 1997-10-21.

Forming method of self-aligned contact window

Номер патента: TW463315B. Автор: Jr-Shiang Jeng,Le-Tian Rung,Wei-Wu Liau. Владелец: United Microelectronics Corp. Дата публикации: 2001-11-11.

Method of fabricating a self-aligned contact opening and method of fabricating interconnects

Номер патента: TW200509299A. Автор: Shih-Fan Kuan,Kuo-Chien Wu. Владелец: Nanya Technology Corp. Дата публикации: 2005-03-01.

SYSTEM AND METHOD OF PLATING CONDUCTIVE GATE CONTACTS ON METAL GATES FOR SELF-ALIGNED CONTACT INTERCONNECTIONS

Номер патента: US20120313153A1. Автор: . Владелец: . Дата публикации: 2012-12-13.

Self-Aligned Contacts in Carbon Devices

Номер патента: US20120007054A1. Автор: Guo Dechao,Han Shu-Jen,Lin Chung-Hsun,Chang Josephine B.. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-01-12.

SELF-ALIGNED CONTACT STRUCTURE LATERALLY ENCLOSED BY AN ISOLATION STRUCTURE OF A SEMICONDUCTOR DEVICE

Номер патента: US20120021581A1. Автор: . Владелец: GLOBAL FOUNDRIES Inc.. Дата публикации: 2012-01-26.

SELF-ALIGNED CONTACT STRUCTURE TRENCH JFET

Номер патента: US20120104467A1. Автор: . Владелец: Monolithic Power Systems, Inc.. Дата публикации: 2012-05-03.

SELF-ALIGNED CONTACT EMPLOYING A DIELECTRIC METAL OXIDE SPACER

Номер патента: US20120119307A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-05-17.

Self-Aligned Contact For Replacement Gate Devices

Номер патента: US20120139061A1. Автор: LI Ying,Ramachandran Ravikumar,Wise Richard S.. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-06-07.

SELF-ALIGNED CONTACT COMBINED WITH A REPLACEMENT METAL GATE/HIGH-K GATE DIELECTRIC

Номер патента: US20120139062A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-06-07.

SEMICONDUCTOR DEVICE COMPRISING SELF-ALIGNED CONTACT ELEMENTS

Номер патента: US20120211837A1. Автор: . Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-08-23.

Semiconductor Device Comprising Self-Aligned Contact Elements and a Replacement Gate Electrode Structure

Номер патента: US20120211844A1. Автор: . Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-08-23.

SELF-ALIGNED CONTACT FOR REPLACEMENT METAL GATE AND SILICIDE LAST PROCESSES

Номер патента: US20120223394A1. Автор: Toh Eng Huat,Quek Elgin. Владелец: GLOBALFOUNDRIES Singapore Pte. Ltd.. Дата публикации: 2012-09-06.

METHOD FOR FORMING SELF-ALIGNED CONTACT

Номер патента: US20120267727A1. Автор: . Владелец: NANYA TECHNOLOGY CORPORATION. Дата публикации: 2012-10-25.

Self-Aligned Contacts in Carbon Devices

Номер патента: US20120292597A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-11-22.

Graphene/Nanostructure FET with Self-Aligned Contact and Gate

Номер патента: US20120298949A1. Автор: Chang Josephine,Lauer Isaac,Sleight Jeffrey. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-11-29.

SELF-ALIGNED CONTACTS

Номер патента: US20120299125A1. Автор: Guo Dechao,Han Shu-Jen,Haensch Wilfried E.A.,Lin Chung-Hsun. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-11-29.

Self-Aligned Contacts for Photosensitive Detection Devices

Номер патента: US20130009045A1. Автор: . Владелец: Raytheon Company. Дата публикации: 2013-01-10.

System for Self-Aligned Contacts

Номер патента: US20130032927A1. Автор: JR. Richard L.,Pierson,Shinohara Keisuke,Urteaga Miguel. Владелец: . Дата публикации: 2013-02-07.

Method of forming a self-aligned contact opening in MOSFET

Номер патента: US20130049104A1. Автор: CHEN Chia-Hui,Yeh Teng-Hao,TAI SUNG-SHAN. Владелец: . Дата публикации: 2013-02-28.

Method of Forming Self-Aligned Contacts for a Semiconductor Device

Номер патента: US20130189833A1. Автор: Baars Peter,Wei Andy,Geiss Erik,Mazur Martin. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-07-25.

METHODS OF FORMING SELF-ALIGNED CONTACTS FOR A SEMICONDUCTOR DEVICE FORMED USING REPLACEMENT GATE TECHNIQUES

Номер патента: US20130288468A1. Автор: Chi Min-Hwa. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-10-31.

METHODS OF FORMING SELF-ALIGNED CONTACTS FOR A SEMICONDUCTOR DEVICE

Номер патента: US20130288471A1. Автор: Chi Min-Hwa. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-10-31.

SELF-ALIGNED CONTACTS

Номер патента: US20140070282A1. Автор: Horak David V.,Ponoth Shom,Rath David L.,Sankarapandian Muthumanickam,Fan Su Chen. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2014-03-13.

Self-aligned contact formation method

Номер патента: KR940001282A. Автор: 박희국,손곤. Владелец: 현대전자산업 주식회사. Дата публикации: 1994-01-11.

How to form self-aligned contacts

Номер патента: KR950025876A. Автор: 남종완,권성우. Владелец: 현대전자산업 주식회사. Дата публикации: 1995-09-18.

Self-aligned contact etch method

Номер патента: CN102543840B. Автор: 陈广龙,陈昊瑜,张可钢. Владелец: Shanghai Huahong Grace Semiconductor Manufacturing Corp. Дата публикации: 2014-02-26.

Method of forming a self-align contact in semiconductor memory device

Номер патента: KR960006719B1. Автор: 박규찬,이우성,이예승,반천수. Владелец: 김광호. Дата публикации: 1996-05-22.

How to form self-aligned contacts

Номер патента: KR19990074362A. Автор: 염계희. Владелец: 윤종용. Дата публикации: 1999-10-05.

Improvement of isolation spacing between a self-aligned contacts and gate

Номер патента: TW389983B. Автор: Ren-Cheng Liou,Li-Jr Jau,Huan-Je Lin,Yung-Kuan Shiau. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2000-05-11.

Metal semiconductor transistor and self-aligning contact method

Номер патента: CN101009246B. Автор: 钟维民. Владелец: Macronix International Co Ltd. Дата публикации: 2010-06-09.

The forming method of self-align contact

Номер патента: TW400618B. Автор: Dau-Sheng Jang. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2000-08-01.

Method for preventing short circuit between polysilicon in the self-aligned contact etching process

Номер патента: TW429532B. Автор: Kuen-Lin Wu,Yu-Tsai Lin. Владелец: United Microelectronics Corp. Дата публикации: 2001-04-11.

How to form self-aligned contacts

Номер патента: KR950007100A. Автор: 성진모. Владелец: 현대전자산업 주식회사. Дата публикации: 1995-03-21.

Self-aligning contact window open mfg. method, internal connecting structure and mfg. method thereof

Номер патента: CN1591785A. Автор: 管式凡,吴国坚. Владелец: Nanya Technology Corp. Дата публикации: 2005-03-09.

Self-aligned contact hole formation method

Номер патента: KR19980065726A. Автор: 최지환. Владелец: 김광호. Дата публикации: 1998-10-15.

How to form self-aligned contacts

Номер патента: KR940007990A. Автор: 김진웅,손곤. Владелец: 현대전자산업 주식회사. Дата публикации: 1994-04-28.

Method for forming self-aligning contact window structure

Номер патента: CN1290158C. Автор: 董明圣,李岳川. Владелец: Promos Technologies Inc. Дата публикации: 2006-12-13.

Manufacturing method by self-aligned contact

Номер патента: TW393734B. Автор: Jen-Tsung Shiu. Владелец: United Microelectronics Corp. Дата публикации: 2000-06-11.

Method to fabricate self-aligned contact holes on the semiconductor wafer

Номер патента: TW434814B. Автор: Yuang-Chang Lin,Wen-Jeng Lin,Shin-Huei Shiu. Владелец: United Microelectronics Corp. Дата публикации: 2001-05-16.

Processing for self-aligned contact

Номер патента: TW459310B. Автор: Yun-Ding Hung,Huei-Huang Chen. Владелец: United Microelectronics Corp. Дата публикации: 2001-10-11.

Manufacturing method of self-aligned contact structure

Номер патента: TWI240972B. Автор: Yun-Jun Huh. Владелец: Grace Semiconductor Mfg Corp. Дата публикации: 2005-10-01.

Self-aligned contact and manufacturing method thereof

Номер патента: TW200830471A. Автор: Saysamone Pittikoun,Houng-Chi Wei. Владелец: Powerchip Semiconductor Corp. Дата публикации: 2008-07-16.

Process of forming the SAC Plug for self-aligned contact hole

Номер патента: TW411566B. Автор: Jen-Ye Shr. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2000-11-11.

Manufacturing method of spacer in the self-aligned contact process

Номер патента: TW441012B. Автор: Ming-Hung Tsai,Hung-Yi Luo,Yuan-Feng Chen,Shiang-Yuan Jeng. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2001-06-16.

The spacer process for isolating self-alignment contact (SAC) opening

Номер патента: TW406361B. Автор: Ren-Cheng Liou,Jia-Shiung Tsai,Jen-Shiang Liu. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2000-09-21.

DRAM structure and method for manufacturing self-aligned contact

Номер патента: TW430937B. Автор: Yung-Chang Lin,Wen-Jeng Lin,Le-Tian Rung. Владелец: United Microelectronics Corp. Дата публикации: 2001-04-21.

Methods of providing masks for self-aligned contact etching, and uses of the same

Номер патента: TWI287169B. Автор: Ming-Hung Lin. Владелец: Promos Technologies Inc. Дата публикации: 2007-09-21.

Manufacturing method to integrate silicide gate and self-aligned contact process

Номер патента: TW483110B. Автор: Ming-Hsiung Chiang. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2002-04-11.

Method of manufacturing self-aligned contact with reduced process steps

Номер патента: TW359872B. Автор: Ching-Nan Yang,Li-Chiun Peng. Владелец: Promos Technologies Inc. Дата публикации: 1999-06-01.

Self-aligned contact (SAC)

Номер патента: TW388985B. Автор: Jr-Shiang Jen. Владелец: United Microelectronics Corp. Дата публикации: 2000-05-01.

Manufacturing method for self-aligned contact structure

Номер патента: TW200516676A. Автор: Yun-Jiun Shiu. Владелец: Grace Semiconductor Mfg Corp. Дата публикации: 2005-05-16.

Manufacturing method of self-aligned contact

Номер патента: TW301776B. Автор: Ming-Bin Zhang. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 1997-04-01.

Self-aligned contact structure and production process thereof

Номер патента: TW343374B. Автор: Jenn-Cherng Jou,Jenn Tsaur. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 1998-10-21.

Manufacturing method of self-aligned contact hole

Номер патента: TW466690B. Автор: Tzung-Han Lee,Hsi-Chien Lin,Horng-Nan Chen. Владелец: United Microelectronics Corp. Дата публикации: 2001-12-01.

Process for forming the plugs with self-aligned contact window

Номер патента: TW421872B. Автор: Jin-Yuan Lee,Chung-Long Chang,Cheng-Yeh Shih. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2001-02-11.

Making process for forming self-alignment contact plug useful in an embedded random access memory

Номер патента: TW385529B. Автор: Jen-Ye Shr. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2000-03-21.

Process for forming a self align contact with silicon nitride sidewalls (addition one)

Номер патента: TW343379B. Автор: Iuan-Chyi Juang. Владелец: United Microelectronics Corp. Дата публикации: 1998-10-21.

Methods of providing masks for self-aligned contact etching, and uses of the same

Номер патента: TW200809395A. Автор: Ming-Hung Lin. Владелец: Promos Technologies Inc. Дата публикации: 2008-02-16.

Self-aligned contact structure and process for producing the same

Номер патента: TW337041B. Автор: Jenn-Cherng Jou,Jenn Tsaur. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 1998-07-21.

The forming method of self-aligned contacting window with SiN sidewall

Номер патента: TW284898B. Автор: Iuan-Chyi Juang,Jong-Shyan Wu. Владелец: United Microelectronics Corp. Дата публикации: 1996-09-01.

SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120001333A1. Автор: HWANG Chang Youn. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

Improvements in Contact Clamps for Electric Conductors.

Номер патента: GB190224705A. Автор: Edgar Alfred Carolan. Владелец: Individual. Дата публикации: 1903-10-01.

반도체 소자의 콘택홀 형성 방법

Номер патента: KR19990010376A. Автор: 이지혜. Владелец: 엘지반도체 주식회사. Дата публикации: 1999-02-18.

半導體裝置及其製造方法

Номер патента: TW201724354A. Автор: 張維哲,田中義典. Владелец: 華邦電子股份有限公司. Дата публикации: 2017-07-01.