• Главная
  • Method of forming a self-aligned contact pad for a semiconductor device

Method of forming a self-aligned contact pad for a semiconductor device

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method for forming self aligned contacts

Номер патента: US20020098640A1. Автор: Ii-Wook Kim,Jong-Sam Kim,Dong-kuk Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-07-25.

Method of forming a self-aligned contact of a DRAM cell

Номер патента: US5885895A. Автор: Chuck Chen,Ming-Hua Liu. Владелец: United Microelectronics Corp. Дата публикации: 1999-03-23.

Method for forming self-aligned contacts and local interconnects simultaneously

Номер патента: US20070235798A1. Автор: Kuang-Chao Chen,Tuung Luoh,Ling-Wuu Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2007-10-11.

Method of fabricating self-aligned contact pad using chemical mechanical polishing process

Номер патента: US20100124817A1. Автор: Bo-Un Yoon,Chang-ki Hong,Joon-Sang Park,Ho-Young Kim. Владелец: Individual. Дата публикации: 2010-05-20.

Methods of forming semiconductor device having a self-aligned contact structure

Номер патента: EP1169731B1. Автор: Byung-Jun Park,Yoo-Sang Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-02-25.

Semiconductor device having a self-aligned contact structure and methods of forming the same

Номер патента: EP1169731A1. Автор: Byung-Jun Park,Yoo-Sang Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-01-09.

Method of forming a self-aligned contact hole on a semiconductor wafer

Номер патента: US6306760B1. Автор: Hsin-Tuei Hsu,Yuang-Chang Lin,Wen-Jeng Lin. Владелец: United Microelectronics Corp. Дата публикации: 2001-10-23.

Method of forming a contact hole in semiconductor device

Номер патента: KR20090025778A. Автор: 최윤제. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-03-11.

Method of forming a self-aligned contact pad for use in a semiconductor device

Номер патента: US20020155687A1. Автор: Dae-hyuk Chung,In-seak Hwang,Han-Joo Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-10-24.

Method of forming self-aligned contacts and local interconnects

Номер патента: US20090280633A1. Автор: An Chyi Wei. Владелец: Macronix International Co Ltd. Дата публикации: 2009-11-12.

Method of Forming Self-Aligned Contacts for a Semiconductor Device

Номер патента: US20130189833A1. Автор: Peter Baars,Andy Wei,Martin Mazur,Erik Geiss. Владелец: Globalfoundries Inc. Дата публикации: 2013-07-25.

Method of forming a self-aligned contact opening

Номер патента: US20010029097A1. Автор: Kei-Yu Ko,Dave Pecora. Владелец: Individual. Дата публикации: 2001-10-11.

Method for forming a passivation on berry diffusion layer of a non-volatile memory

Номер патента: US20020084250A1. Автор: Ching-Yu Chang. Владелец: Macronix International Co Ltd. Дата публикации: 2002-07-04.

Acceleration of etch selectivity for self-aligned contact

Номер патента: US5804506A. Автор: Randhir P. S. Thakur,Kirk Prall,Gordon A. Haller. Владелец: Micron Technology Inc. Дата публикации: 1998-09-08.

Manufacturing method of self-aligned silicide load for static random access memory

Номер патента: US5854103A. Автор: Kuei-Chang Liang. Владелец: Winbond Electronics Corp. Дата публикации: 1998-12-29.

Method for forming self-aligned contact window

Номер патента: US6015741A. Автор: Water Lur,Shih-Wei Sun,Tony Lin. Владелец: United Microelectronics Corp. Дата публикации: 2000-01-18.

Method of fabricating self-aligned contact

Номер патента: US6107175A. Автор: Han Lin,Sun-Chieh Chien,Jengping Lin. Владелец: United Microelectronics Corp. Дата публикации: 2000-08-22.

Method of fabricating a self-aligned contact using organic dielectric materials

Номер патента: US5482894A. Автор: Robert H. Havemann. Владелец: Texas Instruments Inc. Дата публикации: 1996-01-09.

Self-aligned contact set

Номер патента: US8058733B2. Автор: Chan-Lon Yang. Владелец: United Microelectronics Corp. Дата публикации: 2011-11-15.

Self-aligned contact process

Номер патента: US5500382A. Автор: Che-Chia Wei. Владелец: SGS Thomson Microelectronics Inc. Дата публикации: 1996-03-19.

Self-aligned contacts for semiconductor device

Номер патента: US6165910A. Автор: Joel M. Cook,Janet M. Flanner,Ian J. Morey,Linda N. Marquez. Владелец: Lam Research Corp. Дата публикации: 2000-12-26.

Transistor formed with self-aligned contacts

Номер патента: WO2007033337A3. Автор: Andrew Marshall,Tito Gelsomini,Harvey Edd Davis. Владелец: Harvey Edd Davis. Дата публикации: 2009-04-23.

Transistor formed with self-aligned contacts

Номер патента: WO2007033337A2. Автор: Andrew Marshall,Tito Gelsomini,Harvey Edd Davis. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2007-03-22.

Method for fabricating mos device with self-aligned contacts

Номер патента: CA1210528A. Автор: Tarsaim L. Batra. Владелец: American Microsystems Holding Corp. Дата публикации: 1986-08-26.

Integration of air spacer with self-aligned contact in transistor

Номер патента: US20200083101A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2020-03-12.

Semiconductor device having sidewall spacers manifesting a self-aligned contact hole

Номер патента: US20010017423A1. Автор: Jae Roh,Woun Yang. Владелец: LG Semicon Co Ltd. Дата публикации: 2001-08-30.

Self-aligned contact etch for fabricating a FinFET

Номер патента: US09905473B1. Автор: Guillaume Bouche,Vimal Kamineni,Michael Aquilino. Владелец: Globalfoundries Inc. Дата публикации: 2018-02-27.

Method of forming a self aligned contact (SAC) window

Номер патента: US5920780A. Автор: Chung-Cheng Wu. Владелец: Mosel Vitelic Inc. Дата публикации: 1999-07-06.

Integration of air spacer with self-aligned contact in transistor

Номер патента: US20200161169A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2020-05-21.

Method for manufacturing a semiconductor device utilizing self-aligned contact regions

Номер патента: US4830971A. Автор: Tadashi Shibata. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1989-05-16.

METHOD OF FORMING A SELF-ALIGNED CONTACT USING SELECTIVE SiO2 DEPOSITION

Номер патента: US20180233407A1. Автор: Kandabara N. Tapily,Soo Doo Chae,Sangcheol Han. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-08-16.

Self-aligned contacts

Номер патента: US20120299125A1. Автор: Dechao Guo,Chung-Hsun Lin,Shu-Jen Han,Wilfried E.A. Haensch. Владелец: International Business Machines Corp. Дата публикации: 2012-11-29.

Self-aligned contacts

Номер патента: US20130230978A1. Автор: Dechao Guo,Chung-Hsun Lin,Shu-Jen Han,Wilfried E.A. Haensch. Владелец: International Business Machines Corp. Дата публикации: 2013-09-05.

Self-aligned contacts

Номер патента: US20110248362A1. Автор: Dechao Guo,Chung-Hsun Lin,Shu-Jen Han,Wilfried E.A. Haensch. Владелец: International Business Machines Corp. Дата публикации: 2011-10-13.

Partial self-aligned contact for MOL

Номер патента: US11887890B2. Автор: Veeraraghavan Basker,Alexander Reznicek,Ruilong Xie,Junli Wang. Владелец: International Business Machines Corp. Дата публикации: 2024-01-30.

Dielectric liner for a self-aligned contact via structure

Номер патента: US9318384B2. Автор: Kangguo Cheng,Ali Khakifirooz,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2016-04-19.

Gate cap last for self-aligned contact

Номер патента: US20200381306A1. Автор: Kangguo Cheng,ChoongHyun Lee,Ruilong Xie,Chanro Park. Владелец: International Business Machines Corp. Дата публикации: 2020-12-03.

Self-aligned contact with metal-insulator transistion materials

Номер патента: US20200135882A1. Автор: Peng Xu,Kangguo Cheng,ChoongHyun Lee,Juntao Li. Владелец: International Business Machines Corp. Дата публикации: 2020-04-30.

Graphene/nanostructure fet with self-aligned contact and gate

Номер патента: WO2011160922A1. Автор: Isaac Lauer,Jeffrey Sleight,Josephine Chang. Владелец: Ibm United Kingdom Limited. Дата публикации: 2011-12-29.

Self-aligned contact process enabled by low temperature

Номер патента: US09634117B2. Автор: Hong He,Chun-Chen Yeh,Chiahsun Tseng,Yunpeng Yin. Владелец: International Business Machines Corp. Дата публикации: 2017-04-25.

Self-aligned contact

Номер патента: US20170372956A1. Автор: Chen Zhang,Kangguo Cheng,Xin Miao,Wenyu Xu. Владелец: International Business Machines Corp. Дата публикации: 2017-12-28.

Method for forming a self-aligned contact in a damascene structure used to form a memory device

Номер патента: US20160163593A1. Автор: Masanori Tsukamoto. Владелец: Sony Corp. Дата публикации: 2016-06-09.

Self-aligned contact

Номер патента: US20170372957A1. Автор: Chen Zhang,Kangguo Cheng,Xin Miao,Wenyu Xu. Владелец: International Business Machines Corp. Дата публикации: 2017-12-28.

Self-aligned contact and method for forming the same

Номер патента: US20190305110A1. Автор: Hsiu-Han Liao,Chien-Ting Chen,Sih-Han Chen,Yao-Ting Tsai. Владелец: Winbond Electronics Corp. Дата публикации: 2019-10-03.

Self Aligned Contact Scheme

Номер патента: US20240258168A1. Автор: Yu-Lien Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-01.

Self-aligned contact openings for backside through substrate vias

Номер патента: US11973006B2. Автор: Jeffrey Peter Gambino,Swarnal Borthakur. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2024-04-30.

Self-aligned contact structures

Номер патента: US11916133B2. Автор: Chih-Hao Wang,Chia-Hao Chang,Cheng-Chi Chuang,Yu-Ming Lin,Li-Zhen YU,Lin-Yu HUANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-27.

Self-aligned contact structures

Номер патента: US20240021707A1. Автор: Chih-Hao Wang,Chia-Hao Chang,Cheng-Chi Chuang,Yu-Ming Lin,Li-Zhen YU,Lin-Yu HUANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-18.

Self-aligned contact for replacement gate devices

Номер патента: US20130175587A1. Автор: Ying Li,Ravikumar Ramachandran,Richard S. Wise. Владелец: International Business Machines Corp. Дата публикации: 2013-07-11.

Self-aligned contacts

Номер патента: US20140070282A1. Автор: Shom Ponoth,David L. Rath,Muthumanickam Sankarapandian,David V. Horak,Su Chen Fan. Владелец: International Business Machines Corp. Дата публикации: 2014-03-13.

Self-aligned contacts

Номер патента: WO2014039166A1. Автор: Shom Ponoth,David L. Rath,Muthumanickam Sankarapandian,David V. Horak,Su Chen Fan. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2014-03-13.

Placeholder Profile for Backside Self-Aligned Contact

Номер патента: US20240072134A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Min Gyu Sung,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2024-02-29.

Self aligned contact scheme

Номер патента: US11978670B2. Автор: Yu-Lien Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-07.

Method for forming self-aligned contacts/vias with high corner selectivity

Номер патента: US20150170965A1. Автор: PENG Wang,Eric A. Hudson,Ananth INDRAKANTI. Владелец: Lam Research Corp. Дата публикации: 2015-06-18.

Reverse tone self-aligned contact

Номер патента: US09412656B2. Автор: Ching-Feng Fu,Chia-Ying Lee,Yu-Chan Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-09.

Method of fabricating self-aligned contact

Номер патента: KR20000054995A. Автор: 김동현,전광열. Владелец: 윤종용. Дата публикации: 2000-09-05.

Self-aligned contact based via to backside power rail

Номер патента: US20240290657A1. Автор: Tao Li,Ruilong Xie,Richard C. Johnson,Kisik Choi. Владелец: International Business Machines Corp. Дата публикации: 2024-08-29.

Method of fabricating a self-aligned non-volatile memory cell

Номер патента: EP1552549A1. Автор: Bohumil Lojek,Alan L. Renninger. Владелец: Atmel Corp. Дата публикации: 2005-07-13.

Method of fabricating a self-aligned non-volatile memory cell

Номер патента: EP1552549A4. Автор: Bohumil Lojek,Alan L Renninger. Владелец: Atmel Corp. Дата публикации: 2008-06-04.

Self-aligned contact and contact over active gate structures

Номер патента: US20200279773A1. Автор: Susmit Singha Roy,Regina FREED,Madhur Sachan,Sanjay Natarajan,Yuriy Shusterman. Владелец: Micromaterials LLC. Дата публикации: 2020-09-03.

Multicolor Self-Aligned Contact Selective Etch

Номер патента: US20190279901A1. Автор: Ying Zhang,Ho-Yung Hwang,Yung-Chen Lin,Qingjun Zhou. Владелец: Applied Materials Inc. Дата публикации: 2019-09-12.

Multicolor self-aligned contact selective etch

Номер патента: US11094589B2. Автор: Ying Zhang,Yung-Chen Lin,Qingjun Zhou,Ho-Yung David Hwang. Владелец: Applied Materials Inc. Дата публикации: 2021-08-17.

Reverse tone self-aligned contact

Номер патента: US09812536B2. Автор: Ching-Feng Fu,Chia-Ying Lee,Yu-Chan Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-07.

System for Self-Aligned Contacts

Номер патента: US20140213052A1. Автор: Keisuke Shinohara,Miguel Urteaga,Richard L. Pierson, Jr.. Владелец: Teledyne Scientific and Imaging LLC. Дата публикации: 2014-07-31.

Self-aligned contact structure for replacement metal gate

Номер патента: US20140377927A1. Автор: Soon-Cheon Seo,Alexander Reznicek,Balasubramanian S. Haran. Владелец: International Business Machines Corp. Дата публикации: 2014-12-25.

Planarization method for self-aligned contact process

Номер патента: US6110827A. Автор: Der-Yuan Wu,Sun-Chieh Chien,Kun-Cho Chen. Владелец: United Microelectronics Corp. Дата публикации: 2000-08-29.

Self-aligned contact metallization for reduced contact resistance

Номер патента: US09754940B2. Автор: Tahir Ghani,Glenn A. Glass,Anand S. Murthy. Владелец: Intel Corp. Дата публикации: 2017-09-05.

Self-aligned contact for MOS processing

Номер патента: US4795718A. Автор: Bruce A. Beitman. Владелец: HARRIS CORP. Дата публикации: 1989-01-03.

Self-aligned contacts

Номер патента: US09892967B2. Автор: Mark T. Bohr,Tahir Ghani,Joseph M. Steigerwald,Jason W. Klaus,Subhash M. Joshi,Nadia M. Rahhal-Orabi,Jack Hwang,Ryan Mackiewicz. Владелец: Intel Corp. Дата публикации: 2018-02-13.

Closed cell lateral MOSFET using silicide source and body regions with self-aligned contacts

Номер патента: US09853143B2. Автор: Shekar Mallikarjunaswamy. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2017-12-26.

Self-aligned contacts

Номер патента: US09508821B2. Автор: Mark T. Bohr,Tahir Ghani,Joseph M. Steigerwald,Jason W. Klaus,Subhash M. Joshi,Nadia M. Rahhal-Orabi,Jack Hwang,Ryan Mackiewicz. Владелец: Intel Corp. Дата публикации: 2016-11-29.

Self-aligned contacts

Номер патента: US09466565B2. Автор: Mark T Bohr,Tahir Ghani,Joseph M. Steigerwald,Jason W. Klaus,Subhash M. Joshi,Jack Hwang,Ryan Mackiewicz,Nadia M. Rahhai-Orabi. Владелец: Intel Corp. Дата публикации: 2016-10-11.

Self-aligned contacts

Номер патента: US11600524B2. Автор: Mark T. Bohr,Tahir Ghani,Joseph M. Steigerwald,Jason W. Klaus,Subhash M. Joshi,Nadia M. Rahhal-Orabi,Jack Hwang,Ryan Mackiewicz. Владелец: Intel Corp. Дата публикации: 2023-03-07.

Methods for forming self-aligned contacts using spin-on silicon carbide

Номер патента: WO2022177828A1. Автор: Lior HULI,Junling Sun,Angelique RALEY,Andrew Metz. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2022-08-25.

Self-Aligned Contact and Method

Номер патента: US20160027689A1. Автор: Mei-Yun Wang,Fu-Kai Yang,Chao-Hsun Wang,Hsien-Cheng WANG,Shih-Wen Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-01-28.

Self-aligned contacts

Номер патента: US20240030067A1. Автор: Mark T. Bohr,Tahir Ghani,Joseph M. Steigerwald,Jason W. Klaus,Subhash M. Joshi,Nadia M. Rahhal-Orabi,Jack Hwang,Ryan Mackiewicz. Владелец: Intel Corp. Дата публикации: 2024-01-25.

Self-aligned contacts

Номер патента: US11887891B2. Автор: Mark T. Bohr,Tahir Ghani,Joseph M. Steigerwald,Jason W. Klaus,Subhash M. Joshi,Nadia M. Rahhal-Orabi,Jack Hwang,Ryan Mackiewicz. Владелец: Intel Corp. Дата публикации: 2024-01-30.

Methods for Forming Self-Aligned Contacts Using Spin-on Silicon Carbide

Номер патента: US20220262679A1. Автор: Lior HULI,Junling Sun,Angelique RALEY,Andrew Metz. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-08-18.

Selective local interconnect to gate in a self aligned local interconnect process

Номер патента: US20100304564A1. Автор: Richard T. Schultz. Владелец: Individual. Дата публикации: 2010-12-02.

Process for selectively forming a self aligned local interconnect to gate

Номер патента: WO2010141394A1. Автор: Richard T. Schultz. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2010-12-09.

Transistor having raised source/drain self-aligned contacts and method of forming same

Номер патента: WO2009085520A3. Автор: Swaminathan Sivakumar. Владелец: Intel Corporation. Дата публикации: 2009-09-17.

Method for manufacturing a semiconductor device having self-aligned contacts

Номер патента: US20030025137A1. Автор: Akira Takahashi. Владелец: Akira Takahashi. Дата публикации: 2003-02-06.

Method for manufacturing a semiconductor device having self-aligned contacts

Номер патента: US20040159953A1. Автор: Akira Takahashi. Владелец: Individual. Дата публикации: 2004-08-19.

Methods of forming self-aligned contacts

Номер патента: US20220189965A1. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2022-06-16.

Methods of forming self-aligned contacts

Номер патента: US20200373309A1. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2020-11-26.

Methods of forming self-aligned contacts comprising reusing hardmask materials and lithography reticles

Номер патента: US11302699B2. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2022-04-12.

Electronic device having self-aligned contacts

Номер патента: US11638376B2. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2023-04-25.

Self-aligned contact landing on a metal circuit

Номер патента: US20240112954A1. Автор: Ming-Hsun LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-04.

Method of forming a self-aligned floating gate poly to an active region for a flash E2PROM cell

Номер патента: US20020142544A1. Автор: Chih Wang. Владелец: Individual. Дата публикации: 2002-10-03.

Self-aligned contact and manufacturing method thereof

Номер патента: US20190385858A1. Автор: Tai-Chun Huang,Bang-Tai Tang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-12-19.

Methods for forming a self-aligned contact via selective lateral etch

Номер патента: US09368369B2. Автор: Jungmin Ko,Sean Kang. Владелец: Applied Materials Inc. Дата публикации: 2016-06-14.

Self aligned contact structure

Номер патента: US10074562B2. Автор: David L. Rath,Ravikumar Ramachandran,John A. Fitzsimmons,Russell H. Arndt,Rosa A. Orozco-Teran. Владелец: International Business Machines Corp. Дата публикации: 2018-09-11.

Self-aligned contact structure

Номер патента: US09548244B2. Автор: David L. Rath,Ravikumar Ramachandran,John A. Fitzsimmons,Rosa A. Orozco-Teran,Russell H Arndt. Владелец: International Business Machines Corp. Дата публикации: 2017-01-17.

Self aligned contact structure

Номер патента: US20160336266A1. Автор: David L. Rath,Ravikumar Ramachandran,John A. Fitzsimmons,Rosa A. Orozco-Teran. Владелец: International Business Machines Corp. Дата публикации: 2016-11-17.

Self-aligned contact structure

Номер патента: US9548244B2. Автор: David L. Rath,Ravikumar Ramachandran,John A. Fitzsimmons,Rosa A. Orozco-Teran,Russell H Arndt. Владелец: International Business Machines Corp. Дата публикации: 2017-01-17.

Transistor with air spacer and self-aligned contact

Номер патента: US09721897B1. Автор: Chen Zhang,Peng Xu,Kangguo Cheng,Xin Miao. Владелец: International Business Machines Corp. Дата публикации: 2017-08-01.

Modified self-aligned contact process and semiconductor device

Номер патента: US09711611B2. Автор: Kuang-Hsin Chen,Tsung-Yu CHIANG,Wei-Shuo HO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-18.

Air-replaced spacer for self-aligned contact scheme

Номер патента: US12040222B2. Автор: Zhiqiang Wu,Chun-Fu CHENG,Meng-Yu Lin,Chung-Wei Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-16.

Air-replaced spacer for self-aligned contact scheme

Номер патента: US20210125858A1. Автор: Zhiqiang Wu,Chun-Fu CHENG,Meng-Yu Lin,Chung-Wei Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-04-29.

Air-Replaced Spacer for Self-Aligned Contact Scheme

Номер патента: US20240339355A1. Автор: Zhiqiang Wu,Chun-Fu CHENG,Meng-Yu Lin,Chung-Wei Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-10.

Self-aligned contact and manufacturing method thereof

Номер патента: US20200357648A1. Автор: Tai-Chun Huang,Bang-Tai Tang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-11-12.

Semiconductor device with self-aligning contact and method for fabricating the same

Номер патента: US20220271036A1. Автор: Chun-Chi Lai. Владелец: Nanya Technology Corp. Дата публикации: 2022-08-25.

Vertical field effect transistors with self aligned contacts

Номер патента: US20200098929A1. Автор: Yi Song,Kangguo Cheng,Juntao Li. Владелец: International Business Machines Corp. Дата публикации: 2020-03-26.

Self-aligned contact openings for backside through substrate vias

Номер патента: US20240297099A1. Автор: Jeffrey Peter Gambino,Swarnal Borthakur. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2024-09-05.

Self-aligned contacts and methods of fabrication

Номер патента: US20150279738A1. Автор: Guillaume Bouche,Xiang Hu,Andre Labonte,Gabriel Padron Wells. Владелец: Globalfoundries Inc. Дата публикации: 2015-10-01.

Self-aligned contacts and methods of fabrication

Номер патента: US09460963B2. Автор: Guillaume Bouche,Xiang Hu,Andre Labonte,Gabriel Padron Wells. Владелец: Globalfoundries Inc. Дата публикации: 2016-10-04.

Method for providing a self-aligned pad protection in a semiconductor device

Номер патента: US20150357234A1. Автор: Michael Rogalli,Wolfgang Lehnert. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2015-12-10.

Transistor with air spacer and self-aligned contact

Номер патента: US20180090586A1. Автор: Chen Zhang,Peng Xu,Kangguo Cheng,Xin Miao. Владелец: International Business Machines Corp. Дата публикации: 2018-03-29.

Transistor with air spacer and self-aligned contact

Номер патента: US20180090593A1. Автор: Chen Zhang,Peng Xu,Kangguo Cheng,Xin Miao. Владелец: International Business Machines Corp. Дата публикации: 2018-03-29.

Trench silicide with self-aligned contact vias

Номер патента: US09721888B2. Автор: Fei Liu,Josephine B. Chang,Michael A. Guillorn,Adam M. Pyzyna. Владелец: International Business Machines Corp. Дата публикации: 2017-08-01.

Self-aligned contacts for 3d logic and memory

Номер патента: WO2020242602A1. Автор: Jeffrey Smith,Kandabara Tapily,Anton Devilliers,Lars Liebmann. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2020-12-03.

Self-aligned contact cap

Номер патента: US20180166336A1. Автор: Peng Xu,Kangguo Cheng. Владелец: International Business Machines Corp. Дата публикации: 2018-06-14.

Self-aligned contacts for 3d logic and memory

Номер патента: US20200373203A1. Автор: Jeffrey Smith,Anton J. deVilliers,Kandabara Tapily,Lars Liebmann. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-11-26.

Self-aligned contact cap

Номер патента: US20180025944A1. Автор: Peng Xu,Kangguo Cheng. Владелец: International Business Machines Corp. Дата публикации: 2018-01-25.

Self-aligned contact cap

Номер патента: US20180025942A1. Автор: Peng Xu,Kangguo Cheng. Владелец: International Business Machines Corp. Дата публикации: 2018-01-25.

MOSFET with asymmetric self-aligned contact

Номер патента: US09698230B2. Автор: Kangguo Cheng,Xin Miao,Ruilong Xie,Tenko Yamashita. Владелец: International Business Machines Corp. Дата публикации: 2017-07-04.

MOSFET with asymmetric self-aligned contact

Номер патента: US09640436B1. Автор: Kangguo Cheng,Xin Miao,Ruilong Xie,Tenko Yamashita. Владелец: International Business Machines Corp. Дата публикации: 2017-05-02.

MOSFET with asymmetric self-aligned contact

Номер патента: US09484306B1. Автор: Kangguo Cheng,Xin Miao,Ruilong Xie,Tenko Yamashita. Владелец: International Business Machines Corp. Дата публикации: 2016-11-01.

MOSFET with asymmetric self-aligned contact

Номер патента: US09466570B1. Автор: Kangguo Cheng,Xin Miao,Ruilong Xie,Tenko Yamashita. Владелец: International Business Machines Corp. Дата публикации: 2016-10-11.

Semiconductor device with self-aligned contact plugs

Номер патента: US20150221590A1. Автор: Martin Poelzl. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2015-08-06.

Air-replaced spacer for self-aligned contact scheme

Номер патента: US20220181202A1. Автор: Zhiqiang Wu,Chun-Fu CHENG,Meng-Yu Lin,Chung-Wei Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-06-09.

Method for fabricating semiconductor device with self-aligning contact

Номер патента: US11764223B2. Автор: Chun-Chi Lai. Владелец: Nanya Technology Corp. Дата публикации: 2023-09-19.

Method for fabricating semiconductor device with self-aligning contact

Номер патента: US20220293602A1. Автор: Chun-Chi Lai. Владелец: Nanya Technology Corp. Дата публикации: 2022-09-15.

Self-aligned contact and method of forming the same

Номер патента: US20150206753A1. Автор: Cheng-Hao Yeh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-07-23.

Transistor with reduced gate resistance and improved process margin of forming self-aligned contact

Номер патента: US20220051939A1. Автор: Sheng-hui Yang. Владелец: Nanya Technology Corp. Дата публикации: 2022-02-17.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09570583B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2017-02-14.

Semiconductor devices with self-aligned contacts and low-k spacers

Номер патента: US09543426B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2017-01-10.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09455330B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2016-09-27.

Mosfet with asymmetric self-aligned contact

Номер патента: US20170140987A1. Автор: Kangguo Cheng,Xin Miao,Ruilong Xie,Tenko Yamashita. Владелец: International Business Machines Corp. Дата публикации: 2017-05-18.

Contact jumper for non-self aligned contact devices

Номер патента: US20240088241A1. Автор: Ruilong Xie,Heng Wu,Min Gyu Sung,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2024-03-14.

Direct backside self-aligned contact

Номер патента: WO2024082734A1. Автор: Chen Zhang,Ruilong Xie,Heng Wu,Min Gyu Sung,Julien Frougier. Владелец: Ibm (China) Co., Limited. Дата публикации: 2024-04-25.

Direct backside self-aligned contact

Номер патента: US20240128333A1. Автор: Chen Zhang,Ruilong Xie,Heng Wu,Min Gyu Sung,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2024-04-18.

Insulated-gate field-effect transistor with self-aligned contact hole to source or drain

Номер патента: US4103415A. Автор: James A. Hayes. Владелец: Fairchild Camera and Instrument Corp. Дата публикации: 1978-08-01.

Method of forming a self-aligned bipolar transistor

Номер патента: US5268314A. Автор: George W. Conner. Владелец: Philips Electronics North America Corp. Дата публикации: 1993-12-07.

Method of forming a transistor with self-aligned contacts

Номер патента: EP1935012B1. Автор: Andrew Marshall,Tito Gelsomini,Harvey Edd Davis. Владелец: Texas Instruments Inc. Дата публикации: 2019-07-24.

Method of manufacturing semiconductor device

Номер патента: US6579776B2. Автор: Takashi Terauchi. Владелец: Mitsubishi Electric Corp. Дата публикации: 2003-06-17.

Methods of forming a contact structure for a vertical channel semiconductor device and the resulting device

Номер патента: US09741847B2. Автор: Bartlomiej Jan Pawlak. Владелец: Globalfoundries Inc. Дата публикации: 2017-08-22.

Bulk substrates with a self-aligned buried polycrystalline layer

Номер патента: US11749559B2. Автор: Anthony K. Stamper,Steven M. Shank,Siva P. Adusumilli,Ian Mccallum-Cook. Владелец: GlobalFoundries US Inc. Дата публикации: 2023-09-05.

Semiconductor device and method of manufacturing same

Номер патента: US20030089922A1. Автор: Tatsuya Kunikiyo,Masato Fujinaga. Владелец: Mitsubishi Electric Corp. Дата публикации: 2003-05-15.

Method of forming contact hole and method of fabricating semiconductor device

Номер патента: US20060134910A1. Автор: Pin-Yao Wang,Min-San Huang,Leon Lai. Владелец: Individual. Дата публикации: 2006-06-22.

Fabrication process of a semiconductor device with a wiring structure

Номер патента: US5578524A. Автор: Tadashi Fukase,Takehiko Hamada. Владелец: NEC Corp. Дата публикации: 1996-11-26.

Method of fabricating dual damascene structure

Номер патента: US09748139B1. Автор: Yu-Cheng Tung,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2017-08-29.

A method for forming a self-aligned contact of a semiconductor device

Номер патента: KR100609523B1. Автор: 남상혁. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-08-04.

METHOD FOR FORMING A SELF-ALIGNED CONTACT IN A SEMICONDUCTOR DEVICE

Номер патента: FR2784229B1. Автор: Chang Hyum Cho,Kyu Hyun Lee,Lae Goo Lee,Sang Sub Jeong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-03-12.

Method of forming a self-aligned contact structure using a sacrificial mask layer

Номер патента: KR100541046B1. Автор: 정태영,윤철주. Владелец: 삼성전자주식회사. Дата публикации: 2006-01-11.

METHOD FOR FORMING A SELF-ALIGNED CONTACT IN A SEMICONDUCTOR DEVICE

Номер патента: FR2784229A1. Автор: Chang Hyum Cho,Kyu Hyun Lee,Lae Goo Lee,Sang Sub Jeong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-04-07.

Method for forming a self aligned contact in a semiconductor device

Номер патента: GB9909492D0. Автор: . Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-06-23.

Process of forming contact holes

Номер патента: US5500080A. Автор: Yang K. Choi. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1996-03-19.

Method of forming a self-aligned contact structure using a sacrificial mask layer

Номер патента: US7205232B2. Автор: Tae-Young Chung,Cheol-ju Yun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-04-17.

Method of making a self-aligned contact in semiconductor device

Номер патента: KR100260577B1. Автор: 김재갑. Владелец: 현대전자산업주식회사. Дата публикации: 2000-08-01.

Semiconductor device with a self-aligned contact and a method of manufacturing the same

Номер патента: US6573602B2. Автор: Myeong-Cheol Kim,Tae-Hyuk Ahn,Jun Seo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2003-06-03.

Method of integrating a salicide process and a self-aligned contact process

Номер патента: US6383878B1. Автор: Shui-Chin Huang. Владелец: Winbond Electronics Corp. Дата публикации: 2002-05-07.

Method for forming a silicide gate stack for use in a self-aligned contact etch

Номер патента: KR100626928B1. Автор: 맥스에프 하이네만. Владелец: 마이크론 테크놀로지, 인크. Дата публикации: 2006-09-20.

Method for forming a silicide gate stack for use in a self-aligned contact etch

Номер патента: WO2001071800A3. Автор: Max F Hineman. Владелец: Micron Technology Inc. Дата публикации: 2002-03-07.

Method for forming a self aligned contact in a damascene metal gate

Номер патента: KR100376876B1. Автор: 김태균,여인석,장세억. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-03-19.

Method for etching a self align contact of semiconductor device

Номер патента: KR20030000949A. Автор: 조영재. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-01-06.

Method of forming self-aligned contacts for a semiconductor device

Номер патента: US8927407B2. Автор: Peter Baars,Andy Wei,Martin Mazur,Erik Geiss. Владелец: Globalfoundries Inc. Дата публикации: 2015-01-06.

Transistor having raised source/drain self-aligned contacts and method of forming same

Номер патента: TW200945581A. Автор: Swaminathan Sivakumar. Владелец: Intel Corp. Дата публикации: 2009-11-01.

Method for fabricating a self-aligned contact

Номер патента: US6001743A. Автор: Jia-Hwa Lee,Chia-Wen Liang. Владелец: United Microelectronics Corp. Дата публикации: 1999-12-14.

Method for forming the semiconductor memory device having a self-aligned contact hole

Номер патента: KR20050003296A. Автор: 구동철. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-01-10.

Methods of forming patterns of semiconductor devices

Номер патента: US09779941B2. Автор: Seok-Han Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-10-03.

Method of manufacturing semiconductor device

Номер патента: US20110294297A1. Автор: Mitsunari Sukekawa. Владелец: Elpida Memory Inc. Дата публикации: 2011-12-01.

Semiconductor device package and method of making the same

Номер патента: US09564393B1. Автор: Chi-Tsung Chiu,Kuo-Hua Chen,Chih-Yi Huang. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2017-02-07.

Method for manufacturing semiconductor device

Номер патента: US09768070B1. Автор: Dong-Kwon Kim,Hyung-Suk Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-09-19.

Semiconductor device with self-aligned landing pad and method for fabricating the same

Номер патента: US11121137B1. Автор: Te-Yin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2021-09-14.

Semiconductor device and forming method thereof

Номер патента: US20220115509A1. Автор: ChihCheng LIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-04-14.

Semiconductor device manufacturing methods

Номер патента: US09768031B2. Автор: Cheng-Hsiung Tsai,Chung-Ju Lee,Tsung-Min Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-19.

Methods of forming a masking pattern and a semiconductor device structure

Номер патента: US20160260606A1. Автор: Hans-Peter Moll,Peter Baars. Владелец: Globalfoundries Inc. Дата публикации: 2016-09-08.

Semiconductor device and method of fabricating the same

Номер патента: US09627509B2. Автор: Sangjin Hyun,Wandon Kim,Shinhye Kim,Byung-Suk Jung,TaekSoo JEON,Kyungbum KOO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-04-18.

Method for fabricating semiconductor device with self-aligned landing pad

Номер патента: US20210351187A1. Автор: Te-Yin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2021-11-11.

Methods of forming holes using mask pattern structures

Номер патента: US09627201B2. Автор: Jae-woo Nam,Eun-Sung Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-04-18.

Discontinuities in a semiconductor device to accommodate for manufacturing variations and/or misalignment tolerances

Номер патента: US09583581B1. Автор: Qing Liu. Владелец: Broadcom Corp. Дата публикации: 2017-02-28.

Method of making self-aligned continuity cuts in mandrel and non-mandrel metal lines

Номер патента: US09859120B1. Автор: Xunyuan Zhang,Lei Sun,Ruilong Xie,Ryan Ryoung-Han Kim. Владелец: Globalfoundries Inc. Дата публикации: 2018-01-02.

Method of making self-aligned continuity cuts in mandrel and non-mandrel metal lines

Номер патента: US09953834B1. Автор: Xunyuan Zhang,Lei Sun,Ruilong Xie,Ryan Ryoung-Han Kim. Владелец: Globalfoundries Inc. Дата публикации: 2018-04-24.

Semiconductor device and method of fabricating same

Номер патента: US09653600B2. Автор: Yang Zhou,Gangning Wang,Guangli Yang,Guohao Cao. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-05-16.

Methods Of Forming Metal Chalcogenide Pillars

Номер патента: US20220051941A1. Автор: Srinivas Gandikota,Amrita B. Mullick. Владелец: Applied Materials Inc. Дата публикации: 2022-02-17.

Methods of forming metal chalcogenide pillars

Номер патента: WO2019173447A1. Автор: Srinivas Gandikota,Amrita B. Mullick. Владелец: Applied Materials, Inc.. Дата публикации: 2019-09-12.

Method of fabricating integrated circuit device

Номер патента: US11804458B2. Автор: Jun Zhou,Sheng Hu,Qiong Zhan. Владелец: Wuhan Xinxin Semiconductor Manufacturing Co Ltd. Дата публикации: 2023-10-31.

Semiconductor device and method of manufacturing the same

Номер патента: US09779992B2. Автор: Yasuaki Tsuchiya,Ryohei Kitao. Владелец: Renesas Electronics Corp. Дата публикации: 2017-10-03.

Semiconductor device and method of fabricating the same

Номер патента: US09627514B1. Автор: Dong-Kwon Kim,Ji-Hoon Cha. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-04-18.

Method of forming semiconductor device having a conductive via structure

Номер патента: US20160005645A1. Автор: Kuo-Chin Chang,Yuh Chern Shieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-01-07.

Method of forming semiconductor device having a conductive via structure

Номер патента: US9514978B2. Автор: Kuo-Chin Chang,Yuh Chern Shieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-12-06.

Forming method for floating contact hole, and semiconductor device

Номер патента: EP4401119A1. Автор: Song Zhang,Wenming Zhu,Qun Liu,Yaohui ZHOU,Dejin Wang. Владелец: CSMC Technologies Fab2 Co Ltd. Дата публикации: 2024-07-17.

Forming method for floating contact hole, and semiconductor device

Номер патента: US20240290846A1. Автор: Song Zhang,Wenming Zhu,Qun Liu,Yaohui ZHOU,Dejin Wang. Владелец: CSMC Technologies Fab2 Co Ltd. Дата публикации: 2024-08-29.

Semiconductor device and process for fabricating the same

Номер патента: US5897345A. Автор: Hideki Uochi. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 1999-04-27.

Contact pad for semiconductor device

Номер патента: US09691686B2. Автор: Wei-Cheng Wu,Tsung-Shu Lin,Cheng-chieh Hsieh,Chang-Chia HUANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-06-27.

Methods of forming self-aligned device level contact structures

Номер патента: US09653356B2. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-16.

Method of forming a self aligned contact in a semiconductor device

Номер патента: KR100334572B1. Автор: 박영우,이원성. Владелец: 윤종용. Дата публикации: 2002-05-03.

Method for manufacturing semiconductor devices using self-aligned process to increase device packing density

Номер патента: US9263548B2. Автор: Tzu-Yin Chiu. Владелец: Individual. Дата публикации: 2016-02-16.

Method for manufacturing semiconductor devices using self-aligned process to increase device packing density

Номер патента: US20080254587A1. Автор: Tzu-Yin Chiu. Владелец: Individual. Дата публикации: 2008-10-16.

Method of forming a self-aligned contact pad in a damascene gate process

Номер патента: KR100350056B1. Автор: 김기남,정태영,이규현,황유상,우형수. Владелец: 삼성전자 주식회사. Дата публикации: 2002-08-24.

Method for fabricating semiconductor device

Номер патента: US20030113993A1. Автор: Min-Suk Lee,Sung-Kwon Lee,Sang-Ik Kim,Chang-Youn Hwang,Weon-Joon Suh. Владелец: Individual. Дата публикации: 2003-06-19.

Self-aligned buried power rail formation for semiconductor devices

Номер патента: EP4420162A1. Автор: Huiming Bu,Miaomiao Wang,Ruilong Xie,Huimei Zhou. Владелец: International Business Machines Corp. Дата публикации: 2024-08-28.

Manufacturing method of TFT substrate structure

Номер патента: US09553115B1. Автор: Xiaowen LV. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2017-01-24.

Self-aligned via for gate contact of semiconductor devices

Номер патента: WO2016003595A1. Автор: Kern Rim,Stanley Seungchul SONG,Zhongze Wang,Choh fei Yeap. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2016-01-07.

Method for manufacturing semiconductor device

Номер патента: US20120220097A1. Автор: Huicai Zhong,Qingqing Liang. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-08-30.

Method for manufacturing semiconductor device

Номер патента: US20130244393A1. Автор: Huicai Zhong,Qingqing Liang. Владелец: Individual. Дата публикации: 2013-09-19.

Method for manufacturing semiconductor device

Номер патента: US8460988B2. Автор: Huicai Zhong,Qingqing Liang. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-06-11.

Semiconductor device having reduced contact resistance

Номер патента: US09627322B2. Автор: Injo OK,Charan V. Surisetty,Balasubramanian S. Pranatharthiharan. Владелец: International Business Machines Corp. Дата публикации: 2017-04-18.

Method for fabricating semiconductor device

Номер патента: US11817351B2. Автор: Ki-hong Lee,Ki-Hong Yang. Владелец: SK hynix Inc. Дата публикации: 2023-11-14.

Method for fabricating semiconductor device

Номер патента: US20200185274A1. Автор: Ki-hong Lee,Ki-Hong Yang. Владелец: SK hynix Inc. Дата публикации: 2020-06-11.

Method for fabricating semiconductor device

Номер патента: US11121033B2. Автор: Ki-hong Lee,Ki-Hong Yang. Владелец: SK hynix Inc. Дата публикации: 2021-09-14.

Method of making an interconnect structure

Номер патента: US20100029076A1. Автор: Roel Daamen,Pascal Bancken,Robertus A.M. Wolters,Martinus P.M. Maas,Julien M.M. Michelon. Владелец: NXP BV. Дата публикации: 2010-02-04.

Self-aligned trench mosfet and igbt structures and methods of fabrication

Номер патента: US20210226041A1. Автор: Hamza Yilmaz,Jong Oh Kim. Владелец: IPOWER SEMICONDUCTOR. Дата публикации: 2021-07-22.

Non-volatile memory device and method of manufacturing the same

Номер патента: US20070102751A1. Автор: Sang-Bum Lee. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2007-05-10.

Method of forming self-aligned via

Номер патента: US10923396B2. Автор: Mihaela Balseanu,Suketu Arun Parikh. Владелец: Applied Materials Inc. Дата публикации: 2021-02-16.

Method Of Forming Self-Aligned Via

Номер патента: US20200144117A1. Автор: Mihaela Balseanu,Suketu Arun Parikh. Владелец: Applied Materials Inc. Дата публикации: 2020-05-07.

Semiconductor device with landing pad of conductive polymer and method for fabricating the same

Номер патента: US20220051992A1. Автор: Chia-Hsiang Hsu. Владелец: Nanya Technology Corp. Дата публикации: 2022-02-17.

Method for forming a self-aligned contact hole in a semiconductor device

Номер патента: US6808975B2. Автор: Jun Seo,Jong-Heui Song. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-10-26.

Methods of manufacturing integrated circuit devices

Номер патента: US20200335348A1. Автор: Dohyun Kwon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-10-22.

Method for forming a horizontal surface spacer and devices formed thereby

Номер патента: MY117065A. Автор: Toshiharu Furukawa,Mark C Hakey,Steven J Holmes,David V Horak,Paul A Rabidoux. Владелец: Ibm. Дата публикации: 2004-04-30.

METHOD OF FORMING A SELF-ALIGNED CONTACT USING SELECTIVE SiO2 DEPOSITION

Номер патента: US20180233407A1. Автор: Tapily Kandabara N.,Chae Soo Doo,Han Sangcheol. Владелец: . Дата публикации: 2018-08-16.

Semiconductor devices and fabrication methods thereof

Номер патента: US20180366555A1. Автор: YONG Li. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-12-20.

Semiconductor devices and fabrication methods thereof

Номер патента: US10541314B2. Автор: YONG Li. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2020-01-21.

Method for manufacturing a semiconductor device, and said semiconductor device

Номер патента: US7737030B2. Автор: Hisakazu Matsumori. Владелец: Toshiba Corp. Дата публикации: 2010-06-15.

Method for manufacturing a semiconductor device, and said semiconductor device

Номер патента: US20080277788A1. Автор: Hisakazu Matsumori. Владелец: Individual. Дата публикации: 2008-11-13.

Method of producing mos transistors

Номер патента: CA1207469A. Автор: Christoph Werner,Ulrich Schwabe,Franz Neppl,Ulf Burker. Владелец: SIEMENS AG. Дата публикации: 1986-07-08.

Electroless-plating solution and semiconductor device

Номер патента: WO2002099164A3. Автор: Hiroaki Inoue,Kenji Nakamura,Moriji Matsumoto. Владелец: Ebara Corp. Дата публикации: 2004-05-21.

Method of making contact alignment for nonvolatile memory devices

Номер патента: US5448091A. Автор: Frank R. Bryant,Tsiu C. Chan. Владелец: SGS Thomson Microelectronics Inc. Дата публикации: 1995-09-05.

Self-aligned buried power rail formation for semiconductor devices

Номер патента: WO2023066796A1. Автор: Huiming Bu,Miaomiao Wang,Ruilong Xie,Huimei Zhou. Владелец: IBM Deutschland GmbH. Дата публикации: 2023-04-27.

Method of forming a self-aligned transistor and structure therefor

Номер патента: US20070075399A1. Автор: Gordon Grivna. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2007-04-05.

Method of forming a self-aligned transistor and structure therefor

Номер патента: US20080017951A1. Автор: Gordon Grivna. Владелец: Individual. Дата публикации: 2008-01-24.

Semiconductor device having a conductive via structure

Номер патента: US20170062329A1. Автор: Kuo-Chin Chang,Yuh Chern Shieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-03-02.

Contact pad for semiconductor device

Номер патента: US11901320B2. Автор: Wei-Cheng Wu,Tsung-Shu Lin,Cheng-chieh Hsieh,Chang-Chia HUANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-13.

Method for fabricating a self-aligned structure on a semiconductor wafer

Номер патента: TW567391B. Автор: Thomas Hecht,Bernhard Sell,Matthias Goldbach,Joern Luetzen. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2003-12-21.

Method of forming a self-aligned copper diffusion barrier in vias

Номер патента: KR100288496B1. Автор: 로버트 엠 게프켄,스테펜 이 루스. Владелец: 포만 제프리 엘. Дата публикации: 2001-05-02.

Method of forming a self-aligned copper diffusion barrier in vias

Номер патента: TW370681B. Автор: Stephen E Luce,Robert M Geffken. Владелец: Ibm. Дата публикации: 1999-09-21.

Method of manufacturing a self-aligned contact structure

Номер патента: US20060105561A1. Автор: Yunjun Huh. Владелец: Individual. Дата публикации: 2006-05-18.

Semiconductor device and method of fabricating the same

Номер патента: US20230387059A1. Автор: Changbo Lee,Joon Seok OH,Kwanhoo Son. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-11-30.

Method of forming a self-aligned transistor and structure therefor

Номер патента: HK1104667A1. Автор: Gordon M Grivna. Владелец: Semiconductor Components Ind. Дата публикации: 2008-01-18.

METHOD FOR FORMING A SELF-ALIGNED CONTACT IN A DAMASCENE STRUCTURE USED TO FORM A MEMORY DEVICE

Номер патента: US20160163593A1. Автор: TSUKAMOTO MASANORI. Владелец: . Дата публикации: 2016-06-09.

Stacked semiconductor device with removable probe pads

Номер патента: US12051631B2. Автор: Naoki Yokoi. Владелец: Micron Technology Inc. Дата публикации: 2024-07-30.

Method of Forming Self-Aligned Contacts Using a Replacement Metal Gate Process in a Semiconductor Device

Номер патента: US20150263131A1. Автор: Metz Andrew. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2015-09-17.

METHOD FOR PROVIDING A SELF-ALIGNED PAD PROTECTION IN A SEMICONDUCTOR DEVICE

Номер патента: US20150357234A1. Автор: Lehnert Wolfgang,Rogalli Michael. Владелец: . Дата публикации: 2015-12-10.

Semiconductor device having a self-aligned contact plug and fabricating method therefor

Номер патента: KR100450686B1. Автор: 손승용,지경구,강창진,김명철. Владелец: 삼성전자주식회사. Дата публикации: 2004-10-01.

Self aligned contact pad in a semiconductor device and method for forming the same

Номер патента: US6204161B1. Автор: Tae-Young Chung,Jae-Goo Lee,Chang-Hyun Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2001-03-20.

Embedded bottom metal contact formed by a self-aligned contact process for vertical transistors

Номер патента: GB201916897D0. Автор: . Владелец: International Business Machines Corp. Дата публикации: 2020-01-01.

METHOD FOR SELF-ALIGNING METAL CONTACTS ON A SELF-ALIGNED SEMICONDUCTOR DEVICE.

Номер патента: FR2663157B1. Автор: Collot Philippe,Schmidt Paul Erick. Владелец: Thomson CSF SA. Дата публикации: 1992-08-07.

Semiconductor structure, capacitor, mask and methods of manufacture thereof

Номер патента: US20030039903A1. Автор: Gurtei Sandhu. Владелец: Individual. Дата публикации: 2003-02-27.

Method for forming a self-aligned copper capping diffusion barrier

Номер патента: TW522444B. Автор: Anthony Konecni. Владелец: Texas Instruments Inc. Дата публикации: 2003-03-01.

Method and fabricating a self-aligned node contact window

Номер патента: US6140176A. Автор: J. S. Jason Jenq. Владелец: United Microelectronics Corp. Дата публикации: 2000-10-31.

Self-aligned contact process for complementary field-effect integrated circuits

Номер патента: US5283203A. Автор: Manzur Gill,Danny Shum. Владелец: Texas Instruments Inc. Дата публикации: 1994-02-01.

Semiconductor device structure and method for manufacturing the same

Номер патента: US09653358B2. Автор: Huicai Zhong,Qingqing Liang. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2017-05-16.

Semiconductor Device Structure and Method for Manufacturing the same

Номер патента: US20120043593A1. Автор: Huicai Zhong,Qingqing Liang. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-02-23.

Semiconductor device having buried-type element isolation structure and method of manufacturing the same

Номер патента: US20010028097A1. Автор: Fumitomo Matsuoka,Kunihiro Kasai. Владелец: Individual. Дата публикации: 2001-10-11.

Method for manufacturing semiconductor device having trench filled with polysilicon

Номер патента: US20010046762A1. Автор: Hiroyasu Ito,Masatoshi Kato,Takafumi Arakawa. Владелец: Denso Corp. Дата публикации: 2001-11-29.

Method of manufacturing semiconductor device

Номер патента: US09425288B2. Автор: Chao Zhao,Huicai Zhong,Qingqing Liang. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2016-08-23.

Method of manufacturing semiconductor device having multiple gate oxide films

Номер патента: US7129137B2. Автор: Hiroki Matsumoto. Владелец: NEC Corp. Дата публикации: 2006-10-31.

Method of forming a narrow self-aligned, annular opening in a masking layer

Номер патента: US5047117A. Автор: Martin C. Roberts. Владелец: Micron Technology Inc. Дата публикации: 1991-09-10.

Structure and method of producing isolation with non-dopant implantation

Номер патента: SG150429A1. Автор: Lindsay Richard,Lee Yong Meng,Manfred Eller. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2009-03-30.

Method for forming self-aligned isolation trenches in semiconductor substrate and semiconductor device

Номер патента: US20150171162A1. Автор: Tzung-Han Lee,Yaw-Wen Hu. Владелец: Inotera Memories Inc. Дата публикации: 2015-06-18.

Semiconductor device and manufacturing method therefor

Номер патента: US20030057493A1. Автор: Atsuo Hirabayashi. Владелец: Fuji Electric Co Ltd. Дата публикации: 2003-03-27.

Method of forming a self-aligned, sub-minimum isolation ring

Номер патента: TW386278B. Автор: James S Dunn,Onge Stephen A St. Владелец: Ibm. Дата публикации: 2000-04-01.

Semiconductor device and method of fabricating the same

Номер патента: US20130260531A1. Автор: Jiyoung Kim,Jay-Bok Choi,Hyun-Woo CHUNG,Yoosang Hwang,Sungkwan Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2013-10-03.

Method of forming a self-aligned trench isolation

Номер патента: US6265285B1. Автор: Horng-Huei Tseng. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2001-07-24.

Semiconductor device and method of manufacturing thereof

Номер патента: US10854730B2. Автор: Digh Hisamoto,Yoshiyuki Kawashima. Владелец: Renesas Electronics Corp. Дата публикации: 2020-12-01.

Semiconductor device and method of manufacturing thereof

Номер патента: US20200006526A1. Автор: Digh Hisamoto,Yoshiyuki Kawashima. Владелец: Renesas Electronics Corp. Дата публикации: 2020-01-02.

Structure for functional film pattern formation and method of manufacturing functional film

Номер патента: EP1889306A2. Автор: Yukio c/o FUJIFILM Corporation Sakashita. Владелец: Fujifilm Corp. Дата публикации: 2008-02-20.

DRAM transistor cells with a self-aligned storage electrode contact

Номер патента: US5936272A. Автор: Kyu-Pil Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-08-10.

Method and system for reducing short channel effects in a memory device formed using a self-aligned source

Номер патента: US20010050400A1. Автор: Yu Sun,Mark T. Ramsbey,Tommy Hsiao. Владелец: Individual. Дата публикации: 2001-12-13.

Methods of forming self-aligned contacts on FinFET devices

Номер патента: US09627274B1. Автор: Huang Liu,Xintuo Dai,Jinping Liu,Haifeng Sheng. Владелец: Globalfoundries Inc. Дата публикации: 2017-04-18.

Method for fabricating a finFET metallization architecture using a self-aligned contact etch

Номер патента: US09818876B1. Автор: Guillaume Bouche. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-14.

Completing middle of line integration allowing for self-aligned contacts

Номер патента: US9093557B2. Автор: Haiting Wang,Guillaume Bouche. Владелец: Globalfoundries Inc. Дата публикации: 2015-07-28.

FinFET standard cell with double self-aligned contacts and method therefor

Номер патента: US12062659B2. Автор: YONG Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-08-13.

Method of making a self-aligned ferroelectric memory transistor

Номер патента: US20030071292A1. Автор: Tingkai Li,Sheng Hsu,Fengyan Zhang. Владелец: SHENG LABORATORIES OF AMERICA Inc. Дата публикации: 2003-04-17.

Self-aligned contact for trench MOSFET

Номер патента: US09735266B2. Автор: Hsiao-Chin Tuan,Alex Kalnitsky,Kuo-Ming Wu,Wei Tsung Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-08-15.

FinFET STANDARD CELL WITH DOUBLE SELF-ALIGNED CONTACTS AND METHOD THEREFOR

Номер патента: US20230051161A1. Автор: YONG Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2023-02-16.

Method for forming a self-aligned twin well region with simplified processing

Номер патента: US20070212840A1. Автор: Gayle Miller,Bryan Sendelweck. Владелец: Individual. Дата публикации: 2007-09-13.

Lift-off process for fabricating self-aligned contacts

Номер патента: CA1204224A. Автор: Alvin Milgram. Владелец: Fairchild Camera and Instrument Corp. Дата публикации: 1986-05-06.

Method of fabricating a dynamic random access memory capacitor

Номер патента: US6054394A. Автор: Chuan-Fu Wang. Владелец: United Microelectronics Corp. Дата публикации: 2000-04-25.

Self-aligned contact (sac) in nanosheet transistors

Номер патента: US20230290821A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2023-09-14.

Methods of manufacturing semiconductor devices having self-aligned contact pads

Номер патента: US9184227B1. Автор: In-seak Hwang,Young-Kuk Kim,Han-jin Lim,Ki-Vin Im. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-11-10.

Method of fabricating a semiconductor package

Номер патента: US9524884B2. Автор: Cheol-soo HAN. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-12-20.

Method of fabricating a semiconductor package

Номер патента: US09524884B2. Автор: Cheol-soo HAN. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-12-20.

Self-aligned contact with ct cut after rmg

Номер патента: US20240347533A1. Автор: Ruilong Xie,Chanro Park,Juntao Li,Min Gyu Sung,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2024-10-17.

Non-volatile memory transistor with a self-aligned nitride storage layer

Номер патента: EP2434535A3. Автор: Hsin-Ming Chen,Ching-Sung Yang,Hau-yan Lu. Владелец: eMemory Technology Inc. Дата публикации: 2015-07-01.

Semiconductor device manufacturing method

Номер патента: US20060281248A1. Автор: Yukinobu Hikosaka,Hirotoshi Tachibana. Владелец: Fujitsu Ltd. Дата публикации: 2006-12-14.

Process for fabrication and assembly of semiconductor devices

Номер патента: US3965568A. Автор: Roland W. Gooch. Владелец: Texas Instruments Inc. Дата публикации: 1976-06-29.

Memory cells having a self-aligning polarizer

Номер патента: US20150270480A1. Автор: Wei Chen,Jonathan D. Harms,Sunil S. Murthy. Владелец: Micron Technology Inc. Дата публикации: 2015-09-24.

Memory cells having a self-aligning polarizer

Номер патента: US09344345B2. Автор: Wei Chen,Jonathan D. Harms,Sunil S. Murthy. Владелец: Micron Technology Inc. Дата публикации: 2016-05-17.

Method of making threshold voltage tuning using self-aligned contact cap

Номер патента: US09601387B2. Автор: Hoon Kim,Chanro Park,Xiuyu Harry CAI. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-21.

Method of fabricating a self-aligned contact trench DMOS transistor structure

Номер патента: US5665619A. Автор: Izak Bencuya,Sze-Hon Kwan. Владелец: National Semiconductor Corp. Дата публикации: 1997-09-09.

Method of forming poly insulator poly capacitors by using a self-aligned salicide process

Номер патента: US20050085046A1. Автор: Hao Fang,Jung-Cheng Kao. Владелец: Individual. Дата публикации: 2005-04-21.

Methods, apparatus and system for a self-aligned gate cut on a semiconductor device

Номер патента: US20200185509A1. Автор: Hui Zang,Laertis Economikos,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2020-06-11.

Methods, apparatus and system for a self-aligned gate cut on a semiconductor device

Номер патента: US20190319112A1. Автор: Hui Zang,Laertis Economikos,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2019-10-17.

Method of fabricating a self-aligned double recess gate profile

Номер патента: US5556797A. Автор: Danny Li,Tom Y. Chi,Liping D. Hou,Kusol Lee,Ishver K. Naik,Tom Quach. Владелец: Hughes Aircraft Co. Дата публикации: 1996-09-17.

Etching method, method of manufacturing article, and etching solution

Номер патента: US09701902B2. Автор: Yusaku Asano. Владелец: Toshiba Corp. Дата публикации: 2017-07-11.

Method for making a closed gate MOS transistor with self-aligned contacts with dual passivation layer

Номер патента: US4272881A. Автор: Rodney L. Angle. Владелец: RCA Corp. Дата публикации: 1981-06-16.

Self-aligned contact for trench power MOSFET

Номер патента: US09691863B2. Автор: Wenjun Li,Sik Lui,Hongyong Xue,Jowei Dun,Ching-Kai Lin,Yi Chang Yang,Terence Huang. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2017-06-27.

Method for manufacturing a self-aligned MOS transistor

Номер патента: US20030082881A1. Автор: Ting-Chang Chang,Cheng-Jer Yang,Huang-Chung Cheng. Владелец: United Microelectronics Corp. Дата публикации: 2003-05-01.

Sidewall spacers for self-aligned contacts

Номер патента: US09882023B2. Автор: Hua Feng Chen,Kuo-Hua Pan,Min-Yann Hsieh,Jyun-Ming Lin,C. H. Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-30.

Semiconductor device manufacturing method

Номер патента: US7338815B2. Автор: Yukinobu Hikosaka,Hirotoshi Tachibana. Владелец: Fujitsu Ltd. Дата публикации: 2008-03-04.

Method of forming salicided self-aligned contact for SRAM cells

Номер патента: US5573980A. Автор: Chue-San Yoo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 1996-11-12.

Self-aligned contact for trench power mosfet

Номер патента: US20160300917A1. Автор: Wenjun Li,Sik Lui,Hongyong Xue,Jowei Dun,Ching-Kai Lin,Yi Chang Yang,Terence Huang. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2016-10-13.

A semiconductor device having a self-aligned p-well within a p-buried-layer

Номер патента: EP0698283A1. Автор: Rashid Bashir,Datong Chen,Joseph A. De Santis. Владелец: National Semiconductor Corp. Дата публикации: 1996-02-28.

Vertical transistor including controlled gate length and a self-aligned junction

Номер патента: US09954109B2. Автор: Kangguo Cheng,Ramachandra Divakaruni. Владелец: International Business Machines Corp. Дата публикации: 2018-04-24.

Method for fabricating a self-aligned double-gate MOSFET by selective lateral epitaxy

Номер патента: US5646058A. Автор: Yuan Taur,Hon-Sum Philip Wong. Владелец: International Business Machines Corp. Дата публикации: 1997-07-08.

High density mosfet array with self-aligned contacts enhancement plug and method

Номер патента: US20160035846A1. Автор: Hong Chang,Yeeheng Lee,Jongoh Kim. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2016-02-04.

A self aligning method for forming a selective emitter and metallization in a solar cell

Номер патента: EP1112597A1. Автор: Martin Andrew Green,Stuart Ross Wenham. Владелец: Unisearch Ltd. Дата публикации: 2001-07-04.

Threshold voltage tuning using self-aligned contact cap

Номер патента: US20150194350A1. Автор: Hoon Kim,Chanro Park,Xiuyu Harry CAI. Владелец: Globalfoundries Inc. Дата публикации: 2015-07-09.

Method for fomring a self-aligned ltps tft

Номер патента: US20040229408A1. Автор: Chih-Chin Chang. Владелец: AU OPTRONICS CORP. Дата публикации: 2004-11-18.

SOI transistor having a self-aligned body contact

Номер патента: US5962895A. Автор: Klaus Dietrich Beyer,Louis Lu-Chen Hsu,Chang-Ming Hsieh,Taqi Nasser Buti. Владелец: International Business Machines Corp. Дата публикации: 1999-10-05.

Method for making a self-aligned impurity induced disordered structure

Номер патента: US5061656A. Автор: Stephen P. Rogers,Curtis D. Moyer. Владелец: Motorola Inc. Дата публикации: 1991-10-29.

Non-self aligned contact semiconductor devices

Номер патента: US20200295151A1. Автор: Hari Prasad Amanapu,Kangguo Cheng,Ruilong Xie,Chanro Park. Владелец: International Business Machines Corp. Дата публикации: 2020-09-17.

Process for manufacturing a package for a surface-mount semiconductor device and semiconductor device

Номер патента: US20170200669A1. Автор: Fulvio Vittorio Fontana. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2017-07-13.

Formation of self-organized stacked islands for self-aligned contacts

Номер патента: WO2003025989A3. Автор: Detlev Gruetzmacher. Владелец: Detlev Gruetzmacher. Дата публикации: 2003-10-16.

Formation of self-organized stacked islands for self-aligned contacts of low dimensional structures

Номер патента: EP1436831A2. Автор: Detlev GRÜTZMACHER. Владелец: Scherrer Paul Institut. Дата публикации: 2004-07-14.

Package for a surface-mount semiconductor device and manufacturing method thereof

Номер патента: US09640464B2. Автор: Fabio Marchisi. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2017-05-02.

Hermetic sealing cover for a container for semiconductor devices

Номер патента: US4109818A. Автор: Norman Hascoe,Samuel W. Levine. Владелец: Semi-Alloys Inc. Дата публикации: 1978-08-29.

A circuit cell for a standard cell semiconductor device

Номер патента: EP4273929A1. Автор: Julien Ryckaert,Boon Teik CHAN,Bilal Chehab. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-11-08.

Circuit cell for a standard cell semiconductor device

Номер патента: US20230361205A1. Автор: Julien Ryckaert,Boon Teik CHAN,Bilal Chehab. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-11-09.

Method of fabricating a gate-all-around word line for a vertical channel DRAM

Номер патента: US9023723B2. Автор: Er-Xuan Ping,Chorng-Ping Chang,Judon Tony Pan. Владелец: Applied Materials Inc. Дата публикации: 2015-05-05.

Method of forming a self-aligned finfet structure

Номер патента: TWI343633B. Автор: Tzung Han Lee,Chih Hao Cheng. Владелец: Nanya Technology Corp. Дата публикации: 2011-06-11.

Method of making a self aligned ion implanted gate and guard ring structure for use in a sit

Номер патента: US20070281406A1. Автор: Li-Shu Chen. Владелец: Individual. Дата публикации: 2007-12-06.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US20190304855A1. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2019-10-03.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US20190333830A1. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2019-10-31.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US10847433B2. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2020-11-24.

High density MOSFET array with self-aligned contacts enhancement plug and method

Номер патента: US09401409B2. Автор: Hong Chang,Yeeheng Lee,Jongoh Kim. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2016-07-26.

High band-gap devices with self-aligned contact

Номер патента: US20240322006A1. Автор: Dong Seup Lee,Jungwoo Joh. Владелец: Texas Instruments Inc. Дата публикации: 2024-09-26.

High band-gap devices with self-aligned contact

Номер патента: WO2024206206A1. Автор: Dong Seup Lee,Jungwoo Joh. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2024-10-03.

Process for fabricating a self-aligned bipolar transistor

Номер патента: US4698127A. Автор: Hiroshi Goto,Osamu Hideshima. Владелец: Fujitsu Ltd. Дата публикации: 1987-10-06.

Improved case member for a light activated semiconductor device

Номер патента: US3585454A. Автор: John S Roberts. Владелец: Westinghouse Electric Corp. Дата публикации: 1971-06-15.

Case for a plurality of semiconductor devices

Номер патента: GB1397713A. Автор: . Владелец: Thomson CSF SA. Дата публикации: 1975-06-18.

Process for making a self-aligned waveguide

Номер патента: US10312568B2. Автор: David P. Pappas. Владелец: US Department of Commerce. Дата публикации: 2019-06-04.

Process for making a self-aligned waveguide

Номер патента: US20190051966A1. Автор: XIAN Wu,Mustafa Bal,David P. Pappas. Владелец: US Department of Commerce. Дата публикации: 2019-02-14.

Method of making scalable tunnel oxide window with no isolation edges

Номер патента: US5904524A. Автор: Richard G. Smolen. Владелец: Altera Corp. Дата публикации: 1999-05-18.

Method of forming a self aligned floating gate in flash memory cell

Номер патента: KR100406179B1. Автор: 정철모,손평근. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-11-17.

Method of forming a self-aligned floating gate in flash memory cell

Номер патента: TW200408054A. Автор: Cheol-Mo Jeong,Pyeng-Geun Sohn. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-05-16.

Method of manufacturing ferroelectric memory device

Номер патента: US20020098599A1. Автор: Takashi Nakamura,Hidemi Takasu. Владелец: Individual. Дата публикации: 2002-07-25.

Method for manufacturing a semiconductor device having more than two conductive layers

Номер патента: US4935378A. Автор: Seiichi Mori. Владелец: Toshiba Corp. Дата публикации: 1990-06-19.

Method of making a self-aligned recessed container cell capacitor

Номер патента: US6258660B1. Автор: Karl M. Robinson,Michael A. Walker. Владелец: Micron Technology Inc. Дата публикации: 2001-07-10.

VCSEL having a self-aligned heat sink and method of making

Номер патента: US5654228A. Автор: Chan-Long Shieh,Michael S. Lebby,John Lungo. Владелец: Motorola Inc. Дата публикации: 1997-08-05.

Local oscillator distribution for a millimeter wave semiconductor device

Номер патента: US20200195195A1. Автор: Abdellatif Bellaouar,Arul Balasubramaniyan. Владелец: Globalfoundries Inc. Дата публикации: 2020-06-18.

Local oscillator distribution for a millimeter wave semiconductor device

Номер патента: US20190190446A1. Автор: Abdellatif Bellaouar,Arul Balasubramaniyan. Владелец: Globalfoundries Inc. Дата публикации: 2019-06-20.

Semiconductor device with self-aligned waveguide and method therefor

Номер патента: US20240332206A1. Автор: Michael B. Vincent,Scott M. Hayes,Antonius Hendrikus Jozef Kamphuis. Владелец: NXP USA Inc. Дата публикации: 2024-10-03.

Method of fabricating self-aligned silicon carbide semiconductor devices

Номер патента: US7508000B2. Автор: Bart J. Van Zeghbroeck,John T. Torvik. Владелец: Microsemi Corp. Дата публикации: 2009-03-24.

Method for Forming a Semiconductor Device and a Semiconductor Device

Номер патента: US20170229539A1. Автор: Hans-Joachim Schulze,Philipp Seng. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-08-10.

Method for forming a semiconductor device and a semiconductor device

Номер патента: US09911808B2. Автор: Hans-Joachim Schulze,Philipp Seng. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-03-06.

Floating gate memory device and method of manufacturing the same

Номер патента: US20040229432A1. Автор: Sang-Hoon Lee,Hun-Hyeoung Leam,Young-Sub You. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-11-18.

Floating gate memory device and method of manufacturing the same

Номер патента: US7041558B2. Автор: Sang-Hoon Lee,Hun-Hyeoung Leam,Young-Sub You. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-05-09.

Method of forming self-aligned silicide layers on semiconductor devices

Номер патента: US20020182860A1. Автор: Jerry Lin,Cheng-Kuo Yuan,Chi-Wei Chou. Владелец: SILICON INTEGRATED SYSTEMS CORP. Дата публикации: 2002-12-05.

Method of manufacturing a semiconductor device

Номер патента: US6461796B1. Автор: Tatsuya Kunikiyo. Владелец: Mitsubishi Electric Corp. Дата публикации: 2002-10-08.

Semiconductor device and manufacturing method of the semiconductor device

Номер патента: US7968396B2. Автор: Nobuyuki Mise,Shinji Migita,Yukimune Watanabe. Владелец: Seiko Epson Corp. Дата публикации: 2011-06-28.

Semiconductor device and manufacturing method of the semiconductor device

Номер патента: US7645655B2. Автор: Nobuyuki Mise,Shinji Migita,Yukimune Watanabe. Владелец: Renesas Technology Corp. Дата публикации: 2010-01-12.

Manufacturing method of a semiconductor device

Номер патента: US12051745B2. Автор: WEI Liu,Zhenyi Xu,Zhendong MAO,Yi Gong. Владелец: Suzhou Oriental Semiconductor Co Ltd. Дата публикации: 2024-07-30.

Manufacturing method of a semiconductor device

Номер патента: US20230268432A1. Автор: WEI Liu,Zhenyi Xu,Zhendong MAO,Yi Gong. Владелец: Suzhou Oriental Semiconductor Co Ltd. Дата публикации: 2023-08-24.

Method for forming semiconductor device structure

Номер патента: US09786754B1. Автор: Chih-Ping Lin,Chung-Yeh Lee. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2017-10-10.

Method for fabricating semiconductor device

Номер патента: US20090170301A1. Автор: Kyung-Doo Kang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-07-02.

Semiconductor device and method of manufacturing semiconductor device

Номер патента: US09543217B2. Автор: Yoshiaki Toyoda,Hideaki KATAKURA,Takatoshi OOE. Владелец: Fuji Electric Co Ltd. Дата публикации: 2017-01-10.

Semiconductor device manufacturing method and semiconductor device

Номер патента: US20070120185A1. Автор: Toshiaki Komukai,Hideaki Harakawa. Владелец: Individual. Дата публикации: 2007-05-31.

Self-aligned dynamic pattern generator device and method of fabrication

Номер патента: US09536706B2. Автор: Michael Pedersen,Michael A. Huff. Владелец: Corp for National Research Initiatives. Дата публикации: 2017-01-03.

Gettering regions and methods of forming gettering regions within a semiconductor wafer

Номер патента: US20020022346A1. Автор: Fernando Gonzalez,Jeffrey Honeycutt. Владелец: Individual. Дата публикации: 2002-02-21.

Method for forming a semiconductor device

Номер патента: US20220068723A1. Автор: TAO Hu,Wen Yi Tan,Jinjian Ouyang,Xiao Dong Shi. Владелец: United Semiconductor Xiamen Co Ltd. Дата публикации: 2022-03-03.

Methods of treating dielectric materials with oxygen, and methods of forming capacitor constructions

Номер патента: US20030049944A1. Автор: Gurtej Sandhu,Trung Doan. Владелец: Individual. Дата публикации: 2003-03-13.

Method of forming a selective spacer in a semiconductor device

Номер патента: US20080157131A1. Автор: Jack T. Kavalieros,Uday Shah,Willy Rachmady,Rajwinder Singh. Владелец: Intel Corp. Дата публикации: 2008-07-03.

Semiconductor device with self-aligned waveguide and method therefor

Номер патента: US12033950B2. Автор: Michael B. Vincent,Scott M. Hayes,Antonius Hendrikus Jozef Kamphuis. Владелец: NXP USA Inc. Дата публикации: 2024-07-09.

Methods of treating dielectric materials

Номер патента: US20030045130A1. Автор: Gurtej Sandhu,Trung Doan. Владелец: Individual. Дата публикации: 2003-03-06.

Methods of forming capacitor constructions

Номер патента: US20030045129A1. Автор: Gurtej Sandhu,Trung Doan. Владелец: Individual. Дата публикации: 2003-03-06.

Methods of Forming Capacitor Constructions

Номер патента: US20030134439A1. Автор: Gurtej Sandhu,Trung Doan. Владелец: Individual. Дата публикации: 2003-07-17.

Method of forming capacitor constructions

Номер патента: US20030129773A1. Автор: Gurtej Sandhu,Trung Doan. Владелец: Individual. Дата публикации: 2003-07-10.

Method of manufacturing semiconductor device by plasma treatment and heat treatment, and semiconductor device

Номер патента: US09966447B2. Автор: Junya Nishii. Владелец: Toyoda Gosei Co Ltd. Дата публикации: 2018-05-08.

Method of fabricating thin film transistor structure

Номер патента: US09935182B2. Автор: Wen Shi. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-04-03.

Methods of fabricating self-aligned FETS using multiple sidewall spacers

Номер патента: US09449833B1. Автор: Keisuke Shinohara,Miroslav Micovic,Yan Tang,Dean C. Regan. Владелец: HRL LABORATORIES LLC. Дата публикации: 2016-09-20.

Semiconductor device with self-aligned waveguide and method therefor

Номер патента: US20230017646A1. Автор: Michael B. Vincent,Scott M. Hayes,Antonius Hendrikus Jozef Kamphuis. Владелец: NXP USA Inc. Дата публикации: 2023-01-19.

Self aligned CCD element including two levels of electrodes and method of manufacture therefor

Номер патента: US3931674A. Автор: Gilbert F. Amelio. Владелец: Fairchild Camera and Instrument Corp. Дата публикации: 1976-01-13.

Methods of manufacturing semiconductor device having a blocking insulation layer

Номер патента: US09960046B2. Автор: Jung Ho Kim,Jaeyoung Ahn,Dongchul Yoo,Bio Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-05-01.

Method of forming a bipolar transistor

Номер патента: US5320972A. Автор: Ian W. Wylie. Владелец: Northern Telecom Ltd. Дата публикации: 1994-06-14.

Method of fabricating self-aligned silicon carbide semiconductor devices

Номер патента: US20050260821A1. Автор: Bart Van Zeghbroeck,John Torvik. Владелец: Individual. Дата публикации: 2005-11-24.

Self-aligned short-channel electronic devices and fabrication methods of same

Номер патента: US20200395473A1. Автор: Mark C. Hersam,Vinod K. Sangwan,Megan E. BECK. Владелец: Northwestern University. Дата публикации: 2020-12-17.

Semiconductor Device and Manufacturing Method Thereof

Номер патента: US20110263087A1. Автор: Yoshinobu Asami. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2011-10-27.

Umosfet device and method of making the same

Номер патента: WO2003030267A2. Автор: Theodore J. Letavic. Владелец: KONINKLIJKE PHILIPS ELECTRONICS N.V.. Дата публикации: 2003-04-10.

Method of fabricating a bipolar junction transistor

Номер патента: US20060099757A1. Автор: Ching-Hung Kao. Владелец: United Microelectronics Corp. Дата публикации: 2006-05-11.

Umosfet device and method of making the same

Номер патента: EP1438751A2. Автор: Theodore J. Letavic. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2004-07-21.

Umosfet device and method of making the same

Номер патента: WO2003030267A3. Автор: Theodore J Letavic. Владелец: Koninkl Philips Electronics Nv. Дата публикации: 2003-10-02.

Method of fabricating semiconductor device

Номер патента: US6194298B1. Автор: Ming-Shing Chen,Akira Mao. Владелец: United Semiconductor Corp. Дата публикации: 2001-02-27.

Process for producing semiconductor device

Номер патента: US5147810A. Автор: Kenichi Suzuki. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 1992-09-15.

Method of forming a self-aligned silicide layer in a semiconductor device

Номер патента: KR100508080B1. Автор: 김한성,김호식,우성오. Владелец: 삼성전자주식회사. Дата публикации: 2005-10-26.

Nonvolatile Memory Device and Method of Manufacturing the same

Номер патента: US20100072560A1. Автор: Hee Youl Lee,Jae Yoon Noh. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-03-25.

Combined SADP fins for semiconductor devices and methods of making the same

Номер патента: US09691775B1. Автор: Guillaume Bouche,Nicholas Vincent LICAUSI,Eric Scott Kozarsky. Владелец: Globalfoundries Inc. Дата публикации: 2017-06-27.

Semiconductor device and manufacturing method of the same

Номер патента: US20020195618A1. Автор: Mizuhisa Nihei,Yuu Watanabe. Владелец: Fujitsu Ltd. Дата публикации: 2002-12-26.

Self-aligned dynamic pattern generator device and method of fabrication

Номер патента: US20160233054A1. Автор: Michael Pedersen,Michael A. Huff. Владелец: Corp for National Research Initiatives. Дата публикации: 2016-08-11.

Self-aligned masks and methods of use

Номер патента: US20150054135A1. Автор: Paul S. Ho,Zhuojie Wu. Владелец: University of Texas System. Дата публикации: 2015-02-26.

Self-aligned dynamic pattern generator device and method of fabrication

Номер патента: US9312103B2. Автор: Michael Pedersen,Michael A. Huff. Владелец: Corp for National Research Initiatives. Дата публикации: 2016-04-12.

Self-aligned liner method of avoiding pl gate damage

Номер патента: US20150228661A1. Автор: Hong-Ji Lee,Zusing Yang,Fang-Hao Hsu. Владелец: Macronix International Co Ltd. Дата публикации: 2015-08-13.

Manufacturing method of semiconductor power device

Номер патента: US20230268420A1. Автор: WEI Liu,XIN Wang,Zhenyi Xu,Zhendong MAO. Владелец: Suzhou Oriental Semiconductor Co Ltd. Дата публикации: 2023-08-24.

Manufacturing method of semiconductor super-junction device

Номер патента: US20230246066A1. Автор: WEI Liu,LEI Liu,Rui Wang,Yuanlin Yuan. Владелец: Suzhou Oriental Semiconductor Co Ltd. Дата публикации: 2023-08-03.

Manufacturing method of semiconductor super-junction device

Номер патента: US11973107B2. Автор: WEI Liu,LEI Liu,Rui Wang,Yuanlin Yuan. Владелец: Suzhou Oriental Semiconductor Co Ltd. Дата публикации: 2024-04-30.

Semiconductor device and method for fabricating the same

Номер патента: US20070052038A1. Автор: Hitoshi Asada. Владелец: Fujitsu Ltd. Дата публикации: 2007-03-08.

Manufacturing method of semiconductor power device

Номер патента: US12015078B2. Автор: WEI Liu,XIN Wang,Zhenyi Xu,Zhendong MAO. Владелец: Suzhou Oriental Semiconductor Co Ltd. Дата публикации: 2024-06-18.

Lateral transistor structure having self-aligned base and base contact and method of fabrication

Номер патента: CA1179786A. Автор: Madhukar B. Vora. Владелец: Fairchild Camera and Instrument Corp. Дата публикации: 1984-12-18.

Package substrate for a semiconductor device

Номер патента: US20240071880A1. Автор: Chin Hui Chong,Hong Wan Ng,Seng Kim Ye,Kelvin Tan Aik Boo. Владелец: Micron Technology Inc. Дата публикации: 2024-02-29.

Method for fabricating a semiconductor device having a device isolation trench

Номер патента: US8338246B2. Автор: Song Hyeuk Im. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-12-25.

Semiconductor device having a device isolation trench

Номер патента: US20070264789A1. Автор: Song Hyeuk Im. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-11-15.

Methods of forming semiconductor devices using semi-bidirectional patterning and islands

Номер патента: US09865473B1. Автор: Atsushi Ogino. Владелец: Globalfoundries Inc. Дата публикации: 2018-01-09.

Methods of forming semiconductor devices using semi-bidirectional patterning

Номер патента: US09748251B1. Автор: Atsushi Ogino. Владелец: Globalfoundries Inc. Дата публикации: 2017-08-29.

Fabrication method of power semiconductor structure with reduced gate impedance

Номер патента: US20120045877A1. Автор: Hsiu Wen Hsu. Владелец: Great Power Semiconductor Corp. Дата публикации: 2012-02-23.

Semiconductor integrated circuit device, and method of manufacturing the same

Номер патента: US20020140048A1. Автор: Keiichi Yamada. Владелец: Mitsubishi Electric Corp. Дата публикации: 2002-10-03.

Devices and methods of forming SADP on SRAM and SAQP on logic

Номер патента: US09761452B1. Автор: Jinping Liu,Daniel Jaeger,Jiehui SHU,Garo Jacques DERDERIAN,Haifeng Sheng. Владелец: Globalfoundries Inc. Дата публикации: 2017-09-12.

Manufacturing method for semiconductor device

Номер патента: US7253044B2. Автор: Shinya Sasagawa,Shigeharu Monoe,Takashi Yokoshima. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2007-08-07.

Method of automatically setting purge mode of stb and system for manufacturing semiconductor wafer

Номер патента: US20230402296A1. Автор: Young Woo Kim. Владелец: Semes Co Ltd. Дата публикации: 2023-12-14.

Self-aligned laterally extended strap for a dynamic random access memory cell

Номер патента: US09461050B2. Автор: Dan M. Mocuta,Byeong Y. Kim. Владелец: Globalfoundries Inc. Дата публикации: 2016-10-04.

Method of fabricating a submicron silicon gate mosfet which has a self-aligned threshold implant

Номер патента: GB2227880B. Автор: John E Berg. Владелец: Standard Microsystems LLC. Дата публикации: 1993-03-31.

Method of fabricating a submicron silicon gate mosfet which has a self-aligned threshold implant

Номер патента: GB8923884D0. Автор: . Владелец: Standard Microsystems LLC. Дата публикации: 1989-12-13.

Method of manufacturing field-effect transistors with self-aligned grid and transistors thus obtained

Номер патента: US4429452A. Автор: Didier Meignant. Владелец: US Philips Corp. Дата публикации: 1984-02-07.

Method of forming a self-aligned, selectively etched, double recess high electron mobility transistor

Номер патента: US6838325B2. Автор: Colin S. Whelan,Elsa K. Tong. Владелец: Raytheon Co. Дата публикации: 2005-01-04.

Method of fabricating MNOS transistors having implanted channels

Номер патента: US4196507A. Автор: Burchell B. Baptiste. Владелец: RCA Corp. Дата публикации: 1980-04-08.

Method of forming solder bump terminals on semiconductor elements

Номер патента: US4273859A. Автор: Arthur H. Mones,Jack A. Sartell,Vahram S. Kardashian. Владелец: Honeywell Information Systems Inc. Дата публикации: 1981-06-16.

Methods of forming programmable memory devices

Номер патента: US20060252207A1. Автор: Kevin Beaman,Ronald Weimer. Владелец: Individual. Дата публикации: 2006-11-09.

Method of defining a conductive layer

Номер патента: US6162731A. Автор: Kuan-Yang Liao. Владелец: United Silicon Inc. Дата публикации: 2000-12-19.

Semiconductor device and method for manufacturing the same

Номер патента: US20230268349A1. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2023-08-24.

METHODS FOR FORMING A SELF-ALIGNED CONTACT VIA SELECTIVE LATERAL ETCH

Номер патента: US20160133480A1. Автор: KO Jungmin,Kang Sean. Владелец: . Дата публикации: 2016-05-12.

METHODS, APPARATUS AND SYSTEM FOR A SELF-ALIGNED GATE CUT ON A SEMICONDUCTOR DEVICE

Номер патента: US20200185509A1. Автор: Xie Ruilong,Economikos Laertis,Zang Hui. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2020-06-11.

METHODS, APPARATUS AND SYSTEM FOR A SELF-ALIGNED GATE CUT ON A SEMICONDUCTOR DEVICE

Номер патента: US20190319112A1. Автор: Xie Ruilong,Economikos Laertis,Zang Hui. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2019-10-17.

Method for fabricating a semiconductor component having a t-shaped contact electrode

Номер патента: CA2433734C. Автор: Dag Behammer. Владелец: UNITED MONOLITHIC SEMICONDUCTORS GMBH. Дата публикации: 2012-08-14.

A method for manufacturing a semiconductor super-junction device

Номер патента: US20230052416A1. Автор: WEI Liu,Zhenyi Xu,Yuanlin Yuan,Yi Gong. Владелец: Suzhou Oriental Semiconductor Co Ltd. Дата публикации: 2023-02-16.

Method for manufacturing a semiconductor super-junction device

Номер патента: US11626480B2. Автор: WEI Liu,Zhenyi Xu,Yuanlin Yuan,Yi Gong. Владелец: Suzhou Oriental Semiconductor Co Ltd. Дата публикации: 2023-04-11.

Semiconductor device and method for manufacturing the same

Номер патента: US09419146B2. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2016-08-16.

Method of fabricating semiconductor integrated circuit devices including updiffusion to selectively dope a silicon layer

Номер патента: US5017507A. Автор: Hideyuki Miyazawa. Владелец: HITACHI LTD. Дата публикации: 1991-05-21.

Semiconductor device and method for producing same

Номер патента: US11804524B2. Автор: Munaf Rahimo,Iulian NISTOR. Владелец: MQSemi AG. Дата публикации: 2023-10-31.

Semiconductor device and method for producing same

Номер патента: US20240063267A1. Автор: Munaf Rahimo,Iulian NISTOR. Владелец: MQSemi AG. Дата публикации: 2024-02-22.

Method and structure for improved floating gate oxide integrity in floating gate semiconductor devices

Номер патента: US20120270387A1. Автор: Yihguei Wey. Владелец: WaferTech LLC. Дата публикации: 2012-10-25.

Semiconductor device and a method for manufacturing such semiconductor device

Номер патента: US20240145354A1. Автор: Ricardo Yandoc,Antonio Dimaano,Arnel Taduran,Homer Malveda. Владелец: Nexperia BV. Дата публикации: 2024-05-02.

Method of manufacturing semiconductor devices, corresponding substrate and semiconductor device

Номер патента: EP4451327A1. Автор: Mauro Mazzola. Владелец: STMicroelectronics International NV. Дата публикации: 2024-10-23.

SEMICONDUCTOR DEVICES HAVING SELF-ALIGNED CONTACT PADS AND METHODS OF MANUFACTURING THE SAME

Номер патента: US20150311276A1. Автор: Kim Young-Kuk,Im Ki-vin,LIM Han-jin,Hwang In-seak. Владелец: . Дата публикации: 2015-10-29.

Method of making low leakage N-channel SOS transistors utilizing positive photoresist masking techniques

Номер патента: US4393572A. Автор: Steven G. Policastro,Dae-Shik Woo. Владелец: RCA Corp. Дата публикации: 1983-07-19.

Method of fabricating a self-aligned split gate flash memory cell

Номер патента: US6562673B2. Автор: Chi-Hui Lin. Владелец: Nanya Technology Corp. Дата публикации: 2003-05-13.

A method of fabricating cmos devices with ultra-shallow junctions and reduced drain area

Номер патента: EP1008174A1. Автор: Ognjen Milic-Strkalj. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-06-14.

Esd diode, method of manufacturing the diode and esd circuit comprising the diode

Номер патента: WO2017184695A1. Автор: Haining Yang,Yanxiang Liu,Junjing Bao. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2017-10-26.

Method of fabricating self aligned schottky junctions for semiconductors devices

Номер патента: EP1958244A1. Автор: Markus Müller. Владелец: NXP BV. Дата публикации: 2008-08-20.

Semiconductor device

Номер патента: US20080029802A1. Автор: Ching-Hung Kao. Владелец: United Microelectronics Corp. Дата публикации: 2008-02-07.

Quantum conveyor and methods of producing a quantum conveyor

Номер патента: US12029142B2. Автор: Wolfram Langheinrich,Claus Dahl. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2024-07-02.

Resistor disposed directly upon a sac cap of a gate structure of a semiconductor structure

Номер патента: US09876010B1. Автор: Hui Zang,Jerome Ciavatti,Jagar Singh. Владелец: Globalfoundries Inc. Дата публикации: 2018-01-23.

Optoelectronic device and method of manufacture thereof

Номер патента: US20040217365A1. Автор: Abdallah Ougazzaden,Charles Lentz,Padman Parayanthal,George Przybylek,Bettina Nechay. Владелец: Agere Systems LLC. Дата публикации: 2004-11-04.

Method of making a semiconductor device

Номер патента: US11990474B2. Автор: Chi-Feng Huang,Shu Fang Fu,Chia-Chung Chen,Victor Chiang Liang,Fu-Huan TSAI. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-21.

Semiconductor device with pad contact feature and method therefor

Номер патента: US20240282726A1. Автор: Trent Uehling. Владелец: Inc NXP USA Inc. Дата публикации: 2024-08-22.

Split-gate lateral diffused metal oxide semiconductor device

Номер патента: US20140061790A1. Автор: Shyi-Yuan Wu,Wing-Chor CHAN,Chien-Wen Chu. Владелец: Macronix International Co Ltd. Дата публикации: 2014-03-06.

Method of making improved aluminum metallization in self-aligned polysilicon gate technology

Номер патента: US4514233A. Автор: Katsuhiro Kawabuchi. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1985-04-30.

Esd diode, method of manufacturing the diode and esd circuit comprising the diode

Номер патента: EP3446332A1. Автор: Haining Yang,Yanxiang Liu,Junjing Bao. Владелец: Qualcomm Inc. Дата публикации: 2019-02-27.

Method of manufacturing magnetoresistive element(s)

Номер патента: US09666793B2. Автор: Yimin Guo. Владелец: T3memory Usa Inc A California Us Corp. Дата публикации: 2017-05-30.

Jfet structure and manufacturing method of the same

Номер патента: US20180069134A1. Автор: Chih-Chang CHENG,Fu-Yu Chu,Ruey-Hsin Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-08.

Semiconductor device with optical and electrical vias

Номер патента: US09995894B2. Автор: Jochen Kraft,Jordi Teva,Karl Rohracher. Владелец: ams AG. Дата публикации: 2018-06-12.

Liquid crystal display device and method of manufacturing the same

Номер патента: US09659965B2. Автор: Ohjeong Kwon,Kichul Shin,Heungshik Park,Jihong BAE. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-05-23.

Optical semiconductor element and manufacturing method of the same

Номер патента: US8822247B2. Автор: Tatsuma Saito. Владелец: Stanley Electric Co Ltd. Дата публикации: 2014-09-02.

Method of manufacturing thin film transistor

Номер патента: US8373173B2. Автор: Hisashi Ohtani. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2013-02-12.

Method of fabricating semiconductor devices

Номер патента: US20080017933A1. Автор: Ching-Hung Kao. Владелец: United Microelectronics Corp. Дата публикации: 2008-01-24.

Solid-state imaging device and method of manufacturing the same

Номер патента: US09876041B2. Автор: Hirofumi Yamashita. Владелец: Toshiba Corp. Дата публикации: 2018-01-23.

Method of using a sacrificial gate structure to make a metal gate FinFET transistor

Номер патента: US09548361B1. Автор: Pierre Morin,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2017-01-17.

Quantum well structure with self-aligned gate and method of making the same

Номер патента: US5548129A. Автор: Randall L. Kubena. Владелец: Hughes Aircraft Co. Дата публикации: 1996-08-20.

Fabrication method of self-aligned trenched power semiconductor structure

Номер патента: US20110306194A1. Автор: Chun Ying Yeh. Владелец: Great Power Semiconductor Corp. Дата публикации: 2011-12-15.

Method of forming a fine pattern

Номер патента: US20160138169A1. Автор: LEI Xie,Jung-Ha Son,Yun-Jong YEO,Joo-hyung Lee,Yu-gwang Jeong,Su-Bin BAE. Владелец: Samsung Display Co Ltd. Дата публикации: 2016-05-19.

Vertical power MOS device with increased ruggedness and method of fabrication

Номер патента: US5374571A. Автор: Satyendranath Mukherjee,Manjin J. Kim. Владелец: North American Philips Corp. Дата публикации: 1994-12-20.

Self-alignment scheme for a heterojunction bipolar transistor

Номер патента: US20090140297A1. Автор: Anna Topol,Francois Pagette. Владелец: International Business Machines Corp. Дата публикации: 2009-06-04.

Non-volatile memory device and method of fabricating the same

Номер патента: US20060234457A1. Автор: Tae-Kwang Yoo. Владелец: Individual. Дата публикации: 2006-10-19.

Non-volatile memory device and method of fabricating the same

Номер патента: US7344949B2. Автор: Tae-Kwang Yoo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-03-18.

Light-emitting element with first and second light transmissive electrodes and method of manufacturing the same

Номер патента: US09941446B2. Автор: Shun KITAHAMA. Владелец: Nichia Corp. Дата публикации: 2018-04-10.

Quantum Conveyor and Methods of Producing a Quantum Conveyor

Номер патента: US20230232725A1. Автор: Wolfram Langheinrich,Claus Dahl. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2023-07-20.

Imager with recessed color filter array and method of forming the same

Номер патента: WO2008027391A1. Автор: Salman Akram. Владелец: APTINA IMAGING CORPORATION. Дата публикации: 2008-03-06.

Imager with recessed color filter array and method of forming the same

Номер патента: EP2062298A1. Автор: Salman Akram. Владелец: Micron Technology Inc. Дата публикации: 2009-05-27.

Method of fabricating self-aligned zener diode

Номер патента: US4835111A. Автор: Philip Shiota,James R. Wright. Владелец: Teledyne Industries Inc. Дата публикации: 1989-05-30.

Semiconductor device and its manufacturing method, and display device and electronic appliance

Номер патента: US20070138477A1. Автор: Tatsuya Honda. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2007-06-21.

Manufacturing method of semiconductor integrated circuit device

Номер патента: US8633038B2. Автор: Hideo Kawano,Haruko Tamegai,Tooru YASHIMA. Владелец: Renesas Electronics Corp. Дата публикации: 2014-01-21.

Tunneling field effect transistor and method of fabricating the same

Номер патента: US10475892B2. Автор: Chun-Hsien Lin,An-Chi Liu. Владелец: United Microelectronics Corp. Дата публикации: 2019-11-12.

Micropad formation for a semiconductor

Номер патента: WO2009088659A2. Автор: Varughese Mathew,Sam S. Garcia,Ritwik Chatterjee,Eddie Acosta. Владелец: Freescale Semiconductor Inc.. Дата публикации: 2009-07-16.

Method of manufacturing flash memory device

Номер патента: US20060237772A1. Автор: Hee Gee Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-10-26.

Gate alignment procedure in fabricating semiconductor devices

Номер патента: US4821094A. Автор: Eldon Okazaki,Howard L. Petersen. Владелец: Lockheed Missiles and Space Co Inc. Дата публикации: 1989-04-11.

Redistribution layer (rdl) structure and method of manufacturing the same

Номер патента: US20200251434A1. Автор: Chun-Hung Lin,Hsin-Hung Chou,Yen-Jui Chu,Jin-Neng Wu. Владелец: Winbond Electronics Corp. Дата публикации: 2020-08-06.

Radiation detectors and methods of fabricating radiation detectors

Номер патента: US09634055B2. Автор: Arie Shahar,Peter Rusian. Владелец: General Electric Co. Дата публикации: 2017-04-25.

Integrated Circuits and Methods of Design and Manufacture Thereof

Номер патента: US20100276759A1. Автор: Henning Haffner,Manfred Eller,Richard Lindsay. Владелец: Individual. Дата публикации: 2010-11-04.

Integrated circuits and methods of design and manufacture thereof

Номер патента: US09767244B2. Автор: Henning Haffner,Manfred Eller,Richard Lindsay. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-09-19.

Semiconductor device having an integral resistance element

Номер патента: US6894365B2. Автор: Yoshinori Ueda. Владелец: Ricoh Co Ltd. Дата публикации: 2005-05-17.

JFET structure and manufacturing method of the same

Номер патента: US9917212B1. Автор: Chih-Chang CHENG,Fu-Yu Chu,Ruey-Hsin Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-13.

Memory device and method of manufacturing the same

Номер патента: US20240244838A1. Автор: Hsiu-Han Liao,Yao-Ting Tsai,Che-Fu Chuang. Владелец: Winbond Electronics Corp. Дата публикации: 2024-07-18.

Method of fabricating a self-aligned bipolar junction transistor in silicon carbide and resulting devices

Номер патента: TW473844B. Автор: Ranbir Singh,Sei-Hyung Ryu,Anant K Agarwal. Владелец: Cree Inc. Дата публикации: 2002-01-21.

Method of making a self-aligned mesfet using a substitutional gate with sidewalls and lift-off

Номер патента: GB2199445A. Автор: Siang Ping Kwok. Владелец: Ford Microelectronics Inc. Дата публикации: 1988-07-06.

Method of making a self-aligned mesfet using a substitutional gate with sidewalls and lift-off

Номер патента: GB2199445B. Автор: Siang Ping Kwok. Владелец: Ford Microelectronics Inc. Дата публикации: 1990-04-04.

Method of fabricating a MOS transistor using a self-aligned silicide technique

Номер патента: TW501236B. Автор: Hyung-Shin Kwon,Do-hyeong Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-09-01.

Method of forming a self aligned source in a flash EEPROM cell

Номер патента: KR100602327B1. Автор: 김종우,김기준,신영기,박병수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-07-14.

Method of making a thin film semiconductor device

Номер патента: US5897344A. Автор: Satoshi Teramoto,Yasuhiko Takemura,Hongyong Zhang. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 1999-04-27.

Memory structure and method of forming the same

Номер патента: US11844224B2. Автор: Feng-Cheng Yang,Meng-Han LIN,Han-Wei Wu,Shih-Hsuan Chien. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-12.

Photodiode with self-aligned implants for high quantum efficiency and method of formation

Номер патента: US20070155040A1. Автор: Howard Rhodes,Chandra Mouli. Владелец: Individual. Дата публикации: 2007-07-05.

Memory structure and method of forming the same

Номер патента: US20240023339A1. Автор: Feng-Cheng Yang,Meng-Han LIN,Han-Wei Wu,Shih-Hsuan Chien. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-18.

Linear MRAM device with a self-aligned bottom contact

Номер патента: US09553257B1. Автор: Michael C. Gaidis,Rohit Kilaru,Anthony J. Annunziata. Владелец: International Business Machines Corp. Дата публикации: 2017-01-24.

Linear MRAM device with a self-aligned bottom contact

Номер патента: US09553128B1. Автор: Michael C. Gaidis,Rohit Kilaru,Anthony J. Annunziata. Владелец: International Business Machines Corp. Дата публикации: 2017-01-24.

Method of manufacturing an emitter base self alignment structure

Номер патента: US5376563A. Автор: William P. Imhauser. Владелец: SGS Thomson Microelectronics Inc. Дата публикации: 1994-12-27.

Method of producing VDMOS device of increased power density

Номер патента: US5405794A. Автор: Manjin J. Kim. Владелец: Philips Electronics North America Corp. Дата публикации: 1995-04-11.

Process to Allow Electrical and Mechanical Connection of an Electrical Device with a Face Equipped with Contact Pads

Номер патента: US20100157555A1. Автор: Beatrice Bonvalot. Владелец: GEMALTO SA. Дата публикации: 2010-06-24.

EMBEDDED BOTTOM METAL CONTACT FORMED BY A SELF-ALIGNED CONTACT PROCESS FOR VERTICAL TRANSISTORS

Номер патента: US20180337257A1. Автор: Yamashita Tenko,Wu Heng,Fan Su Chen,Liu Zuoguang. Владелец: . Дата публикации: 2018-11-22.

EMBEDDED BOTTOM METAL CONTACT FORMED BY A SELF-ALIGNED CONTACT PROCESS FOR VERTICAL TRANSISTORS

Номер патента: US20180337260A1. Автор: Yamashita Tenko,Wu Heng,Fan Su Chen,Liu Zuoguang. Владелец: . Дата публикации: 2018-11-22.

Electronic device and method of making thereof

Номер патента: US20180033846A1. Автор: Douglas R. Dykaar. Владелец: DIFTEK LASERS Inc. Дата публикации: 2018-02-01.

Semiconductor device having recess and planarized layers

Номер патента: US20060115987A1. Автор: Trung Doan,Tyler Lowrey. Владелец: Lowrey Tyler A. Дата публикации: 2006-06-01.

Semiconductor device and method for producing same

Номер патента: EP3997736A1. Автор: Iulian NISTOR,Munaf T. A. RAHIMO. Владелец: MQSemi AG. Дата публикации: 2022-05-18.

Semiconductor device and method of making the same

Номер патента: US3678346A. Автор: Jack M Hirshon,Philip D Warner. Владелец: TRW Inc. Дата публикации: 1972-07-18.

Method of manufacturing photoelectric conversion device

Номер патента: US09673253B2. Автор: Nobutaka Ukigaya,Koji Hara,Takeshi Aoki,Yukinobu Suzuki. Владелец: Canon Inc. Дата публикации: 2017-06-06.

METHOD FOR FABRICATING A FINFET METALLIZATION ARCHITECTURE USING A SELF-ALIGNED CONTACT ETCH

Номер патента: US20180138308A1. Автор: Bouche Guillaume. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2018-05-17.

DIELECTRIC LINER FOR A SELF-ALIGNED CONTACT VIA STRUCTURE

Номер патента: US20150270359A1. Автор: Cheng Kangguo,Basker Veeraraghavan S.,Khakifirooz Ali. Владелец: . Дата публикации: 2015-09-24.

Memory cells having a self-aligning polarizer

Номер патента: WO2015142614A1. Автор: Wei Chen,Jonathan D. Harms,Sunil S. Murthy. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2015-09-24.

Methods of forming batteries

Номер патента: US6113658A. Автор: Richard Lane. Владелец: Micron Technology Inc. Дата публикации: 2000-09-05.

Method of forming contact bumps in contact pads

Номер патента: US4891014A. Автор: Scott S. Simpson,Bruce G. Kosa. Владелец: Rogers Corp. Дата публикации: 1990-01-02.

Semiconductor laser and method of manufacturing it

Номер патента: CA1187589A. Автор: Johannes A.C. Van Den Beemt. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1985-05-21.

Semiconductor laser device structures and methods of fabrication thereof

Номер патента: US20220311206A1. Автор: Richard D. Clayton,William A. Hagley,Lawrence E. TAROF. Владелец: Electrophotonic-Ic Inc. Дата публикации: 2022-09-29.

Method of making DRAM cell having improved radiation protection

Номер патента: US5268321A. Автор: Katsuhiro Tsukamoto,Masahiro Shimizu,Masahide Inuishi,Hiroki Shimano. Владелец: Mitsubishi Electric Corp. Дата публикации: 1993-12-07.

Semiconductor device and method of manufacturing the same

Номер патента: US20050151168A1. Автор: Takashi Kobayashi,Yoshitaka Sasago. Владелец: HITACHI LTD. Дата публикации: 2005-07-14.

Method of fabricating semiconductor device

Номер патента: US20060051908A1. Автор: Hiroaki Hazama,Kazuhito Narita,Hirohisa Iizuka,Eiji Kamiya,Norio Ohtani. Владелец: Toshiba Corp. Дата публикации: 2006-03-09.

Method of fabricating semiconductor device

Номер патента: US7297599B2. Автор: Hiroaki Hazama,Kazuhito Narita,Hirohisa Iizuka,Eiji Kamiya,Norio Ohtani. Владелец: Toshiba Corp. Дата публикации: 2007-11-20.

Method of fabricating nonvolatile semiconductor memory devices with select gates

Номер патента: US5953611A. Автор: Makoto Tanaka. Владелец: Ricoh Co Ltd. Дата публикации: 1999-09-14.

Semiconductor device and method of manufacturing the same

Номер патента: US6849502B2. Автор: Takashi Kobayashi,Yoshitaka Sasago. Владелец: HITACHI LTD. Дата публикации: 2005-02-01.

Semiconductor device

Номер патента: EP4307857A1. Автор: Junhyeok Ahn. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-01-17.

Semiconductor device

Номер патента: US20240023318A1. Автор: Junhyeok Ahn. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-01-18.

Method of forming self-aligned mask ROM

Номер патента: US6420235B1. Автор: Ling-Sung Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2002-07-16.

Memory arrays and methods of forming same

Номер патента: US20130193398A1. Автор: Fabio Pellizzer,Antonino Rigano. Владелец: Micron Technology Inc. Дата публикации: 2013-08-01.

Method of forming a self-aligned contact via for a magnetic random access memory

Номер патента: TW200727394A. Автор: Wei-Chuan Chen,Cheng-Tyng Yen,Kuei-Hung Shen. Владелец: Ind Tech Res Inst. Дата публикации: 2007-07-16.

Method of manufacturing MIM elements in liquid crystal displays

Номер патента: US4683183A. Автор: Yoichi Ono. Владелец: Seiko Epson Corp. Дата публикации: 1987-07-28.

Light emitting device and method of manufacturing the same

Номер патента: US7190335B2. Автор: Masakazu Murakami,Shunpei Yamazaki,Satoshi Seo. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2007-03-13.

Light emitting device and method of manufacturing the same

Номер патента: US20070160746A1. Автор: Masakazu Murakami,Shunpei Yamazaki,Satoshi Seo. Владелец: Individual. Дата публикации: 2007-07-12.

Method for forming a self-aligned Mach-Zehnder interferometer

Номер патента: US09696604B1. Автор: Masaki Kato,Jie Lin,Robb Johnson. Владелец: Inphi Corp. Дата публикации: 2017-07-04.

Method for forming a self-aligned pixel electrode of an lcd

Номер патента: US20040017530A1. Автор: Hsin-Ming Chen. Владелец: Toppoly Optoelectronics Corp. Дата публикации: 2004-01-29.

A self-aligning interface

Номер патента: US20210404440A1. Автор: Nicolaj Biltoft Kristensen. Владелец: Vestas Wind Systems AS. Дата публикации: 2021-12-30.

Drill with a boom arm and a self-aligning support system

Номер патента: US20190309574A1. Автор: Lynn Allan Buckner. Владелец: Individual. Дата публикации: 2019-10-10.

Apparatus and methods for monitoring self-aligned contact arrays

Номер патента: WO2003003375A3. Автор: Sanjay Tandon,Kurt H Weiner,Peter D Nunan. Владелец: KLA Tencor Corp. Дата публикации: 2003-08-21.

Apparatus and methods for monitoring self-aligned contact arrays

Номер патента: WO2003003375A2. Автор: Kurt H. Weiner,Peter D. Nunan,Sanjay Tandon. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2003-01-09.

Improvements relating to a self-aligning rolling bearing assembly

Номер патента: GB1102429A. Автор: Frank Anton Kocian. Владелец: International Harverster Corp. Дата публикации: 1968-02-07.

An inner ring for a self-aligning roller bearing

Номер патента: WO2024199666A1. Автор: Peter Frijlink,Bo Niclas Thim,Lars Eric Mikael STIGSJÖÖ,Eduardo Daniel Ortega Perdomo. Владелец: AKTIEBOLAGET SKF. Дата публикации: 2024-10-03.

Method for controlling lateral diffusion of silicon in a self-aligned tisi.sub.2 process

Номер патента: CA1231599A. Автор: Yun B. Koh. Владелец: Fairchild Camera and Instrument Corp. Дата публикации: 1988-01-19.

Method for Fabricating a Self-Aligned Vertical Comb Drive Structure

Номер патента: US20140126031A1. Автор: Han-Tang Su,Jer-Wei Hsieh. Владелец: Asia Pacific Microsystems Inc. Дата публикации: 2014-05-08.

Memory alloy wire actuator with a self-aligning terminal element

Номер патента: EP4317740A2. Автор: Frank Dean Weber,Thomas Kmetiko,Henricus Mari RUYTEN. Владелец: CareFusion 303 Inc. Дата публикации: 2024-02-07.

Memory alloy wire actuator with a self-aligning terminal element

Номер патента: EP4317740A3. Автор: Frank Dean Weber,Thomas Kmetiko,Henricus Mari RUYTEN. Владелец: CareFusion 303 Inc. Дата публикации: 2024-04-03.

A self-aligning battery changing system for electric battery-powered vehicles

Номер патента: CA2278417C. Автор: Melvin E. Scott,Timothy W. Smith,Fred D. Boyd. Владелец: DBT America Inc. Дата публикации: 2008-04-08.

Method of hot-stamping and hardening an object from a metal sheet, and a B-pillar for a vehicle

Номер патента: US09505443B2. Автор: Hans Bodin. Владелец: Gestamp Hardtech AB. Дата публикации: 2016-11-29.

A method of hot-shaping and hardening an object from a metal sheet, and a b-pillar for a vehicle

Номер патента: EP2056979A1. Автор: Hans Bodin. Владелец: Gestamp Hardtech AB. Дата публикации: 2009-05-13.

Method of designing layout of semiconductor device

Номер патента: US09811626B2. Автор: Kwangok Jeong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-11-07.

Self-aligning, double wire corner bead for fireproofing structural steel member and method of using same

Номер патента: US09540813B2. Автор: Philip Glen Miller. Владелец: Individual. Дата публикации: 2017-01-10.

Methods of generating circuit layouts using self-alligned double patterning (SADP) techniques

Номер патента: US09582629B2. Автор: LI YANG,Lei Yuan,Jongwook Kye. Владелец: Globalfoundries Inc. Дата публикации: 2017-02-28.

Liquid crystal display device and method of manufacturing the same

Номер патента: US09910318B2. Автор: Keun Chan Oh. Владелец: Samsung Display Co Ltd. Дата публикации: 2018-03-06.

Semiconductor device test apparatuses

Номер патента: US09733304B2. Автор: James M. Derderian,Jaspreet S. Gandhi,Michel Koopmans. Владелец: Micron Technology Inc. Дата публикации: 2017-08-15.

Self-aligning pipe gripping assembly and method of making and using the same

Номер патента: US09452535B2. Автор: Lawrence E. Wells,Preston R. Fox,Neil E. West. Владелец: National Oilwell Varco LP. Дата публикации: 2016-09-27.

Manufacturing method of liquid crystal display panel and liquid crystal display panel

Номер патента: US20230375871A1. Автор: Wei Cheng. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2023-11-23.

Preform, composite structure and panel, and methods of forming same

Номер патента: US12076946B2. Автор: Alan Stephen Jones,Paul George DeOliveira. Владелец: Fast Build Systems Pty Ltd. Дата публикации: 2024-09-03.

Nanogap sensors and methods of forming the same

Номер патента: US20210010997A1. Автор: Eng Huat Toh,Shyue Seng Tan,Xinshu CAI,Kiok Boone Elgin Quek. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2021-01-14.

Multi-layer high-aspect ratio x-ray grating and method of manufacture

Номер патента: CA3228784A1. Автор: Karim S. Karim,Abdollah PIL-ALI. Владелец: Individual. Дата публикации: 2023-02-23.

Multi-layer high-aspect ratio x-ray grating and method of manufacture

Номер патента: US20240353353A1. Автор: Karim S. Karim,Abdollah PIL-ALI. Владелец: Individual. Дата публикации: 2024-10-24.

Method of producing and utilizing cold fusion

Номер патента: WO1994003906A3. Автор: Homer Lowenberg,Frederick Forscher,Ronald A Brightsen,D Russell George,Eugene F Mallove. Владелец: Clustron Sciences Corp. Дата публикации: 1994-04-14.

Method of forming resist pattern

Номер патента: US4840874A. Автор: Fumiaki Shigemitsu,Tatsuo Nomaki,Kinya Usuda. Владелец: Toshiba Corp. Дата публикации: 1989-06-20.

Inkjet printing method for forming a continuous three-dimensional structure

Номер патента: US20140117584A1. Автор: Chien-Chong Hong,Tong-Miin LIOU,Guo-Shin Lu. Владелец: National Tsing Hua University NTHU. Дата публикации: 2014-05-01.

Seal means for a self-aligning bearing and method of making the same

Номер патента: US4089095A. Автор: Manfred E. Becker. Владелец: Textron Inc. Дата публикации: 1978-05-16.

Pad and method of its production

Номер патента: RU2736457C1. Автор: Томас Штрайхардт. Владелец: Фриц Эггер Гмбх Унд Ко. Ог. Дата публикации: 2020-11-17.

Seal means for a self-aligning bearing and method of making the same

Номер патента: CA1075293A. Автор: Manfred E. Becker. Владелец: Textron Inc. Дата публикации: 1980-04-08.

Self-aligning coupler for a laser endoscope

Номер патента: US5251612A. Автор: Charles C. Negus. Владелец: Laser Engr Inc. Дата публикации: 1993-10-12.

Self-aligning coupler for a laser endoscope

Номер патента: CA2121894C. Автор: Charles C. Negus. Владелец: PLC Medical System Inc. Дата публикации: 1997-01-28.

Self-aligning sealing assembly for a rotating shaft

Номер патента: EP1078180B1. Автор: John Waggott. Владелец: Dresser Rand Co. Дата публикации: 2009-03-11.

Method and apparatus for forming a self-aligning bearing

Номер патента: GB852620A. Автор: Roland Marshall Mansfield. Владелец: TEK BEARING CO. Дата публикации: 1960-10-26.

Projector having a self-aligning replaceable projection lamp mechanism

Номер патента: EP1019782A1. Автор: Ernesto M. Rodriguez, Jr.,Paul A. Muller. Владелец: Minnesota Mining and Manufacturing Co. Дата публикации: 2000-07-19.

Sample assembly for a measurement device

Номер патента: US09446411B2. Автор: Pramod Kummaya,Bala S. Manian. Владелец: Reametrix Inc. Дата публикации: 2016-09-20.

Method and apparatus for a rivet assembly

Номер патента: CA2197746C. Автор: James S. Tisol, Jr.,Richard W. Burow. Владелец: ILLINOIS TOOL WORKS INC. Дата публикации: 2000-01-04.

Self aligning inking roll for a printer

Номер патента: US5048986A. Автор: James A. Michael,Marek W. Czesnik,Anthony J. Boon. Владелец: NCR Corp. Дата публикации: 1991-09-17.

Semiconductor device with user defined operations and associated methods and systems

Номер патента: US12045129B2. Автор: Anthony D. Veches. Владелец: Lodestar Licensing Group LLC. Дата публикации: 2024-07-23.

Semiconductor device with user defined operations and associated methods and systems

Номер патента: US20240370332A1. Автор: Anthony D. Veches. Владелец: Lodestar Licensing Group LLC. Дата публикации: 2024-11-07.

Aboveground anchor support assembly for a pipeline

Номер патента: US4139142A. Автор: James A. Maple,Conrad E. Jacobson. Владелец: Exxon Production Research Co. Дата публикации: 1979-02-13.

Aboveground anchor support assembly for a pipeline

Номер патента: CA1055463A. Автор: Noyle B. Kaigler (Jr.). Владелец: Exxon Corp. Дата публикации: 1979-05-29.

Self-aligned aiming system and technique for a laser rangefinder incorporating a retroreflector

Номер патента: WO2014043113A1. Автор: Jeremy G. Dunne. Владелец: Kama-Tech (Hk) Limited. Дата публикации: 2014-03-20.

Method of Making a Flavored Banana Pudding

Номер патента: US20240225059A9. Автор: Kimberlyn Pettway. Владелец: Individual. Дата публикации: 2024-07-11.

SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120001333A1. Автор: HWANG Chang Youn. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE, METHOD OF FABRICATING THE SAME, AND SEMICONDUCTOR MODULE AND ELECTRONIC SYSTEM INCLUDING THE SEMICONDUCTOR DEVICE

Номер патента: US20120001272A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Method for Forming the Same

Номер патента: US20120001229A1. Автор: Zhu Huilong,Liang Qingqing. Владелец: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES. Дата публикации: 2012-01-05.

ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120001182A1. Автор: Choi Jong-Hyun,Lee Dae-Woo. Владелец: Samsung Mobile Display Co., Ltd.. Дата публикации: 2012-01-05.

METHOD OF REDUCING EROSION OF A METAL CAP LAYER DURING VIA PATTERNING IN SEMICONDUCTOR DEVICES

Номер патента: US20120003832A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

THIN FILM TRANSISTOR AND METHOD OF FABRICATING SAME

Номер патента: US20120001190A1. Автор: Yaneda Takeshi,Aita Tetsuya,Harumoto Yoshiyuki,Inoue Tsuyoshi,OKABE Tohru. Владелец: SHARP KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

FLEXIBLE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001173A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Methods of Forming Nonvolatile Memory Devices Using Nonselective and Selective Etching Techniques to Define Vertically Stacked Word Lines

Номер патента: US20120003831A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Method for Manufacturing the Same

Номер патента: US20120001180A1. Автор: Yoshizumi Kensuke,YOKOI Tomokazu. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME

Номер патента: US20120001255A1. Автор: PARK JIN WON. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD

Номер патента: US20120001304A1. Автор: . Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-05.

PACKAGE FOR SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING THE SAME AND SEMICONDUCTOR DEVICE

Номер патента: US20120001311A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

FLATBAND VOLTAGE ADJUSTMENT IN A SEMICONDUCTOR DEVICE

Номер патента: US20120001253A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method of using a self-alignment contact hole technique to form a semiconductor structure

Номер патента: TW544863B. Автор: Wen-Shiun Lo. Владелец: Winbond Electronics Corp. Дата публикации: 2003-08-01.

Method of fabricating a self-aligned contact opening and structure of a self-aligned contact

Номер патента: TW200512862A. Автор: Ping Hsu,Yi-Nan Chen. Владелец: Nanya Technology Corp. Дата публикации: 2005-04-01.

Method of forming a gate structure and a self-aligned contact structure

Номер патента: TW554424B. Автор: Wen-Wei Lo,Su-Chen Lai. Владелец: Promos Technologies Inc. Дата публикации: 2003-09-21.

Method of fabricating a contact and structure of a self-aligned contact

Номер патента: TWI238492B. Автор: Hsin-Tang Peng. Владелец: Promos Technologies Inc. Дата публикации: 2005-08-21.

Method for forming a self-aligned contact structure on a semiconductor substrate

Номер патента: TW469593B. Автор: Horng-Huei Tseng. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2001-12-21.

Method of fabricating a contact and structure of a self-aligned contact

Номер патента: TW200534427A. Автор: Hsin-Tang Peng. Владелец: Promos Technologies Inc. Дата публикации: 2005-10-16.

Method of fabricating a self-aligned contact opening and method of fabricating interconnects

Номер патента: TW200509299A. Автор: Shih-Fan Kuan,Kuo-Chien Wu. Владелец: Nanya Technology Corp. Дата публикации: 2005-03-01.

Method of fabricating a self-aligned contact opening and method of fabricating interconnects

Номер патента: TWI237870B. Автор: Shih-Fan Kuan,Kuo-Chien Wu. Владелец: Nanya Technology Corp. Дата публикации: 2005-08-11.

Method of fabricating a self-aligned contact opening and structure of a self-aligned contact

Номер патента: TWI227927B. Автор: Ping Hsu,Yi-Nan Chen. Владелец: Nanya Technology Corp. Дата публикации: 2005-02-11.

Method of forming a self-aligned contact opening in MOSFET

Номер патента: US20130049104A1. Автор: CHEN Chia-Hui,Yeh Teng-Hao,TAI SUNG-SHAN. Владелец: . Дата публикации: 2013-02-28.

Method of forming a self-align contact in semiconductor memory device

Номер патента: KR960006719B1. Автор: 박규찬,이우성,이예승,반천수. Владелец: 김광호. Дата публикации: 1996-05-22.

LIGNIN/POLYACRYLONITRILE-CONTAINING DOPES, FIBERS, AND METHODS OF MAKING SAME

Номер патента: US20120003471A1. Автор: . Владелец: WEYERHAEUSER NR COMPANY. Дата публикации: 2012-01-05.

A method of manufacturing a self-aligning bearing assembly

Номер патента: AU702461A. Автор: Richard Mccloskey Albert. Владелец: HEIM Co. Дата публикации: 1963-05-02.

A method of manufacturing a self-aligning bearing assembly

Номер патента: AU249646B2. Автор: Richard Mccloskey Albert. Владелец: HEIM Co. Дата публикации: 1963-05-02.

METHODS OF FORMING SELF-ALIGNED CONTACTS FOR A SEMICONDUCTOR DEVICE FORMED USING REPLACEMENT GATE TECHNIQUES

Номер патента: US20130288468A1. Автор: Chi Min-Hwa. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-10-31.

Method of Forming Self-Aligned Contacts for a Semiconductor Device

Номер патента: US20130189833A1. Автор: Baars Peter,Wei Andy,Geiss Erik,Mazur Martin. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-07-25.

METHODS OF FORMING SELF-ALIGNED CONTACTS FOR A SEMICONDUCTOR DEVICE

Номер патента: US20130288471A1. Автор: Chi Min-Hwa. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-10-31.

Process for forming a self align contact with silicon nitride sidewalls (addition one)

Номер патента: TW343379B. Автор: Iuan-Chyi Juang. Владелец: United Microelectronics Corp. Дата публикации: 1998-10-21.

METHOD FOR FORMING A SELF-ALIGNED BIT LINE FOR PCRAM AND SELF-ALIGNED ETCH BACK PROCESS

Номер патента: US20120276688A1. Автор: . Владелец: . Дата публикации: 2012-11-01.

Method of forming self-aligned contacts

Номер патента: TWI221639B. Автор: Pei-Ren Jeng. Владелец: Macronix Int Co Ltd. Дата публикации: 2004-10-01.

Method of forming self-aligned contacts

Номер патента: TW200423234A. Автор: Pei-Ren Jeng. Владелец: Macronix Int Co Ltd. Дата публикации: 2004-11-01.

Aboveground anchor support assembly for a pipeline

Номер патента: CA1061582A. Автор: James A. Maple,Noyle B. Kaigler (Jr.). Владелец: KAIGLER ALICE A (EXECUTRIX). Дата публикации: 1979-09-04.

Method for forming a self-aligned silicide

Номер патента: TW434712B. Автор: Jian-Ting Lin,Rung-Jiun Lin. Владелец: United Microelectronics Corp. Дата публикации: 2001-05-16.