• Главная
  • Polishing agent, polishing method and method for manufacturing semiconductor integrated circuit device

Polishing agent, polishing method and method for manufacturing semiconductor integrated circuit device

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method for Stress Reduced Manufacturing Semiconductor Devices

Номер патента: US20140141592A1. Автор: Hans-Joachim Schulze,Peter Irsigler. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2014-05-22.

Method for manufacturing copper interconnection structure of integrated circuit

Номер патента: CN111211094A. Автор: 向彦瑾. Владелец: Sichuan Howell Information Technology Co Ltd. Дата публикации: 2020-05-29.

Method for fabricating electrically isolated semiconductor devices in integrated circuits

Номер патента: US3370995A. Автор: Carl J Lowery,Billy B Williams. Владелец: Texas Instruments Inc. Дата публикации: 1968-02-27.

Method for forming titanium tungsten local interconnect for integrated circuits

Номер патента: US5376585A. Автор: Johnson J. Lin,David R. Wyke. Владелец: Texas Instruments Inc. Дата публикации: 1994-12-27.

Method for making interconnects and diffusion barriers in integrated circuits

Номер патента: US20020094673A1. Автор: Valery Dubin. Владелец: Intel Corp. Дата публикации: 2002-07-18.

Method for isolation of circuit regions in monolithic integrated circuit structure

Номер патента: US3834958A. Автор: K Bean,P Gleim. Владелец: Texas Instruments Inc. Дата публикации: 1974-09-10.

CMP polishing agent and substrate polishing method

Номер патента: JP4853287B2. Автор: 靖 倉田,和宏 榎本,正人 深沢,直之 小山. Владелец: Showa Denko Materials Co Ltd. Дата публикации: 2012-01-11.

Method for thinning and polishing the die of integrated circuits

Номер патента: WO2002072311A3. Автор: Chun-Cheng Tsao,John Valliant. Владелец: Schlumberger Technologies Inc. Дата публикации: 2002-11-07.

Processing method and thermocompression bonding method for workpiece

Номер патента: US11222807B2. Автор: Atsushi Kubo,Naoko Yamamoto,Yoshiaki Yodo. Владелец: Disco Corp. Дата публикации: 2022-01-11.

Method of forming copper interconnections for semiconductor integrated circuits on a substrate

Номер патента: EP1466352A1. Автор: Hyung-Sang Park,Sang-Won Kang. Владелец: Genitech Co Ltd. Дата публикации: 2004-10-13.

Methods of forming a masking pattern for integrated circuits

Номер патента: EP2353172A2. Автор: Anton Devilliers. Владелец: Micron Technology Inc. Дата публикации: 2011-08-10.

Method for producing multilayer wiring for semiconductor integrated circuits

Номер патента: DE69027508D1. Автор: Masahiro Abe,Toshihiko Katsura,Yasukazu Mase. Владелец: Toshiba Corp. Дата публикации: 1996-07-25.

Method for producing multilayer wiring for semiconductor integrated circuits

Номер патента: DE69027508T2. Автор: Masahiro Abe,Toshihiko Katsura,Yasukazu Mase. Владелец: Toshiba Corp. Дата публикации: 1996-12-12.

Method for manufacturing lamination type semiconductor integrated device

Номер патента: US20110136321A1. Автор: Kazunori Kondo,Hideto Kato,Yasuyoshi Kuroda. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2011-06-09.

Method for manufacturing back-end structure of integrated circuit

Номер патента: CN116314015A. Автор: 刘俊文. Владелец: Hua Hong Semiconductor Wuxi Co Ltd. Дата публикации: 2023-06-23.

Method for forming self-aligning local interconnects in integrated circuits

Номер патента: EP2592649B1. Автор: Michael C Smayling,Scott T Becker. Владелец: Tela Innovations Inc. Дата публикации: 2015-04-29.

Integrated circuit insulators and related methods

Номер патента: US20070141832A1. Автор: Paul Farrar. Владелец: Micron Technology Inc. Дата публикации: 2007-06-21.

Method for forming an interconnection structure for ic metallization

Номер патента: US7291557B2. Автор: Chin-Chiu Hsia,Wen-Kai Wan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2007-11-06.

Method for forming a conductive interconnect in an integrated circuit

Номер патента: US5420072A. Автор: Robert W. Fiordalice,Hisao Kawasaki,Johnson O. Olowolafe. Владелец: Motorola Inc. Дата публикации: 1995-05-30.

Method for forming a fast bipolar transistor for integrated circuit structure

Номер патента: EP0209985A1. Автор: Mammen Thomas,Matthew Weinburg. Владелец: Advanced Micro Devices Inc. Дата публикации: 1987-01-28.

A method for forming an interconnect structure

Номер патента: EP4312252A1. Автор: Zheng Tao,Waikin Li. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-01-31.

METHOD (AND RELATED APPARATUS) THAT REDUCES CYCLE TIME FOR FORMING LARGE FIELD INTEGRATED CIRCUITS

Номер патента: US20200126785A1. Автор: LU Wen-Chen,Chen Yi-Min,HSIEH Ming-Chang. Владелец: . Дата публикации: 2020-04-23.

METHOD (AND RELATED APPARATUS) THAT REDUCES CYCLE TIME FOR FORMING LARGE FIELD INTEGRATED CIRCUITS

Номер патента: US20200211836A1. Автор: LU Wen-Chen,Chen Yi-Min,HSIEH Ming-Chang. Владелец: . Дата публикации: 2020-07-02.

METHOD (AND RELATED APPARATUS) THAT REDUCES CYCLE TIME FOR FORMING LARGE FIELD INTEGRATED CIRCUITS

Номер патента: US20200219721A1. Автор: LU Wen-Chen,Chen Yi-Min,HSIEH Ming-Chang. Владелец: . Дата публикации: 2020-07-09.

Methods for making nearly planar dielectric films in integrated circuits

Номер патента: US20040061196A1. Автор: Werner Juengling. Владелец: Micron Technology Inc. Дата публикации: 2004-04-01.

Programmable integrated circuits and methods of forming the same

Номер патента: US09659943B1. Автор: Eng Huat Toh,Xuan Anh TRAN. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2017-05-23.

PROCESS FOR MANUFACTURING BURIED CONDUCTIVE REGIONS IN INTEGRATED CIRCUITS AND RESULTING STRUCTURES

Номер патента: FR2363889A1. Автор: . Владелец: Fairchild Camera and Instrument Corp. Дата публикации: 1978-03-31.

Method for Stress Reduced Manufacturing Semiconductor Devices

Номер патента: US20140141592A1. Автор: Schulze Hans-Joachim,IRSIGLER Peter. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2014-05-22.

Apparatus, method and nontransitory computer readable medium for manufacturing integrated circuit device

Номер патента: US20170018469A1. Автор: Hiroyuki Yazawa. Владелец: Toshiba Corp. Дата публикации: 2017-01-19.

Method for manufacturing a single product from integrated circuits received on a lead frame

Номер патента: US5410804A. Автор: Hendrikus T. Berendts. Владелец: Asm Fico Tooling BV. Дата публикации: 1995-05-02.

Method for interconnecting solid state devices such as integrated circuit chips

Номер патента: US3606679A. Автор: Jon M Schroeder. Владелец: Fairchild Camera and Instrument Corp. Дата публикации: 1971-09-21.

Method for forming strained channel pmos devices and integrated circuits therefrom

Номер патента: WO2009094376A3. Автор: Amitabh Jain. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2009-10-15.

Method for forming strained channel pmos devices and integrated circuits therefrom

Номер патента: US20090184375A1. Автор: Amitabh Jain. Владелец: Texas Instruments Inc. Дата публикации: 2009-07-23.

Method for forming strained channel pmos devices and integrated circuits therefrom

Номер патента: US20110133287A1. Автор: Amitabh Jain. Владелец: Texas Instruments Inc. Дата публикации: 2011-06-09.

System and method for improving solder joint reliability in an integrated circuit package

Номер патента: EP1548824A2. Автор: Anthony M. Chiu,Tong Yan Tee. Владелец: STMicroelectronics lnc USA. Дата публикации: 2005-06-29.

Method and apparatus for making and using an improved fiducial for an integrated circuit

Номер патента: US20020030805A1. Автор: Paul Winer,Richard Livengood. Владелец: Individual. Дата публикации: 2002-03-14.

Method for fabricating a JFET transistor within an integrated circuit and corresponding integrated circuit

Номер патента: US10361188B2. Автор: Jean Jimenez. Владелец: STMicroelectronics Crolles 2 SAS. Дата публикации: 2019-07-23.

Method for forming a dielectric stack

Номер патента: EP1570525A1. Автор: TSAI Wilman,Matty Caymax,Jerry Chen,Jan-Willem Maes. Владелец: ASM America Inc. Дата публикации: 2005-09-07.

Method for forming a dielectric stack

Номер патента: US20090079016A1. Автор: TSAI Wilman,Jan Willem Maes,Mathieu Caymax,Peijun Jerry Chen. Владелец: ASM America Inc. Дата публикации: 2009-03-26.

Dicing device, and blade height correction method and workpiece processing method for dicing device

Номер патента: US20220362958A1. Автор: Shiori Saito,Marina EKI. Владелец: Tokyo Seimitsu Co Ltd. Дата публикации: 2022-11-17.

Metal removal method, dry etching method, and production method for semiconductor element

Номер патента: US20220325418A1. Автор: Kazuma Matsui. Владелец: Showa Denko KK. Дата публикации: 2022-10-13.

Apparatus for removing marks from integrated circuit devices

Номер патента: US5997388A. Автор: Robert L. Canella,Tony T. Ibarra. Владелец: Micron Electronics Inc. Дата публикации: 1999-12-07.

Method for selecting from a standardized set of integrated circuit mask features

Номер патента: WO2002082564A2. Автор: . Владелец: Hoel, Jeffrey, H.. Дата публикации: 2002-10-17.

Method and apparatus for strapping two polysilicon lines in a semiconductor integrated circuit device

Номер патента: US20080111246A1. Автор: Michael James Heinz. Владелец: Individual. Дата публикации: 2008-05-15.

Method and apparatus for strapping two polysilicon lines in a semiconductor integrated circuit device

Номер патента: US7829459B2. Автор: Michael James Heinz. Владелец: Silicon Storage Technology Inc. Дата публикации: 2010-11-09.

Method and apparatus for strapping two polysilicon lines in a semiconductor integrated circuit device

Номер патента: US7592705B2. Автор: Michael James Heinz. Владелец: Silicon Storage Technology Inc. Дата публикации: 2009-09-22.

Method and apparatus for use in digitally tuning a capacitor in an integrated circuit device

Номер патента: US09667227B2. Автор: Tero Tapio Ranta. Владелец: Peregrine Semiconductor Corp. Дата публикации: 2017-05-30.

Apparatus and Method for Preventing Configurable System-on-a-Chip Integrated Circuits from Becoming I/O Limited

Номер патента: US20090273101A1. Автор: Vikram Gupta,Ed Lambert. Владелец: Broadcom Corp. Дата публикации: 2009-11-05.

Apparatus and method for preventing configurable system-on-a-chip integrated circuits from beginning I/O limited

Номер патента: US7982321B2. Автор: Vikram Gupta,Ed Lambert. Владелец: Broadcom Corp. Дата публикации: 2011-07-19.

Method and System For Innovative Substrate/Package Design For A High Performance Integrated Circuit Chipset

Номер патента: US20110310569A1. Автор: Edmund Law. Владелец: Broadcom Corp. Дата публикации: 2011-12-22.

Method for the mitigation of hot spots in integrated circuits chip

Номер патента: EP1750303A3. Автор: Shih-Chia Chang,Poh-Seng Lee. Владелец: Delphi Technologies Inc. Дата публикации: 2010-03-10.

Method for attaching optical components onto silicon-based integrated circuits

Номер патента: US8313962B2. Автор: Vitaly Shchukin,Nikolai Ledentsov,James A. Lott. Владелец: CONNECTOR OPTICS LLC. Дата публикации: 2012-11-20.

Method and system for configuring a transformer embedded in a multi-layer integrated circuit (IC) package

Номер патента: US8198714B2. Автор: Ahmadreza Rofougaran. Владелец: Broadcom Corp. Дата публикации: 2012-06-12.

Method for locating active support circuitry on an integrated circuit fabrication die

Номер патента: US6137181A. Автор: Dzung Nguyen,Youssef Yassine. Владелец: Individual. Дата публикации: 2000-10-24.

Method for preventing electrostatic discharge failure in an integrated circuit package

Номер патента: US5712753A. Автор: Ta-Lee Yu,Yang-Sen Yeh,Kow-Liang Wen. Владелец: Winbond Electronics Corp. Дата публикации: 1998-01-27.

Method for preventing electrostatic discharge failure in an integrated circuit package

Номер патента: US5715127A. Автор: Ta-Lee Yu. Владелец: Winbond Electronics Corp. Дата публикации: 1998-02-03.

Method for producing a support element for an integrated circuit (ic) component

Номер патента: AU2501501A. Автор: Rüdiger MENTZER,Carsten Senge,Mathias Staudt. Владелец: Orga Kartensysteme GmbH. Дата публикации: 2001-05-30.

Encapsulated electronic circuit device, and method and apparatus for making same

Номер патента: US4680617A. Автор: Milton I. Ross. Владелец: Individual. Дата публикации: 1987-07-14.

Integrated circuit on flexible substrate manufacturing process

Номер патента: US11990484B2. Автор: Richard Price,Neil Davies,Brian COBB. Владелец: Pragmatic Printing Ltd. Дата публикации: 2024-05-21.

Method of manufacturing semiconductor devices

Номер патента: US09748140B1. Автор: Carsten Von Koblinski,Thomas Grille,Ursula Hedenig,Markus Ottowitz. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-08-29.

Method for mounting devices on a substrate

Номер патента: US4236306A. Автор: Raymond Delorme,Joseph Hug,Henri Grosjean,Pierre Sigel,Maurice DeVoille. Владелец: Compagnie Internationale pour lInformatique . Дата публикации: 1980-12-02.

Method and device for real time control of the thickness of an integrated circuit layer

Номер патента: EP1104019A1. Автор: Alain Charpentier,Dominique Bocquene. Владелец: Atmel Nantes SA. Дата публикации: 2001-05-30.

Methods and structure for adapting MEMS structures to form electrical interconnections for integrated circuits

Номер патента: US8652961B1. Автор: Xiao “Charles” Yang. Владелец: MCube Inc. Дата публикации: 2014-02-18.

Method for electrically examining electronic components of an integrated circuit

Номер патента: US12007408B2. Автор: Bert Voigtlaender,Vasily CHEPERANOV. Владелец: FORSCHUNGSZENTRUM JUELICH GMBH. Дата публикации: 2024-06-11.

Elastic matrix determination method and vibration analysis method for laminated iron core

Номер патента: CA3089090A1. Автор: Misao Namikawa,Gou Kijima. Владелец: JFE Steel Corp. Дата публикации: 2019-08-01.

Elasticity matrix determination method and vibration analysis method for laminated iron core

Номер патента: EP3745286A1. Автор: Misao Namikawa,Gou Kijima. Владелец: JFE Steel Corp. Дата публикации: 2020-12-02.

Elastic matrix determination method and vibration analysis method for laminated iron core

Номер патента: US20200340952A1. Автор: Misao Namikawa,Gou Kijima. Владелец: JFE Steel Corp. Дата публикации: 2020-10-29.

Method for manufacturing a substrate for an integrated circuit card.

Номер патента: FR2700041B1. Автор: Kazuo Sato,Isao Yabe,Hajime Omata. Владелец: Citizen Electronics Co Ltd. Дата публикации: 1996-04-26.

Plasma immersion ion processor for fabricating semiconductor integrated circuits

Номер патента: US6055928A. Автор: Yanwei Zhang,Ivan Herman Murzin. Владелец: Ball Semiconductor Inc. Дата публикации: 2000-05-02.

Tunable stressed polycrystalline silicon on dielectrics in an integrated circuit

Номер патента: US20080283927A1. Автор: Chandrasekhar Sarma,Matthias Hierlemann. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2008-11-20.

SEMICONDUCTOR ELEMENT, METHOD FOR MANUFACTURING SAME, AND SEMICONDUCTOR INTEGRATED CIRCUIT

Номер патента: US20160211325A1. Автор: Mori Takahiro. Владелец: . Дата публикации: 2016-07-21.

Method for manufacturing a MOS integrated circuit

Номер патента: US3865650A. Автор: Shigeru Arita. Владелец: Matsushita Electronics Corp. Дата публикации: 1975-02-11.

Cross-hair cell devices and methods for manufacturing the same

Номер патента: US20130015521A1. Автор: Werner Juengling. Владелец: Micron Technology Inc. Дата публикации: 2013-01-17.

APPARATUS, METHOD AND NONTRANSITORY COMPUTER READABLE MEDIUM FOR MANUFACTURING INTEGRATED CIRCUIT DEVICE

Номер патента: US20170018469A1. Автор: YAZAWA Hiroyuki. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2017-01-19.

Method for forming multiple well of semiconductor integrated circuit

Номер патента: KR100263909B1. Автор: 이성영,권준모. Владелец: 윤종용. Дата публикации: 2000-09-01.

METHOD FOR MANUFACTURING HIGH VALUE RESISTORS FOR INTEGRATED CIRCUITS

Номер патента: FR2515427A1. Автор: Guy Dubois. Владелец: EFCIS. Дата публикации: 1983-04-29.

METHOD FOR MANUFACTURING MONOLITHIC THREE-DIMENSIONAL (3D) INTEGRATED CIRCUITS

Номер патента: US20200098749A1. Автор: Colinge Jean-Pierre,DIAZ Carlos H.,GUO Ta-Pen. Владелец: . Дата публикации: 2020-03-26.

METHOD FOR MANUFACTURING MONOLITHIC THREE-DIMENSIONAL (3D) INTEGRATED CIRCUITS

Номер патента: US20180374845A1. Автор: Colinge Jean-Pierre,DIAZ Carlos H.,GUO Ta-Pen. Владелец: . Дата публикации: 2018-12-27.

METHOD FOR MANUFACTURING MONOLITHIC THREE-DIMENSIONAL (3D) INTEGRATED CIRCUITS

Номер патента: US20190393215A1. Автор: Colinge Jean-Pierre,DIAZ Carlos H.,GUO Ta-Pen. Владелец: . Дата публикации: 2019-12-26.

METHOD FOR MANUFACTURING A SUPPORT FILM HAVING INTEGRATED CIRCUIT AND SUPPORT FILM

Номер патента: FR2757313B3. Автор: Simon Ormerod,Guillaume Grincourt. Владелец: Gilles Leroux SA. Дата публикации: 1999-01-22.

Method for manufacturing tight pitch, flip chip integrated circuit packages

Номер патента: EP2441087A2. Автор: Christopher James Healy. Владелец: Qualcomm Inc. Дата публикации: 2012-04-18.

Method for manufacturing tight pitch, flip chip integrated circuit packages

Номер патента: WO2010144823A2. Автор: Christopher James Healy. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2010-12-16.

Integrated circuits having an anti-fuse device and methods of forming the same

Номер патента: US20170125427A1. Автор: Danny Pak-Chum Shum. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2017-05-04.

Method for molding a bumped wafer

Номер патента: US6352878B1. Автор: Shahram Mostafazadeh,Joseph O. Smith. Владелец: National Semiconductor Corp. Дата публикации: 2002-03-05.

Method and apparatus for forming an underfill adhesive layer

Номер патента: US6352881B1. Автор: Luu Nguyen,Nikhil Kelkar,Christopher Quentin,Ashok Prabhu,Hem P. Takiar. Владелец: National Semiconductor Corp. Дата публикации: 2002-03-05.

Semiconductor integrated injection logic circuit device

Номер патента: JPS6267855A. Автор: Toshiyuki Okoda,敏幸 大古田,Teruo Tabata,田端 輝夫. Владелец: Sanyo Electric Co Ltd. Дата публикации: 1987-03-27.

Device and method for a LDMOS design for a FinFET integrated circuit

Номер патента: US9418993B2. Автор: Jagar Singh. Владелец: Globalfoundries Inc. Дата публикации: 2016-08-16.

Method and apparatus for making and using an improved fiducial for an integrated circuit

Номер патента: US6528895B2. Автор: Paul Winer,Richard Livengood. Владелец: Intel Corp. Дата публикации: 2003-03-04.

Semiconductor device package and method of manufacture

Номер патента: EP2680307A3. Автор: Stephen R. Hooper,Dwight L. Daniels,Alan J. Magnus,Justin E. Poarch. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2014-12-17.

Semiconductor device package and method of manufacture

Номер патента: US20140338956A1. Автор: Stephen R. Hooper,Dwight L. Daniels,Alan J. Magnus,Justin E. Poarch. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2014-11-20.

SYSTEM AND METHOD FOR IMPROVING SOLDER JOINT RELIABILITY IN AN INTEGRATED CIRCUIT PACKAGE

Номер патента: US20130130492A1. Автор: Chiu Anthony M.,Tee Tong Yan. Владелец: STMicroelectronics, Inc.. Дата публикации: 2013-05-23.

METHOD FOR GENERATING A TOPOGRAPHY OF AN FDSOI INTEGRATED CIRCUIT

Номер патента: US20140173544A1. Автор: Noel Jean-Philippe,Giraud Bastien,Flatresse Philippe,Le Boulaire Matthieu. Владелец: . Дата публикации: 2014-06-19.

METHODS FOR ETCHING COPPER DURING THE FABRICATION OF INTEGRATED CIRCUITS

Номер патента: US20150228595A1. Автор: Duong Anh,Willeke Reiner,Atanasova Tanya,Nowling Greg. Владелец: . Дата публикации: 2015-08-13.

Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing

Номер патента: WO2001029879A3. Автор: . Владелец: Helle Wolfgang. Дата публикации: 2002-01-24.

Device and method for enhancing the bandwidth in trench isolations integrated circuit

Номер патента: CN106158738B. Автор: E·P·乔丹,J·G·费法. Владелец: Analog Devices Inc. Дата публикации: 2019-05-10.

System and method for improving solder joint reliability in an integrated circuit package

Номер патента: US8330258B2. Автор: Anthony M. Chiu,Tong Yan Tee. Владелец: STMicroelectronics lnc USA. Дата публикации: 2012-12-11.

Method for producing a conductor pattern of an integrated circuit semiconductor arrangement

Номер патента: DE69033802T2. Автор: Masahiro Abe,Yasukazu Mase,Tomie Yamamoto. Владелец: Toshiba Corp. Дата публикации: 2002-04-04.

Method for producing a conductor pattern of an integrated circuit semiconductor arrangement

Номер патента: DE69033802D1. Автор: Masahiro Abe,Yasukazu Mase,Tomie Yamamoto. Владелец: Toshiba Corp. Дата публикации: 2001-10-25.

Method and system for method for estimating a present energy consumption of an electrically propelled vehicle

Номер патента: US11890963B2. Автор: Il Cho. Владелец: Volvo Truck Corp. Дата публикации: 2024-02-06.

System and method for transferring thermal energy from integrated circuits

Номер патента: EP4208892A1. Автор: Adrian Van Wijk. Владелец: JDi Design Inc. Дата публикации: 2023-07-12.

System and method for transferring thermal energy from integrated circuits

Номер патента: ZA202301991B. Автор: Nikolas Radosevic (Deceased),Wijk Adrian Van. Владелец: JDi Design Inc. Дата публикации: 2024-08-28.

Field effect transistor, preparation method thereof and integrated circuit

Номер патента: US12113119B2. Автор: Chung-Yi Chen. Владелец: Hon Hai Precision Industry Co Ltd. Дата публикации: 2024-10-08.

Method for computer aided design of semiconductor integrated circuits

Номер патента: US20060288321A1. Автор: Akinori Shibayama. Владелец: Individual. Дата публикации: 2006-12-21.

System For Shielding Integrated Circuits

Номер патента: US20080093742A1. Автор: John Fleming Walker. Владелец: NDS Ltd. Дата публикации: 2008-04-24.

Plastic resin and fibre encapsulation for electronic circuit device, and method for making same

Номер патента: CA1240073A. Автор: Milton I. Ross. Владелец: Individual. Дата публикации: 1988-08-02.

Calculating method for inductance in a semiconductor integrated circuit

Номер патента: US20040075436A1. Автор: Atsushi Kurokawa,Hiroo Masuda. Владелец: Semiconductor Technology Academic Research Center. Дата публикации: 2004-04-22.

Biasing device for low parasitic capacitance in integrated circuit applications

Номер патента: US20060237820A1. Автор: Chun-Ying Chen. Владелец: Broadcom Corp. Дата публикации: 2006-10-26.

Integrated circuit device with embedded programmable logic

Номер патента: US09589612B1. Автор: Arifur Rahman,Bernhard Friebe. Владелец: Altera Corp. Дата публикации: 2017-03-07.

Method and system for optimizing routing layers and board space requirements for a ball grid array land pattern

Номер патента: US20050016749A1. Автор: Kevin Seaman,Vernon Wnek. Владелец: Broadcom Corp. Дата публикации: 2005-01-27.

Methods and apparatus for passive equalization in high-speed and high density integrated circuits

Номер патента: US09548278B1. Автор: Jian Liu,Hui Liu. Владелец: Altera Corp. Дата публикации: 2017-01-17.

Method of manufacturing semiconductor products, corresponding semiconductor product and device

Номер патента: US20180190572A1. Автор: Federico Giovanni Ziglioli. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2018-07-05.

Field effect transistor, preparation method thereof and integrated circuit

Номер патента: US20230069273A1. Автор: Chung-Yi Chen. Владелец: Hon Hai Precision Industry Co Ltd. Дата публикации: 2023-03-02.

Method and structure for providing ESD protection for silicon on insulator integrated circuits

Номер патента: US5610790A. Автор: David R. Staab,Sheau-Suey Li. Владелец: Xilinx Inc. Дата публикации: 1997-03-11.

Method for verifying mask layout of semiconductor integrated circuit

Номер патента: WO2010004666A1. Автор: 向井清士,伊東昌徳,岡本吉永,小島清次郎. Владелец: パナソニック株式会社. Дата публикации: 2010-01-14.

Method and apparatus for attaching a heat sink and a fan to an integrated circuit package

Номер патента: US5594623A. Автор: Tim Schwegler. Владелец: Hewlett Packard Co. Дата публикации: 1997-01-14.

Integrated circuit device with embedded programmable logic

Номер патента: US20150116001A1. Автор: Arifur Rahman,Bernhard Friebe. Владелец: Altera Corp. Дата публикации: 2015-04-30.

Integrated circuit device with embedded programmable logic

Номер патента: US20210103534A1. Автор: Arifur Rahman,Bernhard Friebe. Владелец: Altera Corp. Дата публикации: 2021-04-08.

System for shielding integrated circuits

Номер патента: EP1747584A1. Автор: John Fleming Walker. Владелец: NDS Ltd. Дата публикации: 2007-01-31.

System for shielding integrated circuits

Номер патента: IL179178A0. Автор: . Владелец: NDS Ltd. Дата публикации: 2007-03-08.

Method for shielding integrated circuits

Номер патента: IL179178A. Автор: . Владелец: NDS Ltd. Дата публикации: 2011-01-31.

METHOD FOR MANUFACTURING PORTABLE ELECTRONIC DEVICE WITH INTEGRATED CIRCUIT HAVING LOW COST DIELECTRIC

Номер патента: FR2794266B1. Автор: Lucile Dossetto. Владелец: Gemplus SA. Дата публикации: 2002-01-25.

Diversification of a single integrated circuit identifier

Номер патента: US20030103628A1. Автор: Wuidart Luc,Plaza Laurent,Bardouillet Michel. Владелец: STMICROELECTRONICS SA. Дата публикации: 2003-06-05.

Integrated circuit including a FET device and Schottky diode

Номер патента: US5665993A. Автор: Rajiv R. Shah,Stephen A. Keller. Владелец: Texas Instruments Inc. Дата публикации: 1997-09-09.

Method for manufacturing a small pin on integrated circuits or other devices

Номер патента: CN102088059A. Автор: 龙翔澜. Владелец: Macronix International Co Ltd. Дата публикации: 2011-06-08.

Integrated circuit device with embedded programmable logic

Номер патента: US20190303317A1. Автор: Arifur Rahman,Bernhard Friebe. Владелец: Altera Corp. Дата публикации: 2019-10-03.

Integrated circuit device with embedded programmable logic

Номер патента: US20220214982A1. Автор: Arifur Rahman,Bernhard Friebe. Владелец: Altera Corp. Дата публикации: 2022-07-07.

Method for selecting from a standardized set of integrated circuit mask features

Номер патента: TW557491B. Автор: Jeffrey H Hoel. Владелец: Jeffrey H Hoel. Дата публикации: 2003-10-11.

Method for selecting from a standardized set of integrated circuit mask features

Номер патента: AU2002254528A1. Автор: . Владелец: HOEL JEFFREY H. Дата публикации: 2002-10-21.

Integrated circuit alignment marks distributed throughout a surface metal line

Номер патента: US5936311A. Автор: Kenneth Watrobski,Ken H. Faulk. Владелец: Intel Corp. Дата публикации: 1999-08-10.

Magnetic shielding for integrated circuits

Номер патента: US20020105058A1. Автор: Mark Tuttle. Владелец: Individual. Дата публикации: 2002-08-08.

Systems and methods for modular disaggregated integrated circuit systems

Номер патента: EP4304092A1. Автор: Ankireddy Nalamalpu,Atul Maheshwari,Lai Guan Tang,Mahesh Kumashikar. Владелец: Intel Corp. Дата публикации: 2024-01-10.

Method and apparatus for retaining cooling apparatus and bus bar

Номер патента: US20030058621A1. Автор: Kevin Wells. Владелец: Intel Corp. Дата публикации: 2003-03-27.

Method and Apparatus for use in Digitally Tuning a Capacitor in an Integrated Circuit Device

Номер патента: US20200014382A1. Автор: Ranta Tero Tapio. Владелец: . Дата публикации: 2020-01-09.

Method and Apparatus for use in Digitally Tuning a Capacitor in an Integrated Circuit Device

Номер патента: US20170040973A1. Автор: Ranta Tero Tapio. Владелец: . Дата публикации: 2017-02-09.

METHOD FOR PRODUCING AN OPTICAL FILTER IN AN INTEGRATED CIRCUIT, AND CORRESPONDING INTEGRATED CIRCUIT

Номер патента: US20150076573A1. Автор: Marty Michel,Frey Laurent,Jouan Sebastien. Владелец: . Дата публикации: 2015-03-19.

Method and Apparatus for use in Digitally Tuning a Capacitor in an Integrated Circuit Device

Номер патента: US20150310995A1. Автор: Tero Tapio Ranta. Владелец: Peregrine Semiconductor Corp. Дата публикации: 2015-10-29.

Method and apparatus for use in digitally tuning a capacitor in an integrated circuit device

Номер патента: EP2255443B1. Автор: Tero Tapio Ranta. Владелец: Peregrine Semiconductor Corp. Дата публикации: 2012-11-28.

Method and apparatus for use in digitally tuning a capacitor in an integrated circuit device

Номер патента: EP3346611A1. Автор: Tero Tapio Ranta. Владелец: Peregrine Semiconductor Corp. Дата публикации: 2018-07-11.

Method and system for removing heat from an active area of an integrated circuit device

Номер патента: US20040017661A1. Автор: Harry Siegel. Владелец: STMicroelectronics lnc USA. Дата публикации: 2004-01-29.

Method and apparatus for use in digitally tuning a capacitor in an integrated circuit device

Номер патента: US20110002080A1. Автор: Tero Tapio Ranta. Владелец: Peregrine Semiconductor Corp. Дата публикации: 2011-01-06.

Method and apparatus for use in digitally tuning a capacitor in an integrated circuit device

Номер патента: EP3958468B1. Автор: Tero Tapio Ranta. Владелец: PSemi Corp. Дата публикации: 2024-01-31.

Monolithic three dimensional (3d) flip-flops with minimal clock skew and related systems and methods

Номер патента: US20150022250A1. Автор: Yang Du,Pratyush Kamal. Владелец: Qualcomm Inc. Дата публикации: 2015-01-22.

Monolithic three dimensional (3d) flip-flops with minimal clock skew and related systems and methods

Номер патента: WO2015009716A1. Автор: Yang Du,Pratyush Kamal. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2015-01-22.

Monolithic three dimensional (3d) flip-flops with minimal clock skew and related systems and methods

Номер патента: EP3022769A1. Автор: Yang Du,Pratyush Kamal. Владелец: Qualcomm Inc. Дата публикации: 2016-05-25.

DEVICE AND METHOD FOR A LDMOS DESIGN FOR A FINFET INTEGRATED CIRCUIT

Номер патента: US20150035053A1. Автор: SINGH Jagar. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2015-02-05.

Method and system for topography-aware reticle enhancement

Номер патента: WO2006055822A2. Автор: Puneet Gupta,Andrew Kahng. Владелец: Blaze-Dfm, Inc.. Дата публикации: 2006-05-26.

Method and system for topography-aware reticle enhancement

Номер патента: WO2006055822A3. Автор: Puneet Gupta,Andrew Kahng. Владелец: Blaze DFM Inc. Дата публикации: 2009-04-02.

METHOD FOR IN-MEMORY CONVOLUTIONAL COMPUTATION AND CORRESPONDING INTEGRATED CIRCUIT

Номер патента: US20220044099A1. Автор: La Rosa Francesco,Conte Antonino. Владелец: . Дата публикации: 2022-02-10.

METHOD FOR SMOOTHING A CURRENT CONSUMED BY AN INTEGRATED CIRCUIT AND CORRESPONDING DEVICE

Номер патента: US20170097653A1. Автор: Fort Jimmy,Soude Thierry,Demange Nicolas. Владелец: . Дата публикации: 2017-04-06.

METHOD FOR SMOOTHING A CURRENT CONSUMED BY AN INTEGRATED CIRCUIT AND CORRESPONDING DEVICE

Номер патента: US20170192448A1. Автор: Fort Jimmy,Soude Thierry,Demange Nicolas. Владелец: . Дата публикации: 2017-07-06.

SYSTEM AND METHOD FOR IDENTIFYING OPERATING TEMPERATURES AND MODIFYING OF INTEGRATED CIRCUITS

Номер патента: US20160240479A1. Автор: Li Baozhen,Bickford Jeanne P.,Habib Nazmul,Wilder Tad J.. Владелец: . Дата публикации: 2016-08-18.

METHOD AND APPARATUS FOR DETECTION OF FAILURES IN UNDER-FILL LAYERS IN INTEGRATED CIRCUIT ASSEMBLIES

Номер патента: US20160322265A1. Автор: Gao Shan,Kannan Sukeshwar. Владелец: . Дата публикации: 2016-11-03.

Apparatus and method for attaching a heat sink to an integrated circuit module

Номер патента: US7006353B2. Автор: Jason Aaron Matteson. Владелец: International Business Machines Corp. Дата публикации: 2006-02-28.

Apparatus and method for attaching a heat sink to an integrated circuit module

Номер патента: US20050201062A1. Автор: Jason Matteson. Владелец: International Business Machines Corp. Дата публикации: 2005-09-15.

Apparatus and method for filtering radio frequency signals of transceiver integrated circuits

Номер патента: EP3248346A2. Автор: Scott R. Anderson,Ted J. BECK. Владелец: Cambium Networks Ltd. Дата публикации: 2017-11-29.

Apparatus and method for filtering radio frequency signals of transceiver integrated circuits

Номер патента: US20170264243A1. Автор: Scott R. Anderson,Ted J. BECK. Владелец: Cambium Networks Ltd. Дата публикации: 2017-09-14.

Apparatus and method for filtering radio frequency signals of transceiver integrated circuits

Номер патента: WO2016116761A2. Автор: Scott R. Anderson,Ted J. BECK. Владелец: Cambium Networks Ltd. Дата публикации: 2016-07-28.

Apparatus and method for filtering radio frequency signals of transceiver integrated circuits

Номер патента: US09948237B2. Автор: Scott R. Anderson,Ted J. BECK. Владелец: Cambium Networks Ltd. Дата публикации: 2018-04-17.

Apparatus and method for filtering radio frequency signals of transceiver integrated circuits

Номер патента: US09680415B2. Автор: Scott R. Anderson,Ted J. BECK. Владелец: Cambium Networks Ltd. Дата публикации: 2017-06-13.

Circuits and methods for implementing mode selection in multiple-mode integrated circuits

Номер патента: EP1828868A2. Автор: Kartik Nanda. Владелец: Cirrus Logic Inc. Дата публикации: 2007-09-05.

Apparatus and method for managing security domains for a universal integrated circuit card

Номер патента: US09967247B2. Автор: Walter Cooper Chastain. Владелец: AT&T INTELLECTUAL PROPERTY I LP. Дата публикации: 2018-05-08.

Systems and methods for routing data across regions of an integrated circuit

Номер патента: US20200153438A1. Автор: Sean R. Atsatt,Herman Henry Schmit. Владелец: Intel Corp. Дата публикации: 2020-05-14.

Systems and methods for routing data across regions of an integrated circuit

Номер патента: US20190165789A1. Автор: Sean R. Atsatt,Herman Henry Schmit. Владелец: Intel Corp. Дата публикации: 2019-05-30.

Data compression method and data decompression method for electronic device, and electronic device

Номер патента: US20220121626A1. Автор: Qin Liu,Huan He,Dongwei ZHAO. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2022-04-21.

Layer mapping method and data transmission method for MIMO system

Номер патента: US09496986B2. Автор: Ki Jun Kim,Bong Hoe Kim,Dong Youn Seo,Joon Kui Ahn,Dong Wook Roh,Young Woo Yun. Владелец: LG ELECTRONICS INC. Дата публикации: 2016-11-15.

Systems and methods for routing data across regions of an integrated circuit

Номер патента: US10587273B2. Автор: Sean R. Atsatt,Herman Henry Schmit. Владелец: Intel Corp. Дата публикации: 2020-03-10.

Systems and methods for packaging an acoustic device in an integrated circuit (IC)

Номер патента: US11855608B2. Автор: Chee Kong Lee,Huan En Ku,Joo Shan Yam. Владелец: RF360 Singapore Pte Ltd. Дата публикации: 2023-12-26.

Method for generating personalized profile package data for integrated circuit cards

Номер патента: US11792166B2. Автор: Marco ALFARANO,Sofia Massascusa. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2023-10-17.

Method and System for a Radio Frequency (RF) Spectrum Analyzer on an Integrated Circuit

Номер патента: US20210373055A1. Автор: Michael A. Wyatt. Владелец: Eagle Technology LLC. Дата публикации: 2021-12-02.

Device, system and method to deliver power with phase circuits of an integrated circuit die

Номер патента: US20230421040A1. Автор: Tamir Salus,Christopher SCHAEF,Shunjiang Xu. Владелец: Intel Corp. Дата публикации: 2023-12-28.

Apparatus and method for forming the external leads of an integrated circuit

Номер патента: US5806571A. Автор: Hironori Minami. Владелец: NEC Corp. Дата публикации: 1998-09-15.

Protection setting method and protection releasing method for portable device

Номер патента: US8600350B2. Автор: Shih-Chan Hsu. Владелец: MStar Semiconductor Inc Taiwan. Дата публикации: 2013-12-03.

Content delivery method and content update method for internet of vehicles

Номер патента: US10911917B2. Автор: Weibing Gong. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2021-02-02.

Producing method for rectangular battery and examining method for rectangular battery

Номер патента: US20230261170A1. Автор: Satoshi Goto. Владелец: Prime Planet Energy and Solutions Inc. Дата публикации: 2023-08-17.

Elastic matrix determination method and vibration analysis method for laminate core of transformer

Номер патента: EP4383289A1. Автор: Misao Namikawa. Владелец: JFE Steel Corp. Дата публикации: 2024-06-12.

Manufacturing method and testing method for positive active material mixture

Номер патента: US20220255052A1. Автор: Takenori Ikeda. Владелец: Prime Planet Energy and Solutions Inc. Дата публикации: 2022-08-11.

Manufacturing method and testing method for positive active material mixture

Номер патента: US12062772B2. Автор: Takenori Ikeda. Владелец: Prime Planet Energy and Solutions Inc. Дата публикации: 2024-08-13.

Method and System for Configurable Differential or Single-Ended Signaling in an Integrated Circuit

Номер патента: US20120068782A1. Автор: Ahmadreza Rofougaran. Владелец: Ahmadreza Rofougaran. Дата публикации: 2012-03-22.

Method for cleaning a nonconductive surface and use

Номер патента: WO2023242157A1. Автор: Jimmy Lin. Владелец: Atotech Deutschland GmbH & Co. KG. Дата публикации: 2023-12-21.

Production method and disintegration suppression method for sintered ore

Номер патента: EP4299774A1. Автор: Tetsuya Yamamoto,Takahide Higuchi,Kenta Takehara. Владелец: JFE Steel Corp. Дата публикации: 2024-01-03.

Integrated circuit with sensor printed in situ

Номер патента: WO2016196572A1. Автор: Randy L. Yach,Arthur B. Eck. Владелец: MICROCHIP TECHNOLOGY INCORPORATED. Дата публикации: 2016-12-08.

Integrated circuit with sensor printed in situ

Номер патента: EP3304005A1. Автор: Randy L. Yach,Arthur B. Eck. Владелец: Microchip Technology Inc. Дата публикации: 2018-04-11.

Systems and methods for forming and using an adhesive tape

Номер патента: US20220195262A1. Автор: Daryl Johnson,Man Fai Lo,Stephen Topper,Jared Ide. Владелец: Bemis Associates Inc. Дата публикации: 2022-06-23.

Systems and methods for forming and using an adhesive tape

Номер патента: US20230348760A1. Автор: Daryl Johnson,Man Fai Lo,Stephen Topper,Jared Ide. Владелец: Bemis Associates Inc. Дата публикации: 2023-11-02.

Systems and methods for forming and using an adhesive tape and related articles

Номер патента: US12084607B2. Автор: Daryl Johnson,Man Fai Lo,Stephen Topper,Jared Ide. Владелец: Bemis Associates Inc. Дата публикации: 2024-09-10.

Systems and methods for forming and using an adhesive tape

Номер патента: US20200087548A1. Автор: Daryl Johnson,Man Fai Lo,Stephen Topper,Jared Ide. Владелец: Bemis Associates Inc. Дата публикации: 2020-03-19.

A method and system for method for estimating a present energy consumption of an electrically propelled vehicle

Номер патента: EP4059770B1. Автор: Il Cho. Владелец: Volvo Truck Corp. Дата публикации: 2024-08-21.

Adhesive tape and methods of manufacture

Номер патента: US20200263062A1. Автор: Daryl Johnson,Man Fai Lo,Stephen Topper,Jared Ide. Владелец: Bemis Associates Inc. Дата публикации: 2020-08-20.

Adhesive tape and methods of manufacture

Номер патента: US20210079274A1. Автор: Daryl Johnson,Man Fai Lo,Stephen Topper,Jared Ide. Владелец: Bemis Associates Inc. Дата публикации: 2021-03-18.

A method and system for method for estimating a present energy consumption of an electrically propelled vehicle

Номер патента: EP4059770C0. Автор: Il Cho. Владелец: Volvo Truck Corp. Дата публикации: 2024-08-21.

Monolithic CMOS-MEMS microphones and method of manufacturing

Номер патента: US09758370B2. Автор: Jochen Hoffmann,Brett Diamond,John Zinn. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2017-09-12.

Monolithic CMOS-MEMS microphones and method of manufacturing

Номер патента: US09481569B2. Автор: Jochen Hoffmann,Brett Diamond,John Zinn. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2016-11-01.

Press forming method and shape evaluation method for press formed part

Номер патента: US12090541B2. Автор: Masaki Urabe,Yusuke Fujii,Shunsuke Tobita. Владелец: JFE Steel Corp. Дата публикации: 2024-09-17.

Method and relates to it installation for manufacturing of steel strips with discontinuity

Номер патента: RU2381847C1. Автор: Джованни АРВЕДИ. Владелец: Джованни АРВЕДИ. Дата публикации: 2010-02-20.

Meandering amount detection method and meandering control method for metal strip

Номер патента: EP4238668A1. Автор: Masanori Hoshino. Владелец: JFE Steel Corp. Дата публикации: 2023-09-06.

Tape printing apparatus and image forming method and label producing method for the tape printing apparatus

Номер патента: US20020197090A1. Автор: Masao Akaiwa. Владелец: Seiko Epson Corp. Дата публикации: 2002-12-26.

Method and system for method for providing a bale of crop material

Номер патента: WO2017218607A1. Автор: Willem Jacobus Reijersen Van Buuren,Mark Core. Владелец: Vermeer Manufacturing Company. Дата публикации: 2017-12-21.

Meandering amount detection method and meandering control method for metal strip

Номер патента: US20230390818A1. Автор: Masanori Hoshino. Владелец: JFE Steel Corp. Дата публикации: 2023-12-07.

Meandering amount detection method and meandering control method for metal strip

Номер патента: US20230390817A1. Автор: Masanori Hoshino. Владелец: JFE Steel Corp. Дата публикации: 2023-12-07.

Method and 3d printing method for layer-by-layer fabrication of objects using layer transfer printing

Номер патента: US20230330927A1. Автор: Klaus Eller,Johannes NEUWIRTH. Владелец: Wacker Chemie AG. Дата публикации: 2023-10-19.

CMP polishing agent and substrate polishing method

Номер патента: JP4755984B2. Автор: 利明 阿久津,正人 深沢,直之 小山,浩二 芳賀. Владелец: Showa Denko Materials Co Ltd. Дата публикации: 2011-08-24.

CMP polishing agent and substrate polishing method

Номер патента: JP5176154B2. Автор: 利明 阿久津,正人 深沢,直之 小山,浩二 芳賀. Владелец: Showa Denko Materials Co Ltd. Дата публикации: 2013-04-03.

CMP polishing agent and substrate polishing method

Номер патента: JP4088811B2. Автор: 誠人 吉田,寅之助 芦沢,直之 小山. Владелец: Showa Denko Materials Co Ltd. Дата публикации: 2008-05-21.

Semiconductor integrated circuit and method for controlling power supply in semiconductor integrated circuit

Номер патента: US20160109924A1. Автор: Yoshihisa Nomura. Владелец: Canon Inc. Дата публикации: 2016-04-21.

Semiconductor integrated circuit and method for controlling power supply in semiconductor integrated circuit

Номер патента: US09519336B2. Автор: Yoshihisa Nomura. Владелец: Canon Inc. Дата публикации: 2016-12-13.

Integrated circuit device and method for authenticating the hardware design of such integrated circuit device

Номер патента: WO2024079017A1. Автор: Herve Pelletier. Владелец: NAGRAVISION SARL. Дата публикации: 2024-04-18.

Method for controlling characteristics of a semiconductor integrated by circuit X-ray bombardment

Номер патента: US4392893A. Автор: Nguyen T. Du,Akihide Asao. Владелец: Texas Instruments Inc. Дата публикации: 1983-07-12.

Apparatus and method for controlling refresh operation of semiconductor integrated circuit

Номер патента: US20070291568A1. Автор: Jong Yeol Yang,Tae Woo Kwon. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-12-20.

Systems and methods for facilitating testing of pad receivers of integrated circuits

Номер патента: US20030158691A1. Автор: Shad Shepston,John Rohrbaugh,Jeffrey Rearick. Владелец: Individual. Дата публикации: 2003-08-21.

Method for estimating substrate noise in mixed signal integrated circuits

Номер патента: US20040187085A1. Автор: Bipasha Ghosh,Stephen Kiel,Snehamay Sinha,Raghu Srinivasa. Владелец: Texas Instruments Inc. Дата публикации: 2004-09-23.

Method for designing cell layout of semiconductor integrated circuit

Номер патента: US20100218154A1. Автор: Hironori Iwamoto,Katsuyuki Itoh. Владелец: Individual. Дата публикации: 2010-08-26.

Check tool and check method for design rule check rule deck of integrated circuit layout

Номер патента: US20210383053A1. Автор: Li Bai,Kang Zhao,Chuanjiang Chen. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2021-12-09.

Circuit for and method of enabling the transfer of data by an integrated circuit

Номер патента: WO2013081683A1. Автор: Sanjay A. KULKARNI. Владелец: XILINX, INC.. Дата публикации: 2013-06-06.

Method for testing through silicon vias in 3D integrated circuits

Номер патента: US09588174B1. Автор: Raphael Peter ROBERTAZZI. Владелец: International Business Machines Corp. Дата публикации: 2017-03-07.

Apparatus and method for testing driver writeability strength on an integrated circuit

Номер патента: US20120112736A1. Автор: Ashish R. Jain,Edgardo F. Klass. Владелец: Individual. Дата публикации: 2012-05-10.

Apparatus and method for testing driver writeability strength on an integrated circuit

Номер патента: US20100308790A1. Автор: Ashish R. Jain,Edgardo F. Klass. Владелец: Apple Inc. Дата публикации: 2010-12-09.

Method and System for Distributing Clock Signals on Non-Manhattan Semiconductor Integrated Circuits

Номер патента: US20060277514A1. Автор: Akira Fujimura,Steven Teig,Raghu Chalasani. Владелец: Individual. Дата публикации: 2006-12-07.

Method for Generating Placement and Routing for an Integrated Circuit (IC)

Номер патента: US20240232497A1. Автор: Thierry BESSON,Pierre-Emmanuel Gaillardon. Владелец: Rapidsilicon Us Inc. Дата публикации: 2024-07-11.

Integrated circuit device and method for authenticating the hardware design of such integrated circuit device

Номер патента: EP4354333A1. Автор: Herve Pelletier. Владелец: NAGRAVISION SARL. Дата публикации: 2024-04-17.

Systems and methods for facilitating testing of pad receivers of integrated circuits

Номер патента: US20020135391A1. Автор: Shad Shepston,John Rohrbaugh,Jeffrey Rearick. Владелец: Individual. Дата публикации: 2002-09-26.

Methods for forming aligned fuses disposed in an integrated circuit

Номер патента: US20020122342A1. Автор: Lucien Bissey,Bryan Carson,Mark Hadzor. Владелец: Micron Technology Inc. Дата публикации: 2002-09-05.

System and method for extracting realtime debug signals from an integrated circuit

Номер патента: US5838692A. Автор: Paul G. Tobin. Владелец: Hewlett Packard Co. Дата публикации: 1998-11-17.

Check tool and check method for design rule check rule deck of integrated circuit layout

Номер патента: US11983480B2. Автор: Li Bai,Kang Zhao,Chuanjiang Chen. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-05-14.

Hardness measurement method, and fouling prevention method for hardness-measuring device

Номер патента: US10718746B2. Автор: Junichi Takahashi. Владелец: Kurita Water Industries ltd. Дата публикации: 2020-07-21.

Apparatus, method and computer-readable code for automated design of physical structures of integrated circuits

Номер патента: US20100131907A1. Автор: Eran Weis. Владелец: Daro Semiconductors Ltd. Дата публикации: 2010-05-27.

System and method of determining the speed of digital application specific integrated circuits

Номер патента: WO2007091123B1. Автор: Christos P Sotiriou. Владелец: Inst Of Comp Science. Дата публикации: 2008-03-20.

System and method for enabling a vendor mode on an integrated circuit

Номер патента: US20050034085A1. Автор: Melvin Isom,Shailesh Hegde. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2005-02-10.

System and method of determining the speed of digital application specific integrated circuits

Номер патента: WO2007080498A3. Автор: Christos P Sotiriou. Владелец: Inst Of Comp Science. Дата публикации: 2007-10-25.

System and method of determining the speed of digital application specific integrated circuits

Номер патента: EP1969382A2. Автор: Christos P. Sotiriou. Владелец: Institute Of Computer Science. Дата публикации: 2008-09-17.

System and method of determining the speed of digital application specific integrated circuits

Номер патента: WO2007091123A2. Автор: Christos P. Sotiriou. Владелец: Institute Of Computer Science. Дата публикации: 2007-08-16.

System and method for enabling a vendor mode on an integrated circuit

Номер патента: US20040060013A1. Автор: Melvin Isom,Shailesh Hegde. Владелец: Infineon Technologies North America Corp. Дата публикации: 2004-03-25.

Method for generating and interacting with polymeric photonic integrated circuits

Номер патента: EP4267999A1. Автор: Ofer Bar-On,Omer KOTLICKI. Владелец: Lumina Biophotonics Ltd. Дата публикации: 2023-11-01.

Method for generating and interacting with polymeric photonic integrated circuits

Номер патента: US20240061174A1. Автор: Ofer Bar-On,Omer KOTLICKI. Владелец: Lumina Biophotonics Ltd. Дата публикации: 2024-02-22.

System and method of acquiring delay, setup and hold values for integrated circuit cells

Номер патента: US6854102B1. Автор: Dinesh Maheshwari. Владелец: Cypress Semiconductor Corp. Дата публикации: 2005-02-08.

Method and data processing system for detecting a malicious component on an integrated circuit

Номер патента: US11914703B2. Автор: Ventzislav Nikov,Nikita Veshchikov. Владелец: NXP BV. Дата публикации: 2024-02-27.

Apparatus and method thereof for hybrid timing exception verification of an integrated circuit design

Номер патента: US20140040841A1. Автор: Mohamed Shaker Sarwary. Владелец: Atrenta Inc. Дата публикации: 2014-02-06.

Data backup method and data recovery method for NVDIMM, NVDIMM controller, and NVDIMM

Номер патента: US11966298B2. Автор: Xiaofeng Zhou,Xiping Jiang. Владелец: Xian Unilc Semiconductors Co Ltd. Дата публикации: 2024-04-23.

Gantry stage orthogonality error measurement method and error compensation method for position processing

Номер патента: US20110061441A1. Автор: Byoung gwan Ko. Владелец: SOONHAN ENGINEERING CORP. Дата публикации: 2011-03-17.

Operation right interlinking method and cooperative working method for shared application programs

Номер патента: CA2194520A1. Автор: Hiromi Mizuno,Hideyuki Fukuoka. Владелец: NEC Corp. Дата публикации: 1997-07-13.

Driving method and driving control method for pixel circuit

Номер патента: US20210193044A1. Автор: Song Meng,Yongqian Li. Владелец: Hefei Xinsheng Optoelectronics Technology Co Ltd. Дата публикации: 2021-06-24.

Method and apparatus for high speed on-chip signal propagation

Номер патента: EP1035653A3. Автор: Nital P. Patwa,James Vinh. Владелец: Fujitsu Ltd. Дата публикации: 2001-02-07.

Method And Apparatus For Controlling Power Supply

Номер патента: US20130043936A1. Автор: Fabrice Blisson,Nicolas L'Hostis,Sylvain Engel,ClaireMarie Lachaud. Владелец: STMICROELECTRONICS SA. Дата публикации: 2013-02-21.

Systems and methods for detecting and mitigating programmable logic device tampering

Номер патента: US09852315B2. Автор: Bruce B. Pedersen. Владелец: Altera Corp. Дата публикации: 2017-12-26.

Method and circuit arrangement for controlling switching transistors of an integrated circuit

Номер патента: US20110133782A1. Автор: Ulrich Theus,Martin Czech. Владелец: TDK Micronas GmbH. Дата публикации: 2011-06-09.

Bi-directional amplifier and method for accelerated bus line communication

Номер патента: US20030179012A1. Автор: Tyler BRANDON,Raymond Sung,John Koob,Duncan Elliot. Владелец: Mosaid Technologies Inc. Дата публикации: 2003-09-25.

Method and circuit for temperature dependence reduction of a RC clock circuit

Номер патента: US09461623B2. Автор: Shin-Jang Shen,Yi-Ching Liu,Hsien-Hung Wu. Владелец: Macronix International Co Ltd. Дата публикации: 2016-10-04.

Clock source, method for distributing a clock signal and integrated circuit

Номер патента: US09766651B2. Автор: Sergey Sofer,Michael Priel,Dan Kuzmin. Владелец: NXP USA Inc. Дата публикации: 2017-09-19.

Integrated circuit device with embedded programmable logic

Номер патента: EP4366171A3. Автор: Arifur Rahman,Bernhard Friebe. Владелец: Altera Corp. Дата публикации: 2024-07-31.

EMBEDDED UNIVERSAL INTEGRATED CIRCUIT CARD (eUICC) FILE SYSTEM MANAGEMENT WITH PROFILE SWITCHING

Номер патента: US20190297490A1. Автор: Xiangying Yang. Владелец: Apple Inc. Дата публикации: 2019-09-26.

Circuit and method for terminating data line of semiconductor integrated circuit

Номер патента: KR100940837B1. Автор: 구기봉. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2010-02-04.

Methods to send extra information in-band on inter-integrated circuit (i2c) bus

Номер патента: WO2015153773A1. Автор: Shoichiro Sengoku. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2015-10-08.

Methods to send extra information in-band on inter-integrated circuit (i2c) bus

Номер патента: EP3126994A1. Автор: Shoichiro Sengoku. Владелец: Qualcomm Inc. Дата публикации: 2017-02-08.

Methods to send extra information in-band on inter-integrated circuit (I2C) bus

Номер патента: US09928208B2. Автор: Shoichiro Sengoku. Владелец: Qualcomm Inc. Дата публикации: 2018-03-27.

Methods to send extra information in-band on inter-integrated circuit (I2C) bus

Номер патента: US09710423B2. Автор: Shoichiro Sengoku. Владелец: Qualcomm Inc. Дата публикации: 2017-07-18.

Method and apparatus for calibrating driver impedance

Номер патента: US20040174185A1. Автор: FENG Lin,Brian Johnson. Владелец: Individual. Дата публикации: 2004-09-09.

System and method of authenticating firmware

Номер патента: US12045351B2. Автор: Jeffrey Leighton Kennedy,Milton Olavo Taveira. Владелец: Dell Products LP. Дата публикации: 2024-07-23.

Apparatus and method for generating internal voltage in semiconductor integrated circuit

Номер патента: KR100757933B1. Автор: 김명진,안용복. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-09-11.

Apparatus and method for generating internal voltage in semiconductor integrated circuit

Номер патента: US8013662B2. Автор: Gyo-Soo Chu. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-09-06.

Method and circuit for a dual supply amplifier

Номер патента: WO2002013376A2. Автор: Arya R. Behzad,Frank W. Singor. Владелец: BROADCOM CORPORATION. Дата публикации: 2002-02-14.

Apparatus and method for generating internal voltage in semiconductor integrated circuit

Номер патента: KR100795026B1. Автор: 추교수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-01-16.

Digital signature method and verification method for digital signature

Номер патента: US20230353385A1. Автор: Li-Po Chou. Владелец: Realtek Semiconductor Corp. Дата публикации: 2023-11-02.

Methods and apparatus for improving device functionality during long blocking uicc operations

Номер патента: WO2014197469A1. Автор: Jiang Zhang,Aram Perez,Michele Berionne. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2014-12-11.

Methods and apparatus for improving device functionality during long blocking uicc operations

Номер патента: EP2987302A1. Автор: Jiang Zhang,Aram Perez,Michele Berionne. Владелец: Qualcomm Inc. Дата публикации: 2016-02-24.

Methods and apparatus for improving device functionality during long blocking uicc operations

Номер патента: US20140357249A1. Автор: Jiang Zhang,Aram Perez,Michele Berionne. Владелец: Qualcomm Inc. Дата публикации: 2014-12-04.

Address management and routing methods for wireless personal area network (wpan)

Номер патента: WO2007049857A1. Автор: Chunhui Zhu,Jin-Young Yang,Eun-Il Seo. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2007-05-03.

Image data encoding/decoding method and apparatus

Номер патента: EP4387232A3. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-08-21.

Image data encoding/decoding method and apparatus

Номер патента: EP4432664A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-09-18.

Image data encoding/decoding method and apparatus

Номер патента: EP4432662A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-09-18.

Image data encoding/decoding method and apparatus

Номер патента: EP4436181A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-09-25.

Image data encoding/decoding method and apparatus

Номер патента: EP4432668A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-09-18.

Image data encoding/decoding method and apparatus

Номер патента: EP4432663A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-09-18.

Image data encoding/decoding method and apparatus

Номер патента: EP4436180A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-09-25.

Image data encoding/decoding method and apparatus

Номер патента: EP4432665A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-09-18.

Image data encoding/decoding method and apparatus

Номер патента: EP4436182A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-09-25.

Image data encoding/decoding method and apparatus

Номер патента: EP4432667A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-09-18.

Image data encoding/decoding method and apparatus

Номер патента: EP4432670A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-09-18.

Image data encoding/decoding method and apparatus

Номер патента: EP4432666A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-09-18.

Image data encoding/decoding method and apparatus

Номер патента: EP4432669A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-09-18.

Image data encoding/decoding method and apparatus

Номер патента: EP4447452A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-10-16.

Image data encoding/decoding method and apparatus

Номер патента: EP4447453A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-10-16.

Image data encoding/decoding method and apparatus

Номер патента: EP4447454A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-10-16.

Image data encoding/decoding method and apparatus

Номер патента: EP4456536A2. Автор: Ki Beak KIM. Владелец: B1 Institute of Image Technology Inc. Дата публикации: 2024-10-30.

System and method for performing longest common prefix strings searches

Номер патента: US09558241B2. Автор: Christopher Harris,Hal Lonas. Владелец: Webroot Inc. Дата публикации: 2017-01-31.

Systems and methods for detecting and mitigating programmable logic device tampering

Номер патента: WO2012148707A3. Автор: Bruce B. Pedersen. Владелец: Altera Corporation. Дата публикации: 2012-12-27.

Systems and methods for detecting and mitigating programmable logic device tampering

Номер патента: US20200184118A1. Автор: Bruce B. Pedersen. Владелец: Altera Corp. Дата публикации: 2020-06-11.

Systems and Methods for Detecting and Mitigating of Programmable Logic Device Tampering

Номер патента: US20180068136A1. Автор: Bruce B. Pedersen. Владелец: Altera Corp. Дата публикации: 2018-03-08.

Systems and methods for detecting and mitigating programmable logic device tampering

Номер патента: WO2012148707A2. Автор: Bruce B. Pedersen. Владелец: Altera Corporation. Дата публикации: 2012-11-01.

Repairable io in an integrated circuit

Номер патента: WO2011109413A2. Автор: David Lewis. Владелец: Altera Corporation. Дата публикации: 2011-09-09.

Integrated circuit device with embedded programmable logic

Номер патента: EP4362335A2. Автор: Arifur Rahman,Bernhard Friebe. Владелец: Altera Corp. Дата публикации: 2024-05-01.

Integrated circuit device with embedded programmable logic

Номер патента: EP4366171A2. Автор: Arifur Rahman,Bernhard Friebe. Владелец: Altera Corp. Дата публикации: 2024-05-08.

Apparatus and method for recovery of wasted power from differential drivers

Номер патента: WO2008136810A1. Автор: Hongwu Chi. Владелец: Analogix Semiconductor, Inc.. Дата публикации: 2008-11-13.

IN-SITU ENRICHMENT AND ANALYTICAL METHOD FOR THE Hg(II) ISOTOPE IN AQUEOUS PHASE

Номер патента: US20240100479A1. Автор: Jun Luo,Heng YAO,Hongqian Yin,Xinbin Feng. Владелец: Institute of Geochemistry of CAS. Дата публикации: 2024-03-28.

METHOD FOR MANUFACTURING A DYNAMIC MEMORY IN INTEGRATED CIRCUIT AND MEMORY OBTAINED BY THIS METHOD

Номер патента: FR2577338A1. Автор: Albert Bergemont. Владелец: EUROTECHNIQUE. Дата публикации: 1986-08-14.

A system and method for a single chip direct conversion transceiver in silicon

Номер патента: WO2009049400A1. Автор: Sean T. Nicolson,Ekaterina Laskin,Sorin P. Voinigescu. Владелец: Voinigescu Sorin P. Дата публикации: 2009-04-23.

Dual-clock generation circuit and method and electronic device

Номер патента: US11817860B2. Автор: Yinchuan GU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-11-14.

Latch circuit, latch method, and electronic device

Номер патента: US20230015237A1. Автор: Yinchuan GU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-19.

Latch circuit, latch method, and electronic device

Номер патента: US11804829B2. Автор: Yinchuan GU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-10-31.

Dual-clock generation circuit and method and electronic device

Номер патента: US20230021203A1. Автор: Yinchuan GU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-19.

Apparatus and methods for measurement of analog voltages in an integrated circuit

Номер патента: TWI323348B. Автор: Ronny Chan,Ramesh Senthinathan,Richard W Fung. Владелец: Ati Technologies Inc. Дата публикации: 2010-04-11.

Apparatus and method for adaptive reduction of power consumption in integrated circuits

Номер патента: EP1030449B1. Автор: Christopher John Nicol. Владелец: Lucent Technologies Inc. Дата публикации: 2008-03-26.

Methods for fabricating capacitor structures using a photoresist layer

Номер патента: US5879984A. Автор: Jong-Jin Lee,Yun-Seung Shin. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-03-09.

Method to reduce the pin count on an integrated circuit and associated apparatus

Номер патента: US7683661B2. Автор: David Edwin Johnson. Владелец: Wolfson Microelectronics plc. Дата публикации: 2010-03-23.

Method for manufacturing a stator

Номер патента: US11949300B2. Автор: Massimo Ponzio,Rubino Corbinelli,Daniele NOCCIOLINI. Владелец: ATOP SpA. Дата публикации: 2024-04-02.

Method to reduce the pin count on an integrated circuit and associated apparatus

Номер патента: US20090058497A1. Автор: David Edwin Johnson. Владелец: Wolfson Microelectronics plc. Дата публикации: 2009-03-05.

System and method for reducing reconfiguration power usage

Номер патента: US20130021058A1. Автор: Steven Teig,Martin Voogel,Randy R. Huang,Jingcao Hu. Владелец: Tabula Inc. Дата публикации: 2013-01-24.

Systems and methods for fabricating superconducting integrated circuits

Номер патента: EP4070392A1. Автор: Richard G. Harris,Christopher B. Rich. Владелец: D Wave Systems Inc. Дата публикации: 2022-10-12.

Methods and circuitry for reducing intermodulation in integrated transceivers

Номер патента: US20030078022A1. Автор: Yijun Cai. Владелец: Broadcom Corp. Дата публикации: 2003-04-24.

Method for manufacturing window and method for manufacturing display device

Номер патента: US12000978B2. Автор: Hyun Chul Jung,Byoungyul Shim,Jaeseung Jeon,Seungyo Yang. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-06-04.

Integrated circuit system and control method thereof

Номер патента: US20080100368A1. Автор: Young-chan Ryu. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-05-01.

Methods and circuitry for reducing intermodulation in integrated transceivers

Номер патента: EP1304805A3. Автор: Yijun Cai. Владелец: Broadcom Corp. Дата публикации: 2003-06-18.

Circuits and methods for implementing mode selection in multiple-mode integrated circuits

Номер патента: WO2006065452A3. Автор: Kartik Nanda. Владелец: Cirrus Logic Inc. Дата публикации: 2006-08-31.

Method and system for enhancing the security of a transaction

Номер патента: US11880832B2. Автор: FRANCESCO Viola. Владелец: THALES DIS FRANCE SAS. Дата публикации: 2024-01-23.

METHOD FOR GENERATING PERSONALIZED PROFILE PACKAGE DATA FOR INTEGRATED CIRCUIT CARDS

Номер патента: US20200137030A1. Автор: ALFARANO Marco,Massascusa Sofia. Владелец: . Дата публикации: 2020-04-30.

APPARATUS AND METHOD FOR MANAGING SECURITY DOMAINS FOR A UNIVERSAL INTEGRATED CIRCUIT CARD

Номер патента: US20180234405A1. Автор: Chastain Walter Cooper. Владелец: AT&T Intellectual Property I, L.P.. Дата публикации: 2018-08-16.

Apparatus and Method for Managing Security Domains for a Universal Integrated Circuit Card

Номер патента: US20170295158A1. Автор: Chastain Walter Cooper. Владелец: . Дата публикации: 2017-10-12.

Apparatus and method for managing security domains for a universal integrated circuit card

Номер патента: US20150319152A1. Автор: Walter Cooper Chastain. Владелец: AT&T INTELLECTUAL PROPERTY I LP. Дата публикации: 2015-11-05.

Apparatus and method for managing security domains for a universal integrated circuit card

Номер патента: US9713006B2. Автор: Walter Cooper Chastain. Владелец: AT&T INTELLECTUAL PROPERTY I LP. Дата публикации: 2017-07-18.

System and method for cloud testing and remote monitoring for integrated circuit component

Номер патента: CN103778038A. Автор: 曾昱傑,林熙方,吴绍魁. Владелец: Qin Zhan Precision Testing Co ltd. Дата публикации: 2014-05-07.

Apparatus and method for managing security domains for a universal integrated circuit card

Номер патента: US10476859B2. Автор: Walter Cooper Chastain. Владелец: AT&T INTELLECTUAL PROPERTY I LP. Дата публикации: 2019-11-12.

Circuit and method of sensing process and temperature variation in an integrated circuit

Номер патента: EP0609529A1. Автор: Ahmad H. Atriss,Benjamin C. Peterson,Lanny L. Parker. Владелец: Codex Corp. Дата публикации: 1994-08-10.

Circuits for and methods of implementing a charge/discharge switch in an integrated circuit

Номер патента: US9184623B1. Автор: John K. Jennings,Ionut C. CICAL. Владелец: Xilinx Inc. Дата публикации: 2015-11-10.

Optical apparatus and methods and computer program products useful for manufacturing same

Номер патента: US11899226B2. Автор: Matan Naftali,Inbal Herer,Adi BARAM. Владелец: Maradin Technologies Ltd. Дата публикации: 2024-02-13.

Method and system for enhancing the security of a transaction

Номер патента: EP3394811A1. Автор: Viola Francesco. Владелец: GEMALTO SA. Дата публикации: 2018-10-31.

Method and system for enhancing the security of a transaction

Номер патента: WO2017108977A1. Автор: Viola Francesco. Владелец: GEMALTO SA. Дата публикации: 2017-06-29.

Optical apparatus and methods and computer program products useful for manufacturing same

Номер патента: US20190064402A1. Автор: Matan Naftali,Inbal Herer,Adi BARAM. Владелец: Maradin Technologies Ltd. Дата публикации: 2019-02-28.

Optical apparatus and methods and computer program products useful for manufacturing same

Номер патента: US20220268977A1. Автор: Matan Naftali,Inbal Herer,Adi BARAM. Владелец: Maradin Technologies Ltd. Дата публикации: 2022-08-25.

Optical apparatus and methods and computer program products useful for manufacturing same

Номер патента: US20240219612A1. Автор: Matan Naftali,Inbal Herer,Adi BARAM. Владелец: Maradin Technologies Ltd. Дата публикации: 2024-07-04.

Devices and methods of cancelling the switching noise from power management integrated circuits

Номер патента: US09991780B2. Автор: Fu-Yi Han,Jhe-Jia KUO. Владелец: MediaTek Inc. Дата публикации: 2018-06-05.

METHOD AND APPARATUS FOR IDENTIFYING CONNECTIONS BETWEEN CONFIGURABLE NODES IN A CONFIGURABLE INTEGRATED CIRCUIT

Номер патента: US20130135009A1. Автор: Teig Steven,Rohe Andre. Владелец: . Дата публикации: 2013-05-30.

SYSTEM AND METHOD FOR GENERATING RANDOM BIT STRING IN AN INTEGRATED CIRCUIT

Номер патента: US20210026604A1. Автор: Ganguly Udayan,Sadana Sunny,Lele Sanjay Ashwin. Владелец: . Дата публикации: 2021-01-28.

METHOD AND APPARATUS FOR CLOCK SKEW CONTROL WITH LOW JITTER IN AN INTEGRATED CIRCUIT

Номер патента: US20200044636A1. Автор: Koch Michael,Ringe Matthias,Cilek Fatih,Arp Andreas,Hertwig Andre. Владелец: . Дата публикации: 2020-02-06.

METHOD AND APPARATUS FOR CLOCK SKEW CONTROL WITH LOW JITTER IN AN INTEGRATED CIRCUIT

Номер патента: US20180069540A1. Автор: Koch Michael,Ringe Matthias,Cilek Fatih,Arp Andreas,Hertwig Andre. Владелец: . Дата публикации: 2018-03-08.

OPTICAL APPARATUS AND METHODS AND COMPUTER PROGRAM PRODUCTS USEFUL FOR MANUFACTURING SAME

Номер патента: US20220268977A1. Автор: Naftali Matan,BARAM Adi,HERER Inbal. Владелец: . Дата публикации: 2022-08-25.

SYSTEMS AND METHODS FOR ROUTING DATA ACROSS REGIONS OF AN INTEGRATED CIRCUIT

Номер патента: US20200153438A1. Автор: Atsatt Sean R.,Schmit Herman Henry. Владелец: . Дата публикации: 2020-05-14.

SYSTEMS AND METHODS FOR ROUTING DATA ACROSS REGIONS OF AN INTEGRATED CIRCUIT

Номер патента: US20190165789A1. Автор: Atsatt Sean R.,Schmit Herman Henry. Владелец: . Дата публикации: 2019-05-30.

APPARATUS AND METHOD FOR FILTERING RADIO FREQUENCY SIGNALS OF TRANSCEIVER INTEGRATED CIRCUITS

Номер патента: US20160218673A1. Автор: Anderson Scott R.,Beck Ted J.. Владелец: . Дата публикации: 2016-07-28.

METHOD FOR SHARING A MOBILE OPERATOR PROFILE IN INTEGRATED CIRCUIT CARDS, AND CORRESPONDING SYSTEM AND COMPUTER PROGRAM PRODUCT

Номер патента: US20210243591A1. Автор: Caserta Francesco. Владелец: . Дата публикации: 2021-08-05.

METHOD AND APPARATUS FOR CLOCK SKEW CONTROL WITH LOW JITTER IN AN INTEGRATED CIRCUIT

Номер патента: US20190222206A1. Автор: Koch Michael,Ringe Matthias,Cilek Fatih,Arp Andreas,Hertwig Andre. Владелец: . Дата публикации: 2019-07-18.

APPARATUS AND METHOD FOR FILTERING RADIO FREQUENCY SIGNALS OF TRANSCEIVER INTEGRATED CIRCUITS

Номер патента: US20170264243A1. Автор: Anderson Scott R.,Beck Ted J.. Владелец: . Дата публикации: 2017-09-14.

Method and Apparatus to Facilitate Calibrating a Loop Error Amplifier in an Integrated Circuit

Номер патента: US20180278160A1. Автор: Munroe Michael James,Brink Stephen Isaac. Владелец: . Дата публикации: 2018-09-27.

METHOD AND APPARATUS FOR CLOCK SKEW CONTROL WITH LOW JITTER IN AN INTEGRATED CIRCUIT

Номер патента: US20180323773A1. Автор: Koch Michael,Ringe Matthias,Cilek Fatih,Arp Andreas,Hertwig Andre. Владелец: . Дата публикации: 2018-11-08.

Method for processing phone-book data of universal integrated circuit card and mobile terminal thereof

Номер патента: KR100875742B1. Автор: 이형진. Владелец: 주식회사 케이티프리텔. Дата публикации: 2008-12-26.

Method and apparatus for identifying connections between configurable nodes in a configurable integrated circuit

Номер патента: US20070245287A1. Автор: Steven Teig,Andre Rohe. Владелец: Tabula Inc. Дата публикации: 2007-10-18.

Phase Noise Enhancement Method for Miniature Voltage Controlled Oscillator composed of Integrated Circuits

Номер патента: KR100384243B1. Автор: 권원현,한종태. Владелец: 권원현. Дата публикации: 2003-05-16.

System and method for the authenticated firmware being stored on integrated circuit

Номер патента: CN109643360A. Автор: B·B·佩德森. Владелец: Altera Corp. Дата публикации: 2019-04-16.

Management method and system of personal identification number under multi-application of universal integrated circuit card

Номер патента: CN101820615A. Автор: 陆欢. Владелец: ZTE Corp. Дата публикации: 2010-09-01.

Method and apparatus for extending the size of a transistor beyond one integrated circuit

Номер патента: US6882212B2. Автор: Balu Balakrishnan. Владелец: Power Integrations Inc. Дата публикации: 2005-04-19.

Method of using a magnetic field furnace to manufacture semiconductor substrates

Номер патента: US20030097978A1. Автор: Kentaro Fujita,Hilton Glavish,Keiji Maishigi,Hideyuki Isozaki. Владелец: Individual. Дата публикации: 2003-05-29.

Cabazitaxel, related compounds and methods of synthesis

Номер патента: US09567312B2. Автор: Ragina Naidu,Yunman Zheng,Tianhui Xu. Владелец: BN PHARMACEUTICALS Inc. Дата публикации: 2017-02-14.

System and method for manufacturing polymer coated controlled release fertilizers

Номер патента: US20230373878A1. Автор: Santosh Kumar YADAV. Владелец: Individual. Дата публикации: 2023-11-23.

Production method and disintegration suppression method for sintered ore

Номер патента: EP4299774A4. Автор: Tetsuya Yamamoto,Takahide Higuchi,Kenta Takehara. Владелец: JFE Steel Corp. Дата публикации: 2024-09-11.

OPTICAL APPARATUS AND METHODS AND COMPUTER PROGRAM PRODUCTS USEFUL FOR MANUFACTURING SAME

Номер патента: US20190064402A1. Автор: Naftali Matan,BARAM Adi,HERER Inbal. Владелец: . Дата публикации: 2019-02-28.

METHOD AND IMPLEMENTATION OF A PROCESS FOR MANUFACTURING METAL COATINGS OF TANTALUM AND NIOBIUM BY ELECTROLYSIS FROM AN AQUEOUS SOLUTION

Номер патента: BE760904A. Автор: . Владелец: Bobst Joseph. Дата публикации: 1971-05-27.

Methods and systems for kitting parts for manufacturing processes

Номер патента: US09937623B2. Автор: Christina Michelle Vasquez,Stephan J. Favilla. Владелец: Boeing Co. Дата публикации: 2018-04-10.

Devices and methods for integrated circuit manufacturing

Номер патента: US20030080362A1. Автор: Simon Dodd,Frank Bryant,Paul Mikulan. Владелец: Hewlett Packard Co. Дата публикации: 2003-05-01.

Method and apparatus of manufacturing products

Номер патента: EP4450261A1. Автор: Claudio Giuseppe VIMERCATI,Giacomo BARERA. Владелец: Cms Spa. Дата публикации: 2024-10-23.

Vehicle interior covering and a method for its manufacture

Номер патента: US20110278872A1. Автор: Ralf EIDT,Wolfgang PLEGGE. Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2011-11-17.

Systems and methods of bonding wax components for lost wax casting

Номер патента: US12042846B2. Автор: James Whitton,Zachary ORAS,Zachary Hopkins. Владелец: Chromalloy Gas Turbine Corp. Дата публикации: 2024-07-23.

Systems and Methods of Bonding Wax Components for Lost Wax Casting

Номер патента: US20240189887A1. Автор: James Whitton,Zachary ORAS,Zachary Hopkins. Владелец: Chromalloy Gas Turbine Corp. Дата публикации: 2024-06-13.

System and method for manufacturing bolus for radiotherapy using a three-dimensional printer

Номер патента: CA2931847C. Автор: James Robar,Shiqin SU. Владелец: DALHOUSIE UNIVERSITY. Дата публикации: 2021-05-11.

Image evaluation method and quality control method for planographic printing plate

Номер патента: US20040224260A1. Автор: Koichiro Aono,Mitsuo Osato. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 2004-11-11.

Tire vulcanizing method and tire manufacturing method

Номер патента: US09931798B2. Автор: Hiroyuki Onimatsu. Владелец: Sumitomo Rubber Industries Ltd. Дата публикации: 2018-04-03.

Method of and apparatus for manufacturing tabs for easy-open can end

Номер патента: CA2252646A1. Автор: Steven T. Cook,John F. Schubert,James R. Schubert. Владелец: Individual. Дата публикации: 1998-08-06.

System and method for manufacturing pallet with embedded support structures

Номер патента: CA3073510A1. Автор: Gary W. Morris,Brian D. KIVISTO. Владелец: Paradigm Plastic Pallets Inc. Дата публикации: 2019-02-28.

System and method for manufacturing pallet with embedded support structures

Номер патента: EP3672887A1. Автор: Gary W. Morris,Brian D. KIVISTO. Владелец: Paradigm Plastic Pallets Inc. Дата публикации: 2020-07-01.

Die assembly and method of use for manufacturing backing plates of friction assemblies

Номер патента: CA3089410A1. Автор: Raj Thalappath. Владелец: Util Canada Ltd. Дата публикации: 2022-02-07.

Method and apparatus for manufacturing contact lenses

Номер патента: MY185730A. Автор: John Robert Gibson,Hayden Atkinson,Terry Michael Cook,James Bryan Cook. Владелец: Coopervision Int Ltd. Дата публикации: 2021-06-01.

Method and apparatus for manufacturing joined tubes

Номер патента: US5932166A. Автор: Claude Leviel,Geoffroy Bousselin. Владелец: Plastic Omnium SE. Дата публикации: 1999-08-03.

Method and forming machine for manufacturing a product having various diameters

Номер патента: CA2474019A1. Автор: Johan Massee. Владелец: Individual. Дата публикации: 2003-07-24.

Method and forming machine for manufacturing a product having various diameters

Номер патента: CA2474019C. Автор: Johan Massee. Владелец: Individual. Дата публикации: 2011-04-26.

Method and apparatus for forging sections

Номер патента: US4363234A. Автор: Per-Olof Strandell. Владелец: Individual. Дата публикации: 1982-12-14.

Method and forming machine for manufacturing a product having various diameters

Номер патента: WO2003059547A1. Автор: Johan Massee. Владелец: Massee Johan. Дата публикации: 2003-07-24.

Apparatus and method of punching and extracting tab ic from tab ic tape

Номер патента: WO2010010984A1. Автор: Young Seok Koo. Владелец: Sungjin Hi-Mech Co., Ltd. Дата публикации: 2010-01-28.

Method and system of generating data for manufacturing a part, and method of manufacturing a part

Номер патента: TW380060B. Автор: Tadahiko Nagasawa. Владелец: Amada Co Ltd. Дата публикации: 2000-01-21.

Meandering amount detection method and meandering control method for metal strip

Номер патента: EP4238668B1. Автор: Masanori Hoshino. Владелец: JFE Steel Corp. Дата публикации: 2024-10-23.

Be used for method and apparatus at distribution photoresists such as manufacturing semiconductor devices

Номер патента: CN1766734A. Автор: 李钟华,朴珍俊. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-05-03.

Method for for manufacturing reinforcing fabric for a transmission belt

Номер патента: EP3263947B1. Автор: Toshihiro Nishimura,Taisuke Kimura,Masakuni Yoshida. Владелец: Mitsuboshi Belting Ltd. Дата публикации: 2023-12-06.

METHOD AND DEVICE AND PLASTIC TUBE FOR MANUFACTURE OF SHAPED PARTS OR OBJECTS

Номер патента: DE3834620A1. Автор: Josef Landler. Владелец: Alkor GmbH Kunstoffverkauf. Дата публикации: 1990-04-12.

Method and device and press-fitting for manufacturing a perfect,leak-proof junction of pipes

Номер патента: HK138293A. Автор: Herbert Foering,Heinz Unewisse. Владелец: Mannesmann AG. Дата публикации: 1993-12-24.

Method and system for providing interactive testing of integrated circuits

Номер патента: US20050204237A1. Автор: Peilin Song,Franco Motika,Todd Burdine. Владелец: International Business Machines Corp. Дата публикации: 2005-09-15.

Methods and systems for performing timing sign-off of an integrated circuit design

Номер патента: US20120089383A1. Автор: Rajkumar Agrawal. Владелец: Individual. Дата публикации: 2012-04-12.

Integrated circuit with on-board power utilization information

Номер патента: US20050285639A1. Автор: Pieter Vorenkamp,Chun-Ying Chen,Sumant Ranganathan,Neil Kim. Владелец: Broadcom Corp. Дата публикации: 2005-12-29.

Systems and methods for graphically programming an application with external integrated circuits

Номер патента: US20240232121A9. Автор: Mohammad Ali REZAEI. Владелец: Individual. Дата публикации: 2024-07-11.

Systems and methods for graphically programming an application with external integrated circuits

Номер патента: WO2022192229A3. Автор: Mohammad Ali REZAEI. Владелец: Rezaei Mohammad Ali. Дата публикации: 2022-11-03.

Predictive circuit design for integrated circuits

Номер патента: US09881117B1. Автор: Nabeel Shirazi,Anindita Patra. Владелец: Xilinx Inc. Дата публикации: 2018-01-30.

Methods for modifying an integrated circuit layout design

Номер патента: US20150040091A1. Автор: Ayman Hamouda. Владелец: Globalfoundries Inc. Дата публикации: 2015-02-05.

Method and apparatus for generating test patterns used in testing semiconductor integrated circuit

Номер патента: TW513580B. Автор: Takahiro Yamaguchi,Masahiro Ishida. Владелец: Advantest Corp. Дата публикации: 2002-12-11.

Display substrate and method for repairing lead of driver integrated circuit

Номер патента: US20150212379A1. Автор: HUI Wang,Long Xia. Владелец: Hefei BOE Optoelectronics Technology Co Ltd. Дата публикации: 2015-07-30.

Methods for providing macro placement of IC

Номер патента: US09892226B2. Автор: Chin-Hsiung Hsu,Chun-Chih Yang,Chi-Yuan Liu,Shih-Ying Liu,Chao-Neng HUANG,Che-Jung LOU. Владелец: MediaTek Inc. Дата публикации: 2018-02-13.

Display substrate and method for repairing lead of driver integrated circuit

Номер патента: US09625779B2. Автор: HUI Wang,Long Xia. Владелец: Hefei BOE Optoelectronics Technology Co Ltd. Дата публикации: 2017-04-18.

SEMICONDUCTOR INTEGRATED CIRCUIT AND METHOD FOR CONTROLLING POWER SUPPLY IN SEMICONDUCTOR INTEGRATED CIRCUIT

Номер патента: US20160109924A1. Автор: Nomura Yoshihisa. Владелец: . Дата публикации: 2016-04-21.

Semiconductor integrated circuit and method for reducing power consumption of semiconductor integrated circuit

Номер патента: JP3461947B2. Автор: 篤 川澄. Владелец: Toshiba Corp. Дата публикации: 2003-10-27.

Device and method for coupling laser to a photonic integrated circuit

Номер патента: WO2020159614A9. Автор: Ryohei Urata,Lieven Verslegers,Daoyi Wang. Владелец: Google LLC. Дата публикации: 2021-04-15.

Device and method for coupling laser to a photonic integrated circuit

Номер патента: EP3803482A1. Автор: Ryohei Urata,Lieven Verslegers,Daoyi Wang. Владелец: Google LLC. Дата публикации: 2021-04-14.

Method for determining the critical path of an integrated circuit

Номер патента: US20040019450A1. Автор: Henning LÖRCH,Jörg Berthold,Martin Eisele. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2004-01-29.

Integrated circuit design optimization

Номер патента: US09454636B1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-09-27.

Method and arrangement for a system for manufacturing dental products

Номер патента: EP1235530A1. Автор: Matts Andersson,Anders Törnquist. Владелец: Nobel Biocare AB. Дата публикации: 2002-09-04.

Method and arrangement for manufacturing coated and glazed paper or board

Номер патента: WO2001075229A9. Автор: Vilho Nissinen,Elias Retulainen,Johan Groen. Владелец: Johan Groen. Дата публикации: 2008-09-25.

Method and BIST architecture for fast memory testing in platform-based integrated circuit

Номер патента: US20060156088A1. Автор: Anatoli Bolotov,Alexander Andreev,Raoko Scepanovic. Владелец: Individual. Дата публикации: 2006-07-13.

Method and arrangement for manufacturing coated and glazed paper or board

Номер патента: WO2001075229A1. Автор: Vilho Nissinen,Elias Retulainen,Johan Gron. Владелец: METSO PAPER, INC.. Дата публикации: 2001-10-11.

Method and arrangement for a system for manufacturing dental products

Номер патента: WO2001037756A1. Автор: Matts Andersson,Anders Törnquist. Владелец: Nobel Biocare Ab (Publ). Дата публикации: 2001-05-31.

Systems and methods for logic circuit replacement with configurable circuits

Номер патента: US11562117B2. Автор: Nij Dorairaj,David Kehlet. Владелец: Intel Corp. Дата публикации: 2023-01-24.

Systems And Methods For Logic Circuit Replacement With Configurable Circuits

Номер патента: US20210294953A1. Автор: Nij Dorairaj,David Kehlet. Владелец: Intel Corp. Дата публикации: 2021-09-23.

Method and apparatus for generating test patterns used in testing semiconductor integrated ciruit

Номер патента: US20020035708A1. Автор: Takahiro Yamaguchi,Masahiro Ishida. Владелец: Advantest Corp. Дата публикации: 2002-03-21.

Apparatus and method for protecting a magnetic head coil during pole notch processing

Номер патента: US20040237288A1. Автор: Edward Lee,Wenchein Hsiao,Bradley Webb. Владелец: Individual. Дата публикации: 2004-12-02.

Inductorless method and apparatus for driving electroluminescent panels

Номер патента: US20030025691A1. Автор: James Jenkins,Jimes Lei. Владелец: Individual. Дата публикации: 2003-02-06.

Method and system for secure online transaction using integrated circuit card

Номер патента: WO2017039539A1. Автор: GUOHUA Sun,Shuming Wu. Владелец: JING KING TECH HOLDINGS PTE. LTD.. Дата публикации: 2017-03-09.

Method and system for secure online transaction using integrated circuit card

Номер патента: PH12018500444A1. Автор: GUOHUA Sun,Shuming Wu. Владелец: Jing King Tech Holdings Pte Ltd. Дата публикации: 2018-08-29.

Method and apparatus for computing file storage elements for backup and restore

Номер патента: US7516288B2. Автор: Madhav Mutalik,Ajay Shekhar,Ananthan K. Pillai,Cara Garber. Владелец: EMC Corp. Дата публикации: 2009-04-07.

Method and timing harness for system level static timing analysis

Номер патента: US20060123281A1. Автор: Robin Lung Ko. Владелец: LSI Logic Corp. Дата публикации: 2006-06-08.

Method and system for functional verification and power analysis of clock-gated integrated circuits

Номер патента: US09928323B2. Автор: Theodore Wilson. Владелец: Microsemi Solutions US Inc. Дата публикации: 2018-03-27.

Method and system for functional verification and power analysis of clock-gated integrated circuits

Номер патента: US09639641B1. Автор: Theodore Wilson. Владелец: Microsemi Storage Solutions US Inc. Дата публикации: 2017-05-02.

Compiling method, running method, and related product

Номер патента: US20240220819A1. Автор: Huiying LAN,Xueting GUO. Владелец: Shanghai Cambricon Information Technology Co Ltd. Дата публикации: 2024-07-04.

Cleaning method and system for used paper recycling apparatus

Номер патента: US20080179029A1. Автор: Shigeru Tamai,Yuji Koyama. Владелец: Seed Co Ltd. Дата публикации: 2008-07-31.

Image processing method and anti-saturation method for image data and image processing device

Номер патента: US9401127B2. Автор: Hsing-Chuan Chen. Владелец: NOVATEK MICROELECTRONICS CORP. Дата публикации: 2016-07-26.

Method for training an image analysis neural network, and object re-identification method implementing such a neural network

Номер патента: US20230064615A1. Автор: Matthieu Ospici. Владелец: BULL SAS. Дата публикации: 2023-03-02.

Yellow toner and method for producing the same

Номер патента: US09910375B2. Автор: Takeshi Sekiguchi,Shosei Mori. Владелец: Canon Inc. Дата публикации: 2018-03-06.

Apparatus and method for testing internal voltage in semiconductor integrated circuit

Номер патента: KR100803354B1. Автор: 민민. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-02-14.

Method for calculating delay time of semiconductor integrated circuit and delay time calculating system

Номер патента: WO2003060776A1. Автор: Katsumi Andou. Владелец: Fujitsu Vlsi Limited. Дата публикации: 2003-07-24.

Method and program for supporting register-transfer-level design of semiconductor integrated circuit

Номер патента: US6851102B2. Автор: Takehiko Tsuchiya. Владелец: Toshiba Corp. Дата публикации: 2005-02-01.

Method and program for supporting register-transfer-level design of semiconductor integrated circuit

Номер патента: US7519939B2. Автор: Takehiko Tsuchiya. Владелец: Toshiba Corp. Дата публикации: 2009-04-14.

Integrated circuit with on-board power utilization information

Номер патента: US20110191736A1. Автор: Pieter Vorenkamp,Chun-Ying Chen,Sumant Ranganathan,Neil Y. Kim. Владелец: Broadcom Corp. Дата публикации: 2011-08-04.

Circuit design method and related device

Номер патента: EP4325391A1. Автор: Ding Li,Zhichao LI,Xianglong Meng,Yisheng HU,Chongjun Ding,Shangxia Fang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-02-21.

Method and apparatus for switchably selecting an integrated circuit operating mode

Номер патента: US20020175698A1. Автор: James Goodman. Владелец: Mosaid Technologies Inc. Дата публикации: 2002-11-28.

System and method for supporting layout design of semiconductor integrated circuit

Номер патента: TW201017456A. Автор: Kazunori Higashi. Владелец: NEC Electronics Corp. Дата публикации: 2010-05-01.

Encoding method and decoding method for function calling context, and apparatus

Номер патента: US20230409373A1. Автор: Qing Zhou,Rutao ZHANG. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-12-21.

Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses

Номер патента: EP4242744A1. Автор: Han-Kwang Nienhuys. Владелец: ASML Netherlands BV. Дата публикации: 2023-09-13.

Architecture and Testing for an Integrated Circuit Package

Номер патента: US20230341463A1. Автор: Md Altaf Hossain,Ankireddy Nalamalpu,Mahesh K. Kumashikar,Kalyana Ravindra Kantipudi. Владелец: Individual. Дата публикации: 2023-10-26.

Integrated circuit generation with improved interconnect

Номер патента: US20240338329A1. Автор: David Parry,Henry Cook,Robert P. Adler,Rick H. Y. Chen. Владелец: SiFive Inc. Дата публикации: 2024-10-10.

Method and composition for treating acne

Номер патента: US09480674B2. Автор: Jean Hilaire Saurat. Владелец: Thesan Pharmaceuticals Inc. Дата публикации: 2016-11-01.

Method and system for testing RAMBUS memory modules

Номер патента: US20020032537A1. Автор: Paul Hunter. Владелец: Tanisys Tech Inc. Дата публикации: 2002-03-14.

Systems and methods for graphically programming an application with external integrated circuits

Номер патента: US20240134815A1. Автор: Mohammad Ali REZAEI. Владелец: Individual. Дата публикации: 2024-04-25.

Device and method for evaluating electrostatic discharge protection capabilities

Номер патента: US20100225346A1. Автор: Sergey Sofer,Yehim-Haim Fefer. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2010-09-09.

METHOD FOR SECURING THE TEST MODE OF AN INTEGRATED CIRCUIT BY INTRUSION DETECTION

Номер патента: FR2865828A1. Автор: Frédéric Bancel,David Hely. Владелец: STMICROELECTRONICS SA. Дата публикации: 2005-08-05.

Circuits and methods for reducing pin count in multiple-mode integrated circuit devices

Номер патента: EP1634402B1. Автор: Bruce Eliot Duewer. Владелец: Cirrus Logic Inc. Дата публикации: 2018-11-28.

Circuits and methods for reducing pin count in multiple-mode integrated circuit devices

Номер патента: EP1634402A2. Автор: Bruce Eliot Duewer. Владелец: Cirrus Logic Inc. Дата публикации: 2006-03-15.

Method for voltage setup of integrated circuit tester

Номер патента: US5604750A. Автор: Paul S. Levy. Владелец: VLSI Technology Inc. Дата публикации: 1997-02-18.

Circuit, architecture and method for reducing power consumption in a synchronous integrated circuit

Номер патента: US6166991A. Автор: Cathal Phelan. Владелец: Cypress Semiconductor Corp. Дата публикации: 2000-12-26.

Method for accurate reference voltage trimming

Номер патента: US20240175913A1. Автор: Kar Hou Chai. Владелец: Texas Instruments Inc. Дата публикации: 2024-05-30.

Method for computing power savings and determining the preferred clock gating circuit of an integrated circuit design

Номер патента: US20090044033A1. Автор: David L. Allen. Владелец: Atrenta Inc. Дата публикации: 2009-02-12.

Medical diagnosis assistance system and method

Номер патента: EP4123501A1. Автор: Thomas Engel,Gaby MARQUARDT,Jens-Peter Brock. Владелец: Siemens Healthcare Diagnostics Inc. Дата публикации: 2023-01-25.

Medical diagnosis assistance system and method

Номер патента: US20230025181A1. Автор: Thomas Engel,Gaby MARQUARDT,Jens-Peter Brock. Владелец: Siemens Healthcare Diagnostics Inc. Дата публикации: 2023-01-26.

System and method employing power-optimized timing closure

Номер патента: US20230267259A1. Автор: Mahbub Rashed,Navneet Jain. Владелец: GlobalFoundries US Inc. Дата публикации: 2023-08-24.

Phenylephrine hydrochloride-containing tablet, preparation method and use

Номер патента: US20240335399A1. Автор: Dunwei He,Jiezi Qin,Yuzhang Hu. Владелец: Hq Pharma Shanghai Co Ltd. Дата публикации: 2024-10-10.

MACHINE FOR MANUFACTURING NON-CONTACT PROXIMITY TYPE INTEGRATED CIRCUIT BOARDS

Номер патента: FR2810437B1. Автор: Naotaka Sasaki,Kenji Sugaya. Владелец: Nidec Servo Corp. Дата публикации: 2005-09-23.

Reverse routing methods for integrated circuits having a hierarchical interconnect architecture

Номер патента: US20080209383A1. Автор: Ernst Mayer. Владелец: Agate Logic Inc USA. Дата публикации: 2008-08-28.

Integrated circuit design scaling for recommending design point

Номер патента: US9460256B1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-04.

Monitor circuitry and method for testing analog and/or mixed signal integrated circuits

Номер патента: EP1380847A3. Автор: Fidel Muradali,Joan Figueras. Владелец: AGILENT TECHNOLOGIES INC. Дата публикации: 2005-11-30.

METHODS FOR DETECTING SYSTEM-LEVEL TROJANS AND AN INTEGRATED CIRCUIT DEVICE WITH SYSTEM-LEVEL TROJAN DETECTION

Номер патента: US20200387601A1. Автор: SCHAT Jan-Peter. Владелец: . Дата публикации: 2020-12-10.

Methods for detecting system-level trojans and an integrated circuit device with system-level trojan detection

Номер патента: US11586728B2. Автор: Jan-Peter Schat. Владелец: NXP BV. Дата публикации: 2023-02-21.

System and method for integrated circuit card data storage

Номер патента: US20030132284A1. Автор: Christopher Nardone,Charles Reynolds. Владелец: Mastercard International Inc. Дата публикации: 2003-07-17.

Scaling of integrated circuit design including logic and memory components

Номер патента: US20160292315A1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-06.

Scaling Logic Components of Integrated Circuit Design

Номер патента: US20160292313A1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-06.

Scaling of integrated circuit design including high-level logic components

Номер патента: US20160292317A1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-06.

Integrated circuit design scaling for recommending design point

Номер патента: US20160292316A1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-06.

Integrated Circuit Design Optimization

Номер патента: US20160292343A1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-06.

Scaling of integrated circuit design including logic and memory components

Номер патента: US9460255B1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-04.

Scaling logic components of integrated circuit design

Номер патента: US9460254B1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-04.

Scaling of integrated circuit design including high-level logic components

Номер патента: US9460257B1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-04.

Scaling memory components of integrated circuit design

Номер патента: US20160292314A1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-10-06.

Scaling memory components of integrated circuit design

Номер патента: US9454628B1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-09-27.

Scaling of Integrated Circuit Design Including High-Level Logic Components

Номер патента: US20160371411A1. Автор: Prasad Subramaniam,Hao Nham,Rakesh Chadha,Ferran Martorell. Владелец: eSilicon Corp. Дата публикации: 2016-12-22.

Method for concurrent migration and decomposition of integrated circuit layout

Номер патента: US20110004858A1. Автор: Yao-Wen Chang,Chin-Hsiung Hsu. Владелец: National Taiwan University NTU. Дата публикации: 2011-01-06.

Lighting control method and display control method for display unit, and display apparatus

Номер патента: TW200307900A. Автор: Shigetsugu Okamoto. Владелец: Sharp Kk. Дата публикации: 2003-12-16.

DEVICE AND METHOD FOR INITIALIZING AN APPLICATION PROGRAM OF AN INTEGRATED CIRCUIT CARD

Номер патента: FR2786901A1. Автор: Yannick Burianne. Владелец: Schlumberger Systemes SA. Дата публикации: 2000-06-09.

Plant guard and a method and machine for its manufacture

Номер патента: US4750292A. Автор: Mauritz Alstig. Владелец: STRUMPFABRIKEN VINETTA AB. Дата публикации: 1988-06-14.

Fiber and lens grippers, optical devices and methods of manufacture

Номер патента: WO2003079079A1. Автор: Stephen J. Caracci,Cheng-Chung Li,Adam J. Fusco. Владелец: CORNING INCORPORATED. Дата публикации: 2003-09-25.

Method and arrangement for manufacturing coated and glazed paper or board

Номер патента: CA2402975A1. Автор: Vilho Nissinen,Elias Retulainen,Johan Gron. Владелец: Individual. Дата публикации: 2001-10-11.

Boundary assertion-based power recovery in integrated circuit design

Номер патента: US20200089828A1. Автор: Alexander J. Suess,Cindy S. Washburn. Владелец: International Business Machines Corp. Дата публикации: 2020-03-19.

Fiber and lens grippers, optical devices and methods of manufacture

Номер патента: EP1483606A1. Автор: Stephen J. Caracci,Cheng-Chung Li,Adam J. Fusco. Владелец: Corning Inc. Дата публикации: 2004-12-08.

Per die temperature programming for thermally efficient integrated circuit (ic) operation

Номер патента: WO2008003018A1. Автор: Ali Muhtaroglu,Tawfik Rahal-Arabi. Владелец: Intel Corporation. Дата публикации: 2008-01-03.

System and method for schedule-based I/O multiplexing for integrated circuit (IC) scan test

Номер патента: US11927630B1. Автор: Sounil Biswas. Владелец: Marvell Asia Pte Ltd. Дата публикации: 2024-03-12.

Systems and Methods for Security and Safety Fault Analysis Using Information Flow

Номер патента: US20190005173A1. Автор: Jason K. Oberg,Zachary Blair,Jonathan Valamehr. Владелец: Tortuga Logic Inc. Дата публикации: 2019-01-03.

Method and Apparatus for Unifying Self-Test with Scan-Test During Prototype Debug and Production Test

Номер патента: US20100218062A1. Автор: Xiaoqing Wen,Laung-Terng (L.-T.) Wang. Владелец: Individual. Дата публикации: 2010-08-26.

System and method for generating a cluster-based power architecture user interface

Номер патента: US20200372196A1. Автор: Bhaskar Pal,Sauresh BHOWMICK,Esha Dutta,Harsha Vardhan. Владелец: Synopsys Inc. Дата публикации: 2020-11-26.

Method and system for functional verification and power analysis of clock-gated integrated circuits

Номер патента: US20170344682A1. Автор: Theodore Wilson. Владелец: Microsemi Solutions US Inc. Дата публикации: 2017-11-30.

Method and system for delivering content and conducting cryptocurrency mining operations

Номер патента: US20210383372A1. Автор: Jonathan C. Mills. Владелец: Individual. Дата публикации: 2021-12-09.

Reticle, exposure method, and the manufacturing method for reticle

Номер патента: TW200517634A. Автор: Takashi Sato,Takashi Sakamoto. Владелец: Toshiba Corp. Дата публикации: 2005-06-01.

METHOD AND APPARATUS FOR SYNTHESISING A SUM OF ADDENDS OPERATION AND AN INTEGRATED CIRCUIT

Номер патента: US20130346927A1. Автор: Drane Theo Alan. Владелец: IMAGINATION TECHNOLOGIES LIMITED. Дата публикации: 2013-12-26.

METHOD AND SYSTEM FOR FUNCTIONAL VERIFICATION AND POWER ANALYSIS OF CLOCK-GATED INTEGRATED CIRCUITS

Номер патента: US20170344682A1. Автор: Wilson Theodore. Владелец: . Дата публикации: 2017-11-30.

Method for generating a shmoo plot contour for integrated circuit tester

Номер патента: EP1078272A1. Автор: Robert Huston,Daniel J. Bedell. Владелец: Credence Systems Corp. Дата публикации: 2001-02-28.

Method for communication with a test system for integrated circuits

Номер патента: US20040220765A1. Автор: Josef Gluch. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2004-11-04.

Method for simultaneous programming of in-system programmable integrated circuits

Номер патента: US5635855A. Автор: Howard Y. M. Tang. Владелец: Lattice Semiconductor Corp. Дата публикации: 1997-06-03.

System and method for automatically measuring input voltage levels for integrated circuits

Номер патента: US6329833B1. Автор: Yiding Wu. Владелец: Xilinx Inc. Дата публикации: 2001-12-11.

Method for eliminating routing congestion in an ic layout

Номер патента: WO2004019240A1. Автор: Ywh-Pyng Harn. Владелец: Silicon Perspective Corporation. Дата публикации: 2004-03-04.

Method for eliminating routing congestion in an ic layout

Номер патента: EP1543449A1. Автор: Ywh-Pyng Harn. Владелец: Cadence Design Systems Inc. Дата публикации: 2005-06-22.

Method and apparatus for resisting hardware hacking through internal register interface

Номер патента: WO2005106615A1. Автор: Irfan Rashid,Paul Stewart Yosim. Владелец: Ibm United Kingdom Limited. Дата публикации: 2005-11-10.

System And Method Of Multiple Radar Systems Utilizing Shared Transmit/Receive Integrated Circuits And Shared Radar Processing Unit

Номер патента: IL274447B1. Автор: . Владелец: ARBE Robotics Ltd. Дата публикации: 2024-10-01.

Apparatus and method for adaptive back bias control of an integrated circuit

Номер патента: US20120151227A1. Автор: Darius D. Gaskins. Владелец: Via Technologies Inc. Дата публикации: 2012-06-14.

Method and data processing system for detecting a malicious component on an integrated circuit

Номер патента: US20210004499A1. Автор: Ventzislav Nikov,Nikita Veshchikov. Владелец: NXP BV. Дата публикации: 2021-01-07.

System, Apparatus And Method For Inter-Die Functional Testing Of An Integrated Circuit

Номер патента: US20190033368A1. Автор: Adler Robert P.,PAPPU LAKSHMINARAYANA,Yoon Ki. Владелец: . Дата публикации: 2019-01-31.

System and method for generating time-spectral diagrams in an integrated circuit solution

Номер патента: US20200057932A1. Автор: XIANG Gao,Lin Yang. Владелец: Gyrfalcon Technology Inc. Дата публикации: 2020-02-20.

SYSTEMS AND METHODS FOR SINGLE-WIRE CONTROL OF A SLAVE INTEGRATED CIRCUIT

Номер патента: US20180173659A1. Автор: DenBoer Huibert,Huostila Ari. Владелец: . Дата публикации: 2018-06-21.

SYSTEM AND METHOD FOR ENCODING DATA IN A VOICE RECOGNITION INTEGRATED CIRCUIT SOLUTION

Номер патента: US20190221203A1. Автор: Gao Xiang,Yang Lin,Zhang Wenhan. Владелец: . Дата публикации: 2019-07-18.

APPARATUS AND METHOD FOR MONITORING AND PREDICTING RELIABILITY OF AN INTEGRATED CIRCUIT

Номер патента: US20170242068A1. Автор: Le Huy,Kwasnick Robert F.,Mayeh Mona,Zia Victor. Владелец: . Дата публикации: 2017-08-24.

METHOD FOR TESTING THROUGH SILICON VIAS IN 3D INTEGRATED CIRCUITS

Номер патента: US20170261549A1. Автор: Robertazzi Raphael P.. Владелец: . Дата публикации: 2017-09-14.

Method And System For Coupling A Light Source Assembly To An Optical Integrated Circuit

Номер патента: US20180259725A1. Автор: Gloeckner Steffen,Mekis Attila,Sun Peng,Mack Michael,Hovey Steven. Владелец: . Дата публикации: 2018-09-13.

CHECK TOOL AND CHECK METHOD FOR DESIGN RULE CHECK RULE DECK OF INTEGRATED CIRCUIT LAYOUT

Номер патента: US20210383053A1. Автор: Zhao Kang,BAI Li,CHEN Chuanjiang. Владелец: . Дата публикации: 2021-12-09.

Method for Realizing Heterogeneous III-V Silicon Photonic Integrated Circuits

Номер патента: US20160327759A1. Автор: Roelkens Gunther,VAN THOURHOUT Dries,Keyvaninia Shahram. Владелец: . Дата публикации: 2016-11-10.

METHOD FOR WRITING IN EEPROM MEMORY AND CORRESPONDING INTEGRATED CIRCUIT

Номер патента: US20200342943A1. Автор: Tailliet François,Battista Marc. Владелец: . Дата публикации: 2020-10-29.

Apparatus and method for processing coded information stored on an integrated circuit card

Номер патента: US6419161B1. Автор: Aneace Haddad,Bernard Chevalier. Владелец: Welcome Real Time SA. Дата публикации: 2002-07-16.

DEVICE AND METHOD FOR INITIALIZING AN APPLICATION PROGRAM OF AN INTEGRATED CIRCUIT CARD

Номер патента: FR2786901B1. Автор: Yannick Burianne. Владелец: Schlumberger Systemes SA. Дата публикации: 2001-04-27.

Method for testing through silicon vias in 3D integrated circuits

Номер патента: US9784790B2. Автор: Raphael P. Robertazzi. Владелец: International Business Machines Corp. Дата публикации: 2017-10-10.

Method for post-routing redundant via insertion in integrated circuit layout

Номер патента: US20070234258A1. Автор: Kuang-Yao Lee,Ting-Chi Wang. Владелец: National Tsing Hua University NTHU. Дата публикации: 2007-10-04.

Method for writing in EEPROM memory and corresponding integrated circuit

Номер патента: US11120878B2. Автор: Francois Tailliet,Marc Battista. Владелец: STMICROELECTRONICS ROUSSET SAS. Дата публикации: 2021-09-14.

Method and system for accessing rows in multiple memory banks within an integrated circuit

Номер патента: US6310816B2. Автор: Troy A. Manning. Владелец: Micron Technology Inc. Дата публикации: 2001-10-30.

System, apparatus and method for inter-die functional testing of an integrated circuit

Номер патента: US11105854B2. Автор: KI YOON,Lakshminarayana Pappu,Robert P. Adler. Владелец: Intel Corp. Дата публикации: 2021-08-31.

System and method for encoding data in a voice recognition integrated circuit solution

Номер патента: US10311861B1. Автор: XIANG Gao,Lin Yang,Wenhan Zhang. Владелец: Gyrfalcon Technology Inc. Дата публикации: 2019-06-04.

Apparatus, method and computer-readable code for automated design of physical structures of integrated circuits

Номер патента: US7921391B2. Автор: Eran Weis. Владелец: Daro Semiconductors Ltd. Дата публикации: 2011-04-05.

Systems and Methods for Minimizing Static Leakage of an Integrated Circuit

Номер патента: US20120001684A1. Автор: Caplan Randy J.,Schwake Steven J.. Владелец: MOSAID TECHNOLOGIES INCORPORATED. Дата публикации: 2012-01-05.

CMP polishing agent and substrate polishing method

Номер патента: JP4744656B2. Автор: 誠人 吉田,寅之助 芦沢,直之 小山. Владелец: Showa Denko Materials Co Ltd. Дата публикации: 2011-08-10.

CMP polishing agent and substrate polishing method

Номер патента: JP4491857B2. Автор: 誠人 吉田,寅之助 芦沢,直之 小山,洋一 町井. Владелец: Showa Denko Materials Co Ltd. Дата публикации: 2010-06-30.

CMP polishing agent and substrate polishing method

Номер патента: JP4972829B2. Автор: 浩二 芳賀,圭三 平井,勉 間宮,和郎 会津. Владелец: Showa Denko Materials Co Ltd. Дата публикации: 2012-07-11.

Polishing agent and substrate polishing method

Номер патента: JP4389887B2. Автор: 寅之助 芦沢,圭三 平井,聡彦 赤堀,美穂 栗原. Владелец: Showa Denko Materials Co Ltd. Дата публикации: 2009-12-24.

Method for manufacturing probe heads for probing integrated circuits

Номер патента: TW200417743A. Автор: John Liu,Yeong-Her Wang,Noty Tseng,Yao-Jung Lee,Shr-Jie Cheng. Владелец: Chipmos Technologies Bermuda. Дата публикации: 2004-09-16.

Method for manufacturing probe heads for probing integrated circuits

Номер патента: TW594027B. Автор: John Liu,Noty Tseng,Yau-Rung Li,Shr-Jia Jeng,Yeong Her Wang. Владелец: Chipmos Technologies Bermuda. Дата публикации: 2004-06-21.

Apparatus and method for designing a layout of semiconductor integrated circuit

Номер патента: TWI281112B. Автор: Tetsuo Shimamura,Yasuhiro Shikakura. Владелец: Sanyo Electric Co. Дата публикации: 2007-05-11.

Method and apparatus for manufacturing a sand mold

Номер патента: WO1998016333B1. Автор: . Владелец: . Дата публикации: 1998-06-18.

APPARATUS AND METHOD FOR SUPPORTING CIRCUIT DESIGN, AND SEMICONDUCTOR INTEGRATED CIRCUIT

Номер патента: US20120139602A1. Автор: . Владелец: FUJITSU SEMICONDUCTOR LIMITED. Дата публикации: 2012-06-07.

POLISHING METHOD, POLISHING APPARATUS, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE

Номер патента: US20120171931A1. Автор: IDANI Naoki. Владелец: FUJITSU SEMICONDUCTOR LIMITED. Дата публикации: 2012-07-05.

Method for generating identification information of semiconductor integrated circuit

Номер патента: JP5308658B2. Автор: 義昭 矢澤,一希 渡邊,康真 文珠. Владелец: Hitachi ULSI Systems Co Ltd. Дата публикации: 2013-10-09.

Method for verifying layout design of semiconductor integrated circuit

Номер патента: JP2522096B2. Автор: 和俊 阿部,通安 山木,文仁 佐藤. Владелец: Toppan Printing Co Ltd. Дата публикации: 1996-08-07.

Method and apparatus for small form factor and pin-saving package of integrated circuit

Номер патента: TW201027688A. Автор: Jen-Hong Chen. Владелец: Innostor Technology Corp. Дата публикации: 2010-07-16.

Method for manufacturing an array structure in integrated circuits

Номер патента: TW200400599A. Автор: Wei-Ming Chung. Владелец: Macronix Int Co Ltd. Дата публикации: 2004-01-01.

A method for searching ESD threatening path in an integrated circuit design

Номер патента: TW200619988A. Автор: Chia-Chi Chu,Wu-Shiung Feng,Ming-Hong Lai,Jau-Yi Juo. Владелец: Univ Chang Gung. Дата публикации: 2006-06-16.

Method for forming a barrier metal layer of integrated circuit

Номер патента: TW421853B. Автор: Ting-Jiun Wang,Guo-Shian Jeng. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2001-02-11.

Method and device for applying adhesive for manufacturing corrugated cardboard

Номер патента: JPS57156239A. Автор: Shigeru Arai,Shinzaburou Ooba. Владелец: HONSHIYUU SEISHI KK. Дата публикации: 1982-09-27.

CIRCUIT AND METHOD FOR GENERATING BODY BIAS VOLTAGE FOR AN INTEGRATED CIRCUIT

Номер патента: US20120112820A1. Автор: Sawarkar Prasad,CHOKKA SRINIVAS REDDY. Владелец: . Дата публикации: 2012-05-10.

CIRCUIT AND METHOD FOR PROVIDING ABSOLUTE INFORMATION FOR FLOATING GROUNDED INTEGRATED CIRCUIT

Номер патента: US20120187997A1. Автор: . Владелец: . Дата публикации: 2012-07-26.

Standard Method for Circuit Module of Analog Custom Demand Integrated Circuits

Номер патента: KR0142517B1. Автор: 백경일,김정언. Владелец: 곽정소. Дата публикации: 1998-07-01.

Method for extracting open-circuit key area on integrated circuit layout line network

Номер патента: CN109712181B. Автор: 张宏杰,王俊平,魏书蕾,李栋凯. Владелец: Xidian University. Дата публикации: 2022-12-06.

POROUS FILM MANUFACTURING METHOD AND SUCCESSIVE BIAXIAL STRETCHING APPARATUS FOR MANUFACTURING POROUS FILM

Номер патента: US20120282362A1. Автор: . Владелец: TOSHIBA KIKAI KABUSHIKI KAISHA. Дата публикации: 2012-11-08.

Booting method and shutting down method for image display device

Номер патента: TW200939178A. Автор: Mao-Jung Chung,Yu-Shen Kung,Chin-Cheng Liu. Владелец: Himax Display Inc. Дата публикации: 2009-09-16.

Image processing system, image display method and image capture method for display apparatus

Номер патента: TW200714063A. Автор: Rong-Show Tung. Владелец: Mitac Int Corp. Дата публикации: 2007-04-01.

CIRCUIT FOR AND METHOD OF ENABLING THE TRANSFER OF DATA BY AN INTEGRATED CIRCUIT

Номер патента: US20130138879A1. Автор: Kulkarni Sanjay A.. Владелец: XILINX, INC.. Дата публикации: 2013-05-30.

Method for preparing epoxy moulding compound for packaging integrated circuit

Номер патента: CN102010566A. Автор: 吕建明. Владелец: LV GAOXIANG. Дата публикации: 2011-04-13.

Method for improving defects in metal process for integrated circuits

Номер патента: TW331027B. Автор: Bor-Jyh Sheu,Horng-Kuen Chen,Gwo-Chin Lin. Владелец: United Semiconductor Corp. Дата публикации: 1998-05-01.

POWER SWITCH DESIGN AND METHOD FOR REDUCING LEAKAGE POWER IN LOW-POWER INTEGRATED CIRCUITS

Номер патента: US20120062308A1. Автор: CHAKRABARTY KRISHNENDU,Zhang Zhaobo,Kavousianos Chrysovalantis. Владелец: . Дата публикации: 2012-03-15.

APPARATUS AND METHOD FOR TESTING DRIVER WRITEABILITY STRENGTH ON AN INTEGRATED CIRCUIT

Номер патента: US20120112736A1. Автор: Klass Edgardo F.,Jain Ashish R.. Владелец: . Дата публикации: 2012-05-10.

APPARATUS AND METHOD FOR ADJUSTABLE BACK BIAS CONTROL OF AN INTEGRATED CIRCUIT

Номер патента: US20120146714A1. Автор: . Владелец: VIA TECHNOLOGIES, INC.. Дата публикации: 2012-06-14.

APPARATUS AND METHOD FOR SELECTIVE BACK BIAS CONTROL OF AN INTEGRATED CIRCUIT

Номер патента: US20120151226A1. Автор: . Владелец: VIA TECHNOLOGIES, INC.. Дата публикации: 2012-06-14.

SYSTEM AND METHOD FOR OPTICAL PROXIMITY CORRECTION OF A MODIFIED INTEGRATED CIRCUIT LAYOUT

Номер патента: US20120272195A1. Автор: . Владелец: MACRONIX INTERNATIONAL CO., LTD.. Дата публикации: 2012-10-25.

SYSTEMS AND METHODS FOR VERTICALLY STACKING A SENSOR ON AN INTEGRATED CIRCUIT CHIP

Номер патента: US20130001709A1. Автор: LIU Chia-Ming. Владелец: HONEYWELL INTERNATIONAL INC.. Дата публикации: 2013-01-03.

METHOD AND ARCHITECTURE FOR PRE-BOND PROBING OF TSVs IN 3D STACKED INTEGRATED CIRCUITS

Номер патента: US20130006557A1. Автор: CHAKRABARTY KRISHNENDU,Noia Brandon. Владелец: Duke University. Дата публикации: 2013-01-03.

SYSTEM AND METHOD FOR MANAGING TIMING MARGIN IN A HIERARCHICAL INTEGRATED CIRCUIT DESIGN PROCESS

Номер патента: US20130205269A1. Автор: Jamann Joseph J.,Griesbach William R.,Rao Vishwas. Владелец: . Дата публикации: 2013-08-08.

Inspection method for high power monolithic semiconductor device with integrated circuit

Номер патента: JP3157733B2. Автор: 宏 谷田. Владелец: 松下電子工業株式会社. Дата публикации: 2001-04-16.