Metal gates and methods of forming thereby

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method and structure for metal gates

Номер патента: US09431304B2. Автор: Ming-Hsi Yeh,Chao-Cheng Chen,Ming-Chia Tai,Ju-Li Huang,Calvin Chiang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-30.

Method and structure for metal gates

Номер патента: US09761684B2. Автор: Ming-Hsi Yeh,Chao-Cheng Chen,Ming-Chia Tai,Ju-Li Huang,Calvin Chiang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-12.

Devices and methods of improving device performance through gate cut last process

Номер патента: US09679985B1. Автор: Xusheng Wu,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2017-06-13.

Split gate non-volatile flash memory cell having metal gates

Номер патента: US10249631B2. Автор: FENG Zhou,Hieu Van Tran,Nhan Do,Jeng-Wei Yang,Chien-Sheng Su. Владелец: Silicon Storage Technology Inc. Дата публикации: 2019-04-02.

Semiconductor device and method for fabricating the same

Номер патента: US09852952B2. Автор: Chih-Chung Wang,Shih-Yin Hsiao,Shu-Wen Lin,Wen-Fang Lee,Nien-Chung Li. Владелец: United Microelectronics Corp. Дата публикации: 2017-12-26.

High voltage polysilicon gate in high-k metal gate device

Номер патента: US20230109700A1. Автор: Meng-Han LIN,Te-Hsin Chiu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-04-13.

High voltage polysilicon gate in high-k metal gate device

Номер патента: US20210043638A1. Автор: Meng-Han LIN,Te-Hsin Chiu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-02-11.

Methods of forming a ct pillar between gate structures in a semiconductor

Номер патента: US20180308759A1. Автор: Hui Zang,Josef Watts. Владелец: Globalfoundries Inc. Дата публикации: 2018-10-25.

Semiconductor structure and method for forming same

Номер патента: US20220293593A1. Автор: CAI Qiaoming,Ma Lisha. Владелец: Semiconductor Manufacturing North China Beijing Corp. Дата публикации: 2022-09-15.

Semiconductor device and method for producing semiconductor device

Номер патента: US20150295057A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2015-10-15.

Fin field-effect transistor device and method

Номер патента: US12125886B2. Автор: Ming-Huan Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-22.

Fin field-effect transistor device and method

Номер патента: US20240371946A1. Автор: Ming-Huan Tsai. Владелец: Taiwan Semiconductor Manufacturiang Co Ltd. Дата публикации: 2024-11-07.

Integrated circuits with inactive gates and methods of manufacturing the same

Номер патента: US20160190012A1. Автор: Ming Zhu,Yiang Aun Nga. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2016-06-30.

Method of forming stacked trench contacts and structures formed thereby

Номер патента: US09922930B2. Автор: Bernhard Sell,Oleg Golonzka. Владелец: Intel Corp. Дата публикации: 2018-03-20.

Integrated circuits with inactive gates and methods of manufacturing the same

Номер патента: US09640438B2. Автор: Ming Zhu,Yiang Aun Nga. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2017-05-02.

Method of forming stacked trench contacts and structures formed thereby

Номер патента: US09559060B2. Автор: Bernhard Sell,Oleg Golonzka. Владелец: Intel Corp. Дата публикации: 2017-01-31.

Method of forming stacked trench contacts and structures formed thereby

Номер патента: US09437546B2. Автор: Bernhard Sell,Oleg Golonzka. Владелец: Intel Corp. Дата публикации: 2016-09-06.

Semiconductor device and method

Номер патента: US12068162B2. Автор: Yung-Cheng Lu,Ting-Gang CHEN,Tai-Chun Huang,Chi On Chui,Ya-Lan CHANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Method of forming stacked trench contacts and structures formed thereby

Номер патента: US20230326860A1. Автор: Bernhard Sell,Oleg Golonzka. Владелец: Intel Corp. Дата публикации: 2023-10-12.

Fin field-effect transistor and method of forming the same

Номер патента: US20230369494A1. Автор: Ming-Hsi Yeh,Jian-Jou LIAN,Chun-Neng LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-16.

Fin field-effect transistor and method of forming the same

Номер патента: US20220359743A1. Автор: Ming-Hsi Yeh,Jian-Jou LIAN,Chun-Neng LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-10.

Metal gate structure and method of making the same

Номер патента: US9324620B2. Автор: Kun-Yuan Liao,Feng-Yi Chang,Chun-Lung Chen,Shi-Xiong Lin,Yu-Cheng Tung. Владелец: United Microelectronics Corp. Дата публикации: 2016-04-26.

Semiconductor memory devices and methods of manufacturing thereof

Номер патента: US11856796B2. Автор: Chia-En HUANG,Meng-Han LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Semiconductor device and method

Номер патента: US20220013364A1. Автор: Yung-Cheng Lu,Ting-Gang CHEN,Tai-Chun Huang,Chi On Chui,Ya-Lan CHANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-01-13.

Semiconductor device and method

Номер патента: EP3937221A1. Автор: Yung-Cheng Lu,Ting-Gang CHEN,Tai-Chun Huang,Chi On Chui,Ya-Lan CHANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-01-12.

Metal Gate Isolation Structure and Method Forming Same

Номер патента: US20180204836A1. Автор: Huang Ming-Jie,CHEN Ryan Chia-Jen,LIN Chih-Han,HSIEH Wen-Shuo. Владелец: . Дата публикации: 2018-07-19.

Metal gate for robust esd protection

Номер патента: US20160071835A1. Автор: Andy Wei,Jagar Singh,Amaury Gendron-Hansen. Владелец: Globalfoundries Inc. Дата публикации: 2016-03-10.

Metal gate for robust ESD protection

Номер патента: US9343456B2. Автор: Andy Wei,Jagar Singh,Amaury Gendron-Hansen. Владелец: Globalfoundries Inc. Дата публикации: 2016-05-17.

Self-aligned air spacers and methods for forming

Номер патента: US12107146B2. Автор: Chih-Hao Wang,Cheng-Chi Chuang,Jia-Chuan You,Huan-Chieh Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-01.

Method of forming different voltage devices with high-k metal gate

Номер патента: US09368499B2. Автор: Sung-taeg Kang,Cheong Min Hong,Asanga H. Perera. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2016-06-14.

Method and structure for metal gates

Номер патента: US20240363441A1. Автор: Yen-Yu Chen,Po-An Chen,Soon-Kang Huang,Tung-Huang Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Method of forming a semiconductor device having a metal gate

Номер патента: US9230864B1. Автор: Kun-Yuan Liao,Feng-Yi Chang,Chun-Lung Chen,Chia-Lin Lu,Shi-Xiong Lin. Владелец: United Microelectronics Corp. Дата публикации: 2016-01-05.

Techniques providing high-k dielectric metal gate CMOS

Номер патента: US09431404B2. Автор: Wei-Yuan Lu,Chun-Fai Cheng,Kuan-Chung Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-30.

Low mismatch semiconductor device and method for fabricating same

Номер патента: US20110186934A1. Автор: Akira Ito,Xiangdong Chen. Владелец: Broadcom Corp. Дата публикации: 2011-08-04.

Higher ‘K’ gate dielectric cap for replacement metal gate (RMG) FINFET devices

Номер патента: US09741720B1. Автор: Balaji Kannan,Shahab Siddiqui,Siddarth Krishnan. Владелец: Globalfoundries Inc. Дата публикации: 2017-08-22.

Forming single diffusion break and end isolation region after metal gate replacement, and related structure

Номер патента: US20190148242A1. Автор: Hong Yu,Hui Zang. Владелец: Globalfoundries Inc. Дата публикации: 2019-05-16.

Semiconductor device and method of fabricating the same

Номер патента: US09831119B2. Автор: Dong-Kwon Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-11-28.

FinFET device having a high germanium content fin structure and method of making same

Номер патента: US09431514B2. Автор: Qing Liu,Bruce Doris,Gauri Karve. Владелец: STMicroelectronics lnc USA. Дата публикации: 2016-08-30.

Semiconductor device and method of manufacturing thereof

Номер патента: US20240266411A1. Автор: Sheng-Jier Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-08.

Semiconductor device and method of fabricating the same

Номер патента: US12080774B2. Автор: Ho Kyun AN,Su Min Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-09-03.

Forming two portion spacer after metal gate and contact formation, and related ic structure

Номер патента: US20200303261A1. Автор: Hui Zang,Yanping SHEN,Jiehui SHU. Владелец: Globalfoundries Inc. Дата публикации: 2020-09-24.

Semiconductor device and method of forming the same

Номер патента: US20240047458A1. Автор: Shih-Yao Lin,Shu-Uei JANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-08.

Semiconductor device and method

Номер патента: US12094877B2. Автор: Yi-Wei Chiu,Chih-Chang Hung,Jen-Chih Hsueh,Tsung Fan Yin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-17.

Semiconductor Device and Method

Номер патента: US20240371870A1. Автор: Yi-Wei Chiu,Chih-Chang Hung,Jen-Chih Hsueh,Tsung Fan Yin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-07.

Semiconductor device and method of fabricating the same

Номер патента: US20140103441A1. Автор: Jong-Mil Youn,Ju-youn Kim,Jong-Joon Park,Kwang-Yong Jang,Jun-Sun Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2014-04-17.

High-voltage transistor architectures, processes of forming same, and systems containing same

Номер патента: US20120043609A1. Автор: Walid M. Hafez,Anisur Rahman,Chia-Hong Jan. Владелец: Individual. Дата публикации: 2012-02-23.

High-voltage transistor architectures, processes of forming same, and systems containing same

Номер патента: US09865695B2. Автор: Walid M. Hafez,Anisur Rahman,Chia-Hong Jan. Владелец: Intel Corp. Дата публикации: 2018-01-09.

Semiconductor device and method for fabricating the same

Номер патента: US09786662B1. Автор: Chao-Hung Lin,Yu-Hsiang Hung,Jyh-Shyang Jenq,Shih-Hung Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2017-10-10.

FinFET devices and methods of forming the same

Номер патента: US09627379B1. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-18.

Formation method of semiconductor device structure with gate stacks

Номер патента: US12132111B2. Автор: Tsung-Yu CHIANG,Ya-Wen Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-29.

Conductive spline for metal gates

Номер патента: US09548384B2. Автор: Mahalingam Nandakumar,Steve Lytle. Владелец: Texas Instruments Inc. Дата публикации: 2017-01-17.

Semiconductor device and method of fabricating the same

Номер патента: US20240038863A1. Автор: Ho Kyun AN,Su Min Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-02-01.

FinFET devices and methods of forming the same

Номер патента: US09947592B2. Автор: Horng-Huei Tseng,Yi-Jen Chen,Jie-Cheng Deng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-17.

Semiconductor device and method of fabricating the same

Номер патента: US11824098B2. Автор: Ho Kyun AN,Su Min Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-11-21.

Semiconductor device and method of manufacturing thereof

Номер патента: US11984483B2. Автор: Sheng-Jier Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-14.

Semiconductor device and method of fabricating the same

Номер патента: US20220115511A1. Автор: Ho Kyun AN,Su Min Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-04-14.

Semiconductor device and method of fabricating the same

Номер патента: US20220416038A1. Автор: Ho Kyun AN,Su Min Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-12-29.

Integrated circuit structures having metal gate plug landed on dielectric dummy fin

Номер патента: WO2023121794A1. Автор: Guillaume Bouche,Andy Chih-Hung Wei. Владелец: Intel Corporation. Дата публикации: 2023-06-29.

Semiconductor device having metal gate and poly gate

Номер патента: US20240096643A1. Автор: Harry-Hak-Lay Chuang,Wei-Cheng Wu,Alexander Kalnitsky. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-21.

Finfet device having a high germanium content fin structure and method of making same

Номер патента: US20160293638A1. Автор: Qing Liu,Bruce Doris,Gauri Karve. Владелец: STMicroelectronics lnc USA. Дата публикации: 2016-10-06.

Semiconductor device having metal gate and poly gate

Номер патента: US11854828B2. Автор: Harry-Hak-Lay Chuang,Wei-Cheng Wu,Alexander Kalnitsky. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Replacement metal gate device structure and method of manufacturing same

Номер патента: US11769669B2. Автор: Jung-Chih Tsao,Min Han HSU. Владелец: TSMC Nanjing Co Ltd. Дата публикации: 2023-09-26.

Replacement metal gate device structure and method of manufacturing same

Номер патента: EP3933933A1. Автор: Jung-Chih Tsao,Min Han HSU. Владелец: TSMC Nanjing Co Ltd. Дата публикации: 2022-01-05.

Low power flash memory cell and method

Номер патента: US20040140504A1. Автор: Sheng Hsu,Yoshi Ono. Владелец: Sharp Laboratories of America Inc. Дата публикации: 2004-07-22.

Method of forming gate spacer for nanowire fet device

Номер патента: US20190296128A1. Автор: Jeffrey Smith,Anton Devilliers. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-09-26.

Metal gate boundary for transistor scaling

Номер патента: WO2023208465A1. Автор: Dechao Guo,Ruqiang Bao. Владелец: Ibm United Kingdom Limited. Дата публикации: 2023-11-02.

Metal gate boundary for transistor scaling

Номер патента: US20230343650A1. Автор: Dechao Guo,Ruqiang Bao. Владелец: International Business Machines Corp. Дата публикации: 2023-10-26.

Method of fabricating a fin field effect transistor

Номер патента: US20050142738A1. Автор: Byeong Lee. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Method of fabricating a fin field effect transistor

Номер патента: US7067360B2. Автор: Byeong Ryeol Lee. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2006-06-27.

Method of concurrently forming source/drain and gate contacts and related device

Номер патента: US09837402B1. Автор: CHENG Chi,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2017-12-05.

Metal Gate Isolation Structure and Method Forming Same

Номер патента: US20170345820A1. Автор: Huang Ming-Jie,CHEN Ryan Chia-Jen,LIN Chih-Han,HSIEH Wen-Shuo. Владелец: . Дата публикации: 2017-11-30.

Method of forming high-voltage transistor with thin gate poly

Номер патента: US12029041B2. Автор: Unsoon Kim,Chun Chen,Sung-taeg Kang,Kuo Tung CHANG,James Pak,Inkuk Kang. Владелец: Infineon Technologies LLC. Дата публикации: 2024-07-02.

Method of forming high-voltage transistor with thin gate poly

Номер патента: US11690227B2. Автор: Unsoon Kim,Chun Chen,Sung-taeg Kang,Kuo Tung CHANG,James Pak,Inkuk Kang. Владелец: Cypress Semiconductor Corp. Дата публикации: 2023-06-27.

Semiconductor devices and methods of manufacturing thereof

Номер патента: US20240371978A1. Автор: Shih-Yao Lin,Chih-Han Lin,Hsiao Wen Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-07.

Capping Layers in Metal Gates of Transistors

Номер патента: US20220208984A1. Автор: Yi-Ting Wang,Hsien-Ming Lee,Tsung-ta Tang,Chung Ta Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-06-30.

Semiconductor device and method of manufacturing the same

Номер патента: US20110024845A1. Автор: Tomohiro Hirai. Владелец: Renesas Electronics Corp. Дата публикации: 2011-02-03.

Semiconductor structure and method of manufacturing the same

Номер патента: US20240088234A1. Автор: Ding-Kang SHIH,Chansyun David Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-14.

Semiconductor device structure having multi-layered insulating cap layers over metal gate

Номер патента: US09502527B2. Автор: Yung-Tsun LIU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-22.

Techniques for Forming Replacement Metal Gate for VFET

Номер патента: US20210098602A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Heng Wu. Владелец: International Business Machines Corp. Дата публикации: 2021-04-01.

Method of Forming High-Voltage Transistor with Thin Gate Poly

Номер патента: US20190027487A1. Автор: Unsoon Kim,Chun Chen,Sung-taeg Kang,Kuo Tung CHANG,James Pak,Inkuk Kang. Владелец: Cypress Semiconductor Corp. Дата публикации: 2019-01-24.

Method of Forming High-Voltage Transistor with Thin Gate Poly

Номер патента: US20240008279A1. Автор: Unsoon Kim,Chun Chen,Sung-taeg Kang,Kuo Tung CHANG,James Pak,Inkuk Kang. Владелец: Cypress Semiconductor Corp. Дата публикации: 2024-01-04.

Method of Forming High-Voltage Transistor with Thin Gate Poly

Номер патента: US20190304990A1. Автор: Unsoon Kim,Chun Chen,Sung-taeg Kang,Kuo Tung CHANG,James Pak,Inkuk Kang. Владелец: Cypress Semiconductor Corp. Дата публикации: 2019-10-03.

CMOS-compatible polycide fuse structure and method of fabricating same

Номер патента: US09881927B2. Автор: Walid M. Hafez,Chia-Hong Jan,Jeng-Ya D. YEH,Joodong Park. Владелец: Intel Corp. Дата публикации: 2018-01-30.

Method to improve reliability of high-K metal gate stacks

Номер патента: US09634116B2. Автор: Takashi Ando,Vijay Narayanan,Eduard A. Cartier,Barry P. Linder. Владелец: International Business Machines Corp. Дата публикации: 2017-04-25.

Asymmetrical Gate MOS Device and Method of Making

Номер патента: US20140048875A1. Автор: Wei Zhang,Dongping Wu,CHENG Hu,Zhiwei Zhu,Shili Zhang,Lun Zhu. Владелец: FUDAN UNIVERSITY. Дата публикации: 2014-02-20.

Asymmetric Gate MOS Device and Method of Making

Номер патента: US20140034956A1. Автор: Wei Zhang,Dongping Wu,CHENG Hu,Zhiwei Zhu,Shili Zhang,Lun Zhu. Владелец: FUDAN UNIVERSITY. Дата публикации: 2014-02-06.

Silicide layers in contacts for high-k/metal gate transistors

Номер патента: EP1972004A2. Автор: Mark T. Bohr. Владелец: Intel Corp. Дата публикации: 2008-09-24.

Method of forming gate-all-around (gaa) finfet and gaa finfet formed thereby

Номер патента: US20190123160A1. Автор: Min-Hwa Chi,Hui Zang,Andreas Knorr,Ruilong Xie,Julien Frougier. Владелец: Globalfoundries Inc. Дата публикации: 2019-04-25.

Semiconductor device having mid-gap work function metal gate electrode

Номер патента: US09461132B2. Автор: Dong-won Kim,Il-Ryong Kim,Keon-Yong Cheon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-10-04.

Method of forming a finfet structure

Номер патента: WO2022225940A1. Автор: Alok Ranjan,Peter Ventzek,Yun Han,Hiroaki Niimi,Andrew Metz. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2022-10-27.

Method of forming a finfet structure

Номер патента: US20220344162A1. Автор: Alok Ranjan,Peter Ventzek,Yun Han,Hiroaki Niimi,Andrew Metz. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-10-27.

Structure and method for multi-gate semiconductor devices

Номер патента: US20240162331A1. Автор: Chang-Miao Liu,Ko-Cheng Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-16.

Metal gate finFET device

Номер патента: US09461041B2. Автор: Yu-Lin Yang,Chih Chieh Yeh,Li-Shyue Lai,Tsu-Hsiu Perng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-04.

High dielectric constant metal gate mos transistor and method for making the same

Номер патента: US20220278217A1. Автор: YONG Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2022-09-01.

Metal Gate Scheme for Device and Methods of Forming

Номер патента: US20180226485A1. Автор: Chi-Wen Liu,Chun Che Lin,Shiu-Ko Jangjian,Chih-Nan Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-08-09.

Metal gate scheme for device and methods of forming

Номер патента: US09941376B2. Автор: Chi-Wen Liu,Chun Che Lin,Shiu-Ko Jangjian,Chih-Nan Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-10.

Integration of a memory transistor into high-k, metal gate CMOS process flow

Номер патента: US09911747B2. Автор: Krishnaswamy Ramkumar. Владелец: Cypress Semiconductor Corp. Дата публикации: 2018-03-06.

Metal gate scheme for device and methods of forming

Номер патента: US09871114B2. Автор: Hsueh Wen Tsau,Chia-Ching Lee,Da-Yuan Lee,Chung-Chiang WU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-16.

Integration of a memory transistor into high-k, metal gate CMOS process flow

Номер патента: US09721962B1. Автор: Krishnaswamy Ramkumar. Владелец: Cypress Semiconductor Corp. Дата публикации: 2017-08-01.

Metal gate structure and method of formation

Номер патента: US09608086B2. Автор: Mariappan Hariharaputhiran,Jing Wan,Andy Chih-Hung Wei,Dae G. Yang. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-28.

Non-volatile memory devices and methods of fabricating the same

Номер патента: US20140273495A1. Автор: Minchul Kim,Jae-Hwang Sim,Sangbin Song. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2014-09-18.

Method of making threshold voltage tuning using self-aligned contact cap

Номер патента: US09601387B2. Автор: Hoon Kim,Chanro Park,Xiuyu Harry CAI. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-21.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09570583B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2017-02-14.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09455330B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2016-09-27.

Semiconductor device and method for manufacturing the same

Номер патента: US09576802B2. Автор: Huilong Zhu,Haizhou Yin,Keke Zhang. Владелец: Individual. Дата публикации: 2017-02-21.

Semiconductor structures and methods for multi-level work function

Номер патента: US09570579B2. Автор: Jean-Pierre Colinge,Carlos H. Diaz. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-14.

Methods and apparatus of metal gate transistors

Номер патента: US09508590B2. Автор: Kuang-Hsin Chen,Tsung-Yu CHIANG,Bor-Zen Tien,Tzong-Sheng Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-29.

Method and structure for metal gates

Номер патента: US12100627B2. Автор: Yen-Yu Chen,Po-An Chen,Soon-Kang Huang,Tung-Huang Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-24.

Methods of forming nitride stressing layer for replacement metal gate and structures formed thereby

Номер патента: US20090085097A1. Автор: Keith E. Zawadzki,Lucian Shifren. Владелец: Intel Corp. Дата публикации: 2009-04-02.

Methods of forming nitride stressing layer for replacement metal gate and structures formed thereby

Номер патента: WO2009042495A3. Автор: Lucian Shifren,Keith E Zawadzki. Владелец: Keith E Zawadzki. Дата публикации: 2009-05-14.

Methods of forming nitride stressing layer for replacement metal gate and structures formed thereby

Номер патента: WO2009042495A2. Автор: Keith E. Zawadzki,Lucian Shifren. Владелец: Intel Corporation. Дата публикации: 2009-04-02.

Semiconductor device utilizing a metal gate material such as tungsten and method of manufacturing the same

Номер патента: US20100093144A1. Автор: Tae Kyun Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-04-15.

Methods of forming contact feature

Номер патента: US09620628B1. Автор: Hui-Chi Huang,Yung-Cheng Lu,Gin-Chen Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-11.

Metal Gate Scheme for Device and Methods of Forming

Номер патента: US20200098883A1. Автор: Chi-Wen Liu,Chun Che Lin,Shiu-Ko Jangjian,Chih-Nan Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-26.

Metal Gate Scheme for Device and Methods of Forming

Номер патента: US20190259853A1. Автор: Hsueh Wen Tsau,Chia-Ching Lee,Da-Yuan Lee,Chung-Chiang WU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-08-22.

N-type MOSFET and method for manufacturing the same

Номер патента: US09934975B2. Автор: HONG Yang,Yanbo ZHANG,Huilong Zhu,Qiuxia Xu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2018-04-03.

Semiconductor device having metal gate and fabrication method thereof

Номер патента: US09524968B1. Автор: Chien-Ming Lai,Ya-Huei Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2016-12-20.

Work function metal gate device

Номер патента: US20240313074A1. Автор: Chih-Wen Huang,Shih-An Huang. Владелец: United Microelectronics Corp. Дата публикации: 2024-09-19.

Work function metal gate device

Номер патента: US12021129B2. Автор: Chih-Wen Huang,Shih-An Huang. Владелец: United Microelectronics Corp. Дата публикации: 2024-06-25.

Gate structure having designed profile and method for forming the same

Номер патента: US20160099337A1. Автор: Che-Cheng Chang,Kai-Li CHENG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-04-07.

Semiconductor device with fin transistors and manufacturing method of such semiconductor device

Номер патента: US09741814B2. Автор: Koichi Matsumoto. Владелец: Sony Corp. Дата публикации: 2017-08-22.

Gate structure having designed profile and method for forming the same

Номер патента: US09716161B2. Автор: Che-Cheng Chang,Kai-Li CHENG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-25.

Selectively deposited spacer film for metal gate sidewall protection

Номер патента: US20170317192A1. Автор: Tsai-Jung Ho,Pei-Ren Jeng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-02.

Selectively deposited spacer film for metal gate sidewall protection

Номер патента: US09865709B2. Автор: Tsai-Jung Ho,Pei-Ren Jeng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-09.

Selectively deposited spacer film for metal gate sidewall protection

Номер патента: US09818846B2. Автор: Tsai-Jung Ho,Pei-Ren Jeng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-14.

Semiconductor device and method for manufacturing the same

Номер патента: US20200105922A1. Автор: Meng Zhao. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2020-04-02.

Semiconductor device and method for manufacturing the same

Номер патента: US20190181258A1. Автор: Meng Zhao. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-06-13.

Semiconductor device and method for fabricating the same

Номер патента: US10629695B2. Автор: Shih-Hung Tsai,Yu-Ting Tseng,Po-Kuang Hsieh,Kuan-Hao TSENG,Cheng-Ping Kuo. Владелец: United Microelectronics Corp. Дата публикации: 2020-04-21.

Metal gate structure and method of formation

Номер патента: US20170162688A1. Автор: Mariappan Hariharaputhiran,Jing Wan,Andy Chih-Hung Wei,Dae G. Yang. Владелец: Globalfoundries Inc. Дата публикации: 2017-06-08.

Method of fabricating metal gate transistor

Номер патента: US12132095B2. Автор: Zhi-Cheng Lee,Wei-Jen Chen,Kai-Lin Lee. Владелец: United Microelectronics Corp. Дата публикации: 2024-10-29.

Multiple patterning techniques for metal gate

Номер патента: US09960085B2. Автор: Chih-Hao Wang,Wei-Hao Wu,Lung-Kun Chu,Hsiang-Pi Chang,Hung-Chang Sun. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-01.

Bottom-up metal gate formation on replacement metal gate finfet devices

Номер патента: US20160204221A1. Автор: Hong He,Chih-Chao Yang,Juntao Li,Junli Wang. Владелец: International Business Machines Corp. Дата публикации: 2016-07-14.

Third type of metal gate stack for CMOS devices

Номер патента: US09634006B2. Автор: Viraj Y. Sardesai,Ramachandra Divakaruni,Sameer H. Jain,Keith H. Tabakman. Владелец: International Business Machines Corp. Дата публикации: 2017-04-25.

Method for Manufacturing High-Voltage Metal Gate Device

Номер патента: US20230142968A1. Автор: Hua Shao,Haoyu Chen,Xiaoliang Tang. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2023-05-11.

Semiconductor device having high-k film and metal gate

Номер патента: US09640534B2. Автор: Je-Don Kim,Ju-youn Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-05-02.

Method of manufacturing semiconductor device

Номер патента: US9460929B2. Автор: Hirofumi TOKITA,Hiromasa YOSHIMORI. Владелец: Renesas Electronics Corp. Дата публикации: 2016-10-04.

Semiconductor device having metal gate

Номер патента: US09679898B2. Автор: Chien-Ming Lai,Ya-Huei Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2017-06-13.

Method of manufacturing semiconductor device

Номер патента: US09460929B2. Автор: Hirofumi TOKITA,Hiromasa YOSHIMORI. Владелец: Renesas Electronics Corp. Дата публикации: 2016-10-04.

Semiconductor device and method of manufacturing gate stack

Номер патента: GB2484639A. Автор: Huicai Zhong,Qingqing Liang,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-04-18.

Cut Metal Gate Processes

Номер патента: US20200350172A1. Автор: Ryan Chia-Jen Chen,An Chyi Wei,Shu-Yuan Ku,Shu-Uei JANG,Ya-Yi Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-11-05.

Cut metal gate processes

Номер патента: US11990341B2. Автор: Ryan Chia-Jen Chen,An Chyi Wei,Shu-Yuan Ku,Shu-Uei JANG,Ya-Yi Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-21.

Fet device with reduced overlap capacitance and method of manufacture

Номер патента: CA1049157A. Автор: Ronald P. Esch. Владелец: International Business Machines Corp. Дата публикации: 1979-02-20.

Conductive cap for metal-gate transistor

Номер патента: US09698232B2. Автор: Stanley Seungchul SONG,Haining Yang. Владелец: Qualcomm Inc. Дата публикации: 2017-07-04.

Method for manufacturing semiconductor device having metal gate

Номер патента: US09443954B2. Автор: Chih-Kai Hsu,Ssu-I Fu,Yu-Hsiang Hung,Jyh-Shyang Jenq. Владелец: United Microelectronics Corp. Дата публикации: 2016-09-13.

Devices with cavity-defined gates and methods of making the same

Номер патента: US20140183626A1. Автор: Werner Juengling. Владелец: Micron Technology Inc. Дата публикации: 2014-07-03.

Devices with cavity-defined gates and methods of making the same

Номер патента: WO2009111225A1. Автор: Werner Juengling. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2009-09-11.

Semiconductor device with fin transistors and manufacturing method of such semiconductor device

Номер патента: US20240047461A1. Автор: Koichi Matsumoto. Владелец: Sony Corp. Дата публикации: 2024-02-08.

Structure and Method for Manufacturing Device with a V-Shape Channel NMosfet

Номер патента: US20110124165A1. Автор: Huilong Zhu,Zhijiong Luo. Владелец: International Business Machines Corp. Дата публикации: 2011-05-26.

Contact first replacement metal gate

Номер патента: US09496362B1. Автор: Ravikumar Ramachandran,Viraj Y. Sardesai,Emre Alptekin. Владелец: International Business Machines Corp. Дата публикации: 2016-11-15.

Device integrated with junction field effect transistor and method for manufacturing the same

Номер патента: US20190252537A1. Автор: Yan Gu,Sen Zhang,Shikang CHENG. Владелец: CSMC Technologies Fab2 Co Ltd. Дата публикации: 2019-08-15.

Semiconductor structure with metal gate, and method for manufacturing the same

Номер патента: US20190189451A1. Автор: Qiuming Huang. Владелец: Shaghai Huali Microelectronics Corp. Дата публикации: 2019-06-20.

Metal gate fill by optimizing etch in sacrificial gate profile

Номер патента: US20130005128A1. Автор: Bin Yang,Man Fai NG. Владелец: Globalfoundries Inc. Дата публикации: 2013-01-03.

Metal gate fill by optimizing etch in sacrificial gate profile

Номер патента: US8765537B2. Автор: Bin Yang,Man Fai NG. Владелец: Globalfoundries Inc. Дата публикации: 2014-07-01.

Methods of forming vertical memory strings, and methods of forming vertically-stacked structures

Номер патента: US09627550B2. Автор: John D. Hopkins. Владелец: Micron Technology Inc. Дата публикации: 2017-04-18.

Air gap spacer for metal gates

Номер патента: US10553581B2. Автор: Kangguo Cheng,John R. Sporre,Eric R. Miller,Marc A. Bergendahl,Fee Li LIE,Sean TEEHAN. Владелец: International Business Machines Corp. Дата публикации: 2020-02-04.

Air gap spacer for metal gates

Номер патента: US09608065B1. Автор: Kangguo Cheng,John R. Sporre,Eric R. Miller,Marc A. Bergendahl,Fee Li LIE,Sean TEEHAN. Владелец: International Business Machines Corp. Дата публикации: 2017-03-28.

Semiconductor devices and methods of manufacturing thereof

Номер патента: US12046515B2. Автор: Shih-Yao Lin,Chih-Han Lin,Hsiao Wen Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-23.

Semiconductor devices and methods of manufacturing thereof

Номер патента: US20240347387A1. Автор: Shih-Yao Lin,Chih-Han Lin,Hsiao Wen Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-17.

Semiconductor device having metal gate and manufacturing method thereof

Номер патента: US09490334B2. Автор: Kun-Yuan Liao,Feng-Yi Chang,Chun-Lung Chen,Chia-Lin Lu. Владелец: United Microelectronics Corp. Дата публикации: 2016-11-08.

Air gap spacer for metal gates

Номер патента: US20170352657A1. Автор: Kangguo Cheng,John R. Sporre,Eric R. Miller,Marc A. Bergendahl,Fee Li LIE,Sean TEEHAN. Владелец: International Business Machines Corp. Дата публикации: 2017-12-07.

Air gap spacer for metal gates

Номер патента: US20200235094A1. Автор: Kangguo Cheng,John R. Sporre,Eric R. Miller,Marc A. Bergendahl,Fee Li LIE,Sean TEEHAN. Владелец: Tessera LLC. Дата публикации: 2020-07-23.

Air gap spacer for metal gates

Номер патента: US20180158818A1. Автор: Kangguo Cheng,John R. Sporre,Eric R. Miller,Marc A. Bergendahl,Fee Li LIE,Sean TEEHAN. Владелец: International Business Machines Corp. Дата публикации: 2018-06-07.

Air gap spacer for metal gates

Номер патента: US20180294263A1. Автор: Kangguo Cheng,John R. Sporre,Eric R. Miller,Marc A. Bergendahl,Fee Li LIE,Sean TEEHAN. Владелец: International Business Machines Corp. Дата публикации: 2018-10-11.

Air gap spacer for metal gates

Номер патента: US20230352480A1. Автор: Kangguo Cheng,John R. Sporre,Eric R. Miller,Marc A. Bergendahl,Fee Li LIE,Sean TEEHAN. Владелец: Tessera LLC. Дата публикации: 2023-11-02.

Air gap spacer for metal gates

Номер патента: US10607991B2. Автор: Kangguo Cheng,John R. Sporre,Eric R. Miller,Marc A. Bergendahl,Fee Li LIE,Sean TEEHAN. Владелец: Tessera LLC. Дата публикации: 2020-03-31.

Air gap spacer for metal gates

Номер патента: US10043801B2. Автор: Kangguo Cheng,John R. Sporre,Eric R. Miller,Marc A. Bergendahl,Fee Li LIE,Sean TEEHAN. Владелец: International Business Machines Corp. Дата публикации: 2018-08-07.

Semiconductor device and method for fabricating the same

Номер патента: US09748233B2. Автор: Chun-Hsien Lin,Chia Chang Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2017-08-29.

Vnw sram with trinity cross-couple pd/pu contact and method for producing the same

Номер патента: US20190081049A1. Автор: Hui Zang. Владелец: Globalfoundries Inc. Дата публикации: 2019-03-14.

Semiconductor device and method for fabricating the same

Номер патента: US12094783B2. Автор: Chih-Kai Hsu,Ssu-I Fu,Chun-Ya Chiu,Chin-Hung Chen,Chi-Ting Wu,Yu-Hsiang Lin. Владелец: United Microelectronics Corp. Дата публикации: 2024-09-17.

Ultra-scale gate cut pillar with overlay immunity and method for producing the same

Номер патента: US09960077B1. Автор: Hui Zang,Ruilong Xie,Josef Watts. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-01.

Finfet with multilayer fins for multi-value logic (mvl) applications and method of forming

Номер патента: US20160211375A1. Автор: Min-Hwa Chi,Abhijeet Paul,Ajey Jacob. Владелец: Globalfoundries Inc. Дата публикации: 2016-07-21.

Finfet with multilayer fins for multi-value logic (mvl) applications and method of forming

Номер патента: US20190326436A1. Автор: Min-Hwa Chi,Abhijeet Paul,Ajey Jacob. Владелец: Globalfoundries Inc. Дата публикации: 2019-10-24.

Method for manufacturing semiconductor device having metal gate

Номер патента: US09685531B2. Автор: Kun-Yuan Liao,Feng-Yi Chang,Chun-Lung Chen,Chia-Lin Lu. Владелец: United Microelectronics Corp. Дата публикации: 2017-06-20.

Methods of reducing capacitance in field-effect transistors

Номер патента: US12057488B2. Автор: Chun-Han Chen,Mei-Yun Wang,Fu-Kai Yang,Chen-Ming Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-06.

Method of Forming Source/Drain Contact

Номер патента: US20190115262A1. Автор: Hung-Chang Hsieh,Yu-Hsien Lin,Ming-Jhih Kuo,Jhun Hua CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-04-18.

Method of forming source/drain contact

Номер патента: US10522413B2. Автор: Hung-Chang Hsieh,Yu-Hsien Lin,Ming-Jhih Kuo,Jhun Hua CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-12-31.

Selective sin capping on metal gate for metal oxidation prevention

Номер патента: US20240304679A1. Автор: Tze-Liang Lee,Meng-Ku Chen,Pei-Yu Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-12.

Embedded memory and methods of forming the same

Номер патента: US09929168B2. Автор: Harry-Hak-Lay Chuang,Wei Cheng Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-27.

Metal gate stack structure and manufacturing method

Номер патента: US09525046B2. Автор: Fumitake Mieno. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-12-20.

Method of forming a semiconductor device

Номер патента: US20230420544A1. Автор: Hans Mertens,Sujith Subramanian. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-12-28.

Embedded Memory and Methods of Forming the Same

Номер патента: US20160268284A1. Автор: Harry-Hak-Lay Chuang,Wei Cheng Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-15.

Layout of semiconductor device, semiconductor device and method of forming the same

Номер патента: US10553577B2. Автор: Yu-Cheng Tung. Владелец: United Microelectronics Corp. Дата публикации: 2020-02-04.

Semiconductor device structure and method for forming the same

Номер патента: US09911821B2. Автор: Chih-Lin Wang,Kang-Min Kuo,Chi-Ruei YEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-06.

Metal gate and manufacturing method thereof

Номер патента: US09991124B2. Автор: Yu-Sheng Wang,Chi-Cheng Hung,Ching-Hwanq Su,Ting-Siang Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-06-05.

Metal gate structure and manufacturing method thereof

Номер патента: US20220223709A1. Автор: Xiaoyu Liu. Владелец: Shanghai IC R&D Center Co Ltd. Дата публикации: 2022-07-14.

Mechanism for forming metal gate structure

Номер патента: US09941152B2. Автор: Chih-Lin Wang,Chia-Der Chang,Wen-Jia HSIEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-10.

Mechanism for forming metal gate structure

Номер патента: US09564332B2. Автор: Chih-Lin Wang,Chia-Der Chang,Wen-Jia HSIEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-07.

Mechanism for forming metal gate structure

Номер патента: US09553161B2. Автор: Li-Chieh Wu,Chi-Jen Liu,Liang-Guang Chen,Shich-Chang Suen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-24.

Devices including ultra-short gates and methods of forming same

Номер патента: US09530647B2. Автор: Zoltan Ring,Dan Namishia. Владелец: Cree Inc. Дата публикации: 2016-12-27.

Selectively forming a protective conductive cap on a metal gate electrode

Номер патента: US09379209B2. Автор: Min-Hwa Chi,Xiuyu Cai,Xusheng Wu,Jiajun Mao. Владелец: Globalfoundries Inc. Дата публикации: 2016-06-28.

Method of making MOS VLSI semiconductor device with metal gate and clad source/drain

Номер патента: US4661374A. Автор: Robert R. DOERING. Владелец: Texas Instruments Inc. Дата публикации: 1987-04-28.

Methods of forming CoSi2, methods of forming field effect transistors, and methods of forming conductive contacts

Номер патента: US20070032071A1. Автор: Yongjun Hu. Владелец: Micron Technology Inc. Дата публикации: 2007-02-08.

Methods of Forming CoSi2, Methods of Forming Field Effect Transistors, and Methods of Forming Conductive Contacts

Номер патента: US20090035938A1. Автор: Yongjun Jeff Hu. Владелец: Individual. Дата публикации: 2009-02-05.

Selectively deposited metal gates and method of manufacturing thereof

Номер патента: US09496361B1. Автор: Yu-Cheng Tung,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2016-11-15.

Integrated circuit structures having cut metal gates

Номер патента: US20240347539A1. Автор: Tahir Ghani,Mohammad Hasan,Biswajeet Guha,Leonard P. GULER,Mohit K. HARAN,Alison V. DAVIS. Владелец: Intel Corp. Дата публикации: 2024-10-17.

Method of forming metal gate to mitigate antenna defect

Номер патента: US09613959B2. Автор: Shiang-Bau Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-04.

High voltage transistor structure and method of fabricating the same

Номер патента: US20220123121A1. Автор: Zhi-Cheng Lee,Wei-Jen Chen,Kai-Lin Lee. Владелец: United Microelectronics Corp. Дата публикации: 2022-04-21.

High voltage transistor structure and method of fabricating the same

Номер патента: US11610973B2. Автор: Zhi-Cheng Lee,Wei-Jen Chen,Kai-Lin Lee. Владелец: United Microelectronics Corp. Дата публикации: 2023-03-21.

Lowering parasitic capacitance of replacement metal gate processes

Номер патента: US09685521B2. Автор: Effendi Leobandung,Vijay Narayanan. Владелец: International Business Machines Corp. Дата публикации: 2017-06-20.

Semiconductor device and method of manufacturing the same

Номер патента: US09466600B2. Автор: Chang-Hwan Choi. Владелец: Industry University Cooperation Foundation IUCF HYU. Дата публикации: 2016-10-11.

High voltage transistor structure and method of fabricating the same

Номер патента: US20220013648A1. Автор: Zhi-Cheng Lee,Wei-Jen Chen,Kai-Lin Lee. Владелец: United Microelectronics Corp. Дата публикации: 2022-01-13.

Backside via and metal gate separation

Номер патента: US20240355708A1. Автор: Mei-Yun Wang,Shih-Chieh Wu,Po-Yu Huang,Fu-Kai Yang,Chen-Ming Lee,I-Wen Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-24.

Fully silicided gate electrodes and method of making the same

Номер патента: WO2008014038A1. Автор: Kern Rim,William K. Henson. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2008-01-31.

Methods of fabricating a semiconductor device having a metal gate pattern

Номер патента: US20060270205A1. Автор: Chang-Won Lee,Sung-Man Kim,Sun-pil Youn,Ja-hum Ku,Seong-Jun Heo. Владелец: Individual. Дата публикации: 2006-11-30.

Semiconductor device and method for manufacturing the same

Номер патента: US20130105919A1. Автор: LI Jiang,Mingqi Li,Pulei Zhu. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2013-05-02.

Oxidation and Etching Post Metal Gate CMP

Номер патента: US20170125549A1. Автор: Li-Chieh Wu,Chi-Jen Liu,Liang-Guang Chen,Shich-Chang Suen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-04.

Oxidation and etching post metal gate CMP

Номер патента: US09917173B2. Автор: Li-Chieh Wu,Chi-Jen Liu,Liang-Guang Chen,Shich-Chang Suen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-13.

Oxidation and etching post metal gate CMP

Номер патента: US09564511B2. Автор: Li-Chieh Wu,Chi-Jen Liu,Liang-Guang Chen,Shich-Chang Suen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-07.

Method of forming metal gate to mitigate antenna defect

Номер патента: US20170033105A1. Автор: Shiang-Bau Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-02.

Method for CMP of high-K metal gate structures

Номер патента: US09646840B2. Автор: Jian Zhao,Hangping Wang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-05-09.

Semiconductor device and method of manufacturing the same

Номер патента: US20110241107A1. Автор: Jin Yul Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-10-06.

Process flow for replacement metal gate transistors

Номер патента: US20140377885A1. Автор: Ying Zhang,Steven Sherman. Владелец: Varian Semiconductor Equipment Associates Inc. Дата публикации: 2014-12-25.

Process flow for replacement metal gate transistors

Номер патента: WO2014204810A1. Автор: Ying Zhang,Steven Sherman. Владелец: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.. Дата публикации: 2014-12-24.

FinFET semiconductor structures and methods of fabricating same

Номер патента: US09812336B2. Автор: Michael Ganz,Sruthi Muralidharan,Bingwu Liu,Johannes Marinus VAN MEER. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-07.

A dual metal gate process: metals and their silicides

Номер патента: SG135914A1. Автор: Mei Sheng Zhou,Simon Chooi,Kin Leong Pey,Wenhe Lin. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2007-10-29.

Lowering parasitic capacitance of replacement metal gate processes

Номер патента: US20150255294A1. Автор: Effendi Leobandung,Vijay Narayanan. Владелец: International Business Machines Corp. Дата публикации: 2015-09-10.

Lowering parasitic capacitance of replacement metal gate processes

Номер патента: US20160111512A1. Автор: Effendi Leobandung,Vijay Narayanan. Владелец: International Business Machines Corp. Дата публикации: 2016-04-21.

Methods of forming silicon-containing layers

Номер патента: WO2020051116A1. Автор: Abhishek Dube,Steven C.H. Hung,Benjamin Colombeau,Johanes F. Swenberg. Владелец: Applied Materials, Inc.. Дата публикации: 2020-03-12.

Methods of forming contact features in field-effect transistors

Номер патента: US20230326808A1. Автор: Yi-Hsun CHIU,Yi-Hsiung Lin,Shang-Wen Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-12.

Method of Manufacturing a Semiconductor Device

Номер патента: US20130309831A1. Автор: Da YANG,Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-11-21.

Contact for high-k metal gate device

Номер патента: US09978850B2. Автор: Harry-Hak-Lay Chuang,Huan-Just Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-22.

Contact for high-k metal gate device

Номер патента: US09711605B2. Автор: Harry-Hak-Lay Chuang,Huan-Just Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-18.

Method and device for high k metal gate transistors

Номер патента: US09570611B2. Автор: YONG Li,Xiao Na Wang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-02-14.

Schottky diodes for replacement metal gate integrated circuits

Номер патента: US09564427B2. Автор: Mahalingam Nandakumar. Владелец: Texas Instruments Inc. Дата публикации: 2017-02-07.

High-k / metal gate CMOS transistors with TiN gates

Номер патента: US09721847B2. Автор: Hiroaki Niimi,Brian K. Kirkpatrick. Владелец: Texas Instruments Inc. Дата публикации: 2017-08-01.

Metal gate structure and manufacturing method thereof

Номер патента: US09583362B2. Автор: Kuang-Hsin Chen,Tsung-Yu CHIANG,Wei-Shuo HO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-28.

Structure of a Trench MOS Rectifier and Method of Forming the Same

Номер патента: US20140374790A1. Автор: Qinhai Jin. Владелец: Chip Integration Tech Co Ltd. Дата публикации: 2014-12-25.

Structure of a trench MOS rectifier and method of forming the same

Номер патента: US9276096B2. Автор: Qinhai Jin. Владелец: Chip Integration Tech Co Ltd. Дата публикации: 2016-03-01.

LTPS TFT substrate structure and method of forming the same

Номер патента: US09947696B2. Автор: Gaiping LU. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-04-17.

Preventing over-polishing of poly gate in metal-gate CMP

Номер патента: US09543212B2. Автор: LI Jiang,Pulei Zhu,Xiantao Li. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-01-10.

Structure of a trench MOS rectifier and method of forming the same

Номер патента: US09406788B2. Автор: Qinhai Jin. Владелец: Individual. Дата публикации: 2016-08-02.

System and method for integrating multiple metal gates for CMOS applications

Номер патента: US20040171222A1. Автор: Wei Gao,Yoshi Ono,John Conley. Владелец: Sharp Laboratories of America Inc. Дата публикации: 2004-09-02.

N/p-independently strained post-replacement metal gate (rmg) gate cut for performance enhanced finfet

Номер патента: US20240243131A1. Автор: Haining Yang,Junjing Bao,Ming-Huei Lin. Владелец: Qualcomm Inc. Дата публикации: 2024-07-18.

Method for adjusting effective work function of metal gate

Номер патента: US9831089B2. Автор: Jiang Yan,HONG Yang,Wenwu Wang,Weichun LUO. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2017-11-28.

Unpinned oxide-compound semiconductor structures and method of forming same

Номер патента: CA1308818C. Автор: Sandip Tiwari,Steven L. Wright,John Batey. Владелец: International Business Machines Corp. Дата публикации: 1992-10-13.

Metal gate structure and methods thereof

Номер патента: US20180331199A1. Автор: Bao-Ru Young,Tung-Heng Hsieh,Chia-Sheng FAN,Tzung-Chi Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-11-15.

Metal gate structure and methods thereof

Номер патента: US20200152757A1. Автор: Bao-Ru Young,Tung-Heng Hsieh,Chia-Sheng FAN,Tzung-Chi Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-05-14.

Multi-step process for patterning a metal gate electrode

Номер патента: US20060115972A1. Автор: Antonio Rotondaro,Trace Hurd,Deborah Riley. Владелец: Texas Instruments Inc. Дата публикации: 2006-06-01.

Semiconductor device and method of fabricating the same

Номер патента: US20200144105A1. Автор: Chia-Hao Chang,Jia-Chuan You,Wai-Yi Lien,Yu-Ming Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-05-07.

Gate structure and method

Номер патента: US20050023623A1. Автор: Luigi Colombo,Mark Visokay,Antonio Rotondaro. Владелец: Individual. Дата публикации: 2005-02-03.

Poly resistor for metal gate integrated circuits

Номер патента: US09508708B2. Автор: Kamel Benaissa. Владелец: Texas Instruments Inc. Дата публикации: 2016-11-29.

Dual metal gate process: metals and their silicides

Номер патента: US6475908B1. Автор: Mei-Sheng Zhou,Simon Chooi,Kin Leong Pey,Wenhe Lin. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2002-11-05.

Semiconductor device and method for fabricating the same

Номер патента: US20240379451A1. Автор: Chih-Kai Hsu,Ssu-I Fu,Chun-Ya Chiu,Chin-Hung Chen,Chi-Ting Wu,Yu-Hsiang Lin. Владелец: United Microelectronics Corp. Дата публикации: 2024-11-14.

Semiconductor structure and method for forming the same

Номер патента: US11756834B2. Автор: Chien-Hao Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-12.

Semiconductor structure and method for forming the same

Номер патента: US20220238381A1. Автор: Chien-Hao Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-07-28.

Mim capacitor and method for making the same

Номер патента: US20210083043A1. Автор: Yongji MAO. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2021-03-18.

Lateral bicmos replacement metal gate

Номер патента: US20170005085A1. Автор: Effendi Leobandung,Tak H. Ning,Jin Cai. Владелец: Globalfoundries Inc. Дата публикации: 2017-01-05.

Lateral BiCMOS replacement metal gate

Номер патента: US09478534B2. Автор: Effendi Leobandung,Tak H. Ning,Jin Cai. Владелец: Globalfoundries Inc. Дата публикации: 2016-10-25.

HIGH DIELECTRIC CONSTANT METAL GATE MOS TRANSISTOR AND METHOD FOR MAKING THE SAME

Номер патента: US20220278217A1. Автор: Li Yong. Владелец: . Дата публикации: 2022-09-01.

PMOS high-k metal gates

Номер патента: US12051734B2. Автор: Yong Yang,Srinivas Gandikota,Mandyam Sriram,Jacqueline S. Wrench,Yixiong Yang,Steven C. H. Hung. Владелец: Applied Materials Inc. Дата публикации: 2024-07-30.

Pmos high-k metal gates

Номер патента: US20230097400A1. Автор: Yong Yang,Srinivas Gandikota,Mandyam Sriram,Jacqueline S. Wrench,Yixiong Yang,Steven C.H. Hung. Владелец: Applied Materials Inc. Дата публикации: 2023-03-30.

Semiconductor structure and method for forming the same

Номер патента: US12119345B2. Автор: Chih-tang Peng,Yung-Chung Chen,Chia-Ho CHU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-15.

Pmos high-k metal gates

Номер патента: US20220077298A1. Автор: Yong Yang,Srinivas Gandikota,Mandyam Sriram,Jacqueline S. Wrench,Yixiong Yang,Steven C. H. Hung. Владелец: Applied Materials Inc. Дата публикации: 2022-03-10.

Metal gate structure

Номер патента: US09941373B2. Автор: Kuang-Yuan Hsu,Peng-Soon Lim,Da-Yuan Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-10.

Gate Structure and Methods of Forming Metal Gate Isolation

Номер патента: US20190334003A1. Автор: Meng-Fang Hsu,Chun-Sheng Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-10-31.

Metal gate stack with etch stop layer having implanted metal species

Номер патента: US20020132415A1. Автор: Srikanteswara Dakshina-Murthy,Paul Besser. Владелец: Advanced Micro Devices Inc. Дата публикации: 2002-09-19.

Structure and method for a field effect transistor

Номер патента: US09985111B2. Автор: Jhon Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-29.

Semiconductor device and method of fabricating metal gate of the same

Номер патента: US20090057783A1. Автор: Sung-Ho Park,Jin-seo Noh,Joong-S. Jeon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-03-05.

Semiconductor device and method of fabricating the same

Номер патента: US09627514B1. Автор: Dong-Kwon Kim,Ji-Hoon Cha. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-04-18.

Replacement metal gate structures

Номер патента: US09691877B2. Автор: Theodorus E. Standaert,Kangguo Cheng,Junli Wang,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-06-27.

Replacement metal gate structures

Номер патента: US09685532B2. Автор: Theodorus E. Standaert,Kangguo Cheng,Junli Wang,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-06-20.

Semiconductor device and method of manufacturing the same

Номер патента: US20110260255A1. Автор: Shijie Chen,Xiaolei Wang,Dapeng Chen,Kai HAN,Wenwu Wang. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2011-10-27.

Semiconductor device and method of manufacturing the same

Номер патента: US20180315662A1. Автор: Soo Yeon Jeong,Kyung Yub JEON,Jae Kwang Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-11-01.

Method of making a finFET device

Номер патента: US9899496B2. Автор: Chin-Hsiang Lin,Neng-Kuo Chen,Sung-Li Wang,Sey-Ping Sun,Clement Hsingjen Wann. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-20.

Method of making a finFET device

Номер патента: US09899496B2. Автор: Chin-Hsiang Lin,Neng-Kuo Chen,Sung-Li Wang,Sey-Ping Sun,Clement Hsingjen Wann. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-20.

Semiconductor device and method of manufacturing the same

Номер патента: US09331079B2. Автор: Qiuhua Han. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-05-03.

Method of forming a gate structure

Номер патента: US12027425B2. Автор: Shih-Hao Lin,Jui-Lin Chen,Hsin-Wen Su,Kian-Long Lim,Bwo-Ning Chen,Chih-Hsuan CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-02.

Methods of forming semiconductor devices

Номер патента: US20240250155A1. Автор: Yen-Ming Chen,Yen-Ting Chen,Feng-Cheng Yang,Wei-Yang Lee,I-Hsieh Wong. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-25.

Method of forming a semiconductor structure

Номер патента: US20110256706A1. Автор: Zhongze Wang. Владелец: Micron Technology Inc. Дата публикации: 2011-10-20.

Method for fabricating metal gate structures

Номер патента: US20050202644A1. Автор: Mark Doczy,Justin Brask,Mark Liu,Robert Chau,Jack Kavalieros,Matthew Metz. Владелец: Intel Corp. Дата публикации: 2005-09-15.

Metal gate and manufuacturing process thereof

Номер патента: US09577067B2. Автор: Tsung-Yu CHIANG,Chang-Yin Chen,Chai-Wei Chang,Wei-Shuo HO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-21.

Method of manufacturing fin field effect transistor

Номер патента: US09853153B2. Автор: Huilong Zhu,Qingqing Liang,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2017-12-26.

Semiconductor device and method for fabricating the same

Номер патента: US20200035680A1. Автор: Cheng-Tung Huang,Jen-Yu Wang,Yan-Jou Chen,Yen-Wei Tung. Владелец: United Microelectronics Corp. Дата публикации: 2020-01-30.

Methods for high-k metal gate CMOS with SiC and SiGe source/drain regions

Номер патента: US09595585B2. Автор: Gang MAO. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-03-14.

FinFET structures and methods of forming the same

Номер патента: US09899266B2. Автор: Chih-Han Lin,Jr-Jung LIN,Chun-Hung Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-20.

Structure and method to form a FinFET device

Номер патента: US09525069B2. Автор: Effendi Leobandung,Andres Bryant,Tenko Yamashita,Jeffrey B. Johnson. Владелец: Globalfoundries Inc. Дата публикации: 2016-12-20.

Metal gate structure and method of manufacturing same

Номер патента: WO2009142982A3. Автор: Willy Rachmady,Jason Klaus,Soley Ozer. Владелец: Intel Corporation. Дата публикации: 2010-03-04.

Semiconductor structure and method for fabricating the same

Номер патента: US20190198628A1. Автор: Wen-Yi Teng,Yi-Fan Li,Kuo-Chin Hung,Ti-Bin Chen. Владелец: United Microelectronics Corp. Дата публикации: 2019-06-27.

Method of adjusting metal gate work function of NMOS device

Номер патента: US8298927B2. Автор: Qiuxia Xu,Gaobo Xu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-10-30.

Contact first replacement metal gate

Номер патента: US9985104B2. Автор: Ravikumar Ramachandran,Viraj Y. Sardesai,Emre Alptekin. Владелец: International Business Machines Corp. Дата публикации: 2018-05-29.

Semiconductor device and method of manufacturing the same

Номер патента: US20190333825A1. Автор: Soo Yeon Jeong,Kyung Yub JEON,Jae Kwang Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-10-31.

FinFET device and method of forming

Номер патента: US09837539B1. Автор: Yi-Wei Chiu,Xi-Zong Chen,Cha-Hsin Chao,Te-Chih Hsiung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-12-05.

Replacement Metal Gate Integration for Gate All Around Transistors

Номер патента: US20240186401A1. Автор: Effendi Leobandung,Eric Miller,Ruqiang Bao. Владелец: International Business Machines Corp. Дата публикации: 2024-06-06.

Metal gate memory device and method

Номер патента: US20230328966A1. Автор: Hyucksoo Yang,Byung Yoon KIM,Jongpyo Kim. Владелец: Micron Technology Inc. Дата публикации: 2023-10-12.

Apparatus and method for manufacturing metal gate structures

Номер патента: US20240337012A1. Автор: Chen-Yu LEE,Yen-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-10.

Apparatus and method for manufacturing metal gate structures

Номер патента: US12054823B2. Автор: Chen-Yu LEE,Yen-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-06.

Strain enhanced SiC power semiconductor device and method of manufacturing

Номер патента: US12113131B2. Автор: Lars Knoll,Stephan WIRTHS. Владелец: Hitachi Energy Ltd. Дата публикации: 2024-10-08.

Semi-floating gate memory device and method for fabricating the same

Номер патента: US12040413B2. Автор: Heng Liu,Zhigang Yang,Jianghua LENG,Tianpeng Guan. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-07-16.

Method of fabricating a semiconductor device

Номер патента: US20110079852A1. Автор: Robert James Pascoe Lander. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2011-04-07.

Selective tungsten contact plugs above gate and source/drain contacts

Номер патента: US20240096698A1. Автор: Haining Yang,Junjing Bao,Chih-Sung Yang. Владелец: Qualcomm Inc. Дата публикации: 2024-03-21.

Method for forming a semiconductor device having a metal gate recess

Номер патента: US20150056796A1. Автор: Ruilong Xie,Vimal Kamineni. Владелец: Globalfoundries Inc. Дата публикации: 2015-02-26.

Method for forming a semiconductor device having a metal gate recess

Номер патента: US09466676B2. Автор: Ruilong Xie,Vimal Kamineni. Владелец: Globalfoundries Inc. Дата публикации: 2016-10-11.

Method of forming silicon-oxide-nitride-oxide-silicon (SONOS) memory cell for FinFET

Номер патента: US11856771B2. Автор: LIANG Yi,Zhiguo Li,Chi REN. Владелец: United Microelectronics Corp. Дата публикации: 2023-12-26.

Method of forming source/drain contacts in unmerged FinFETs

Номер патента: US09379025B1. Автор: Veeraraghavan Basker,Kangguo Cheng,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2016-06-28.

High Voltage Double-Diffused MOS (DMOS) Device and Method of Manufacture

Номер патента: US20150200198A1. Автор: Bomy Chen,Sonu Daryanani. Владелец: Microchip Technology Inc. Дата публикации: 2015-07-16.

High voltage double-diffused MOS (DMOS) device and method of manufacture

Номер патента: US09786779B2. Автор: Bomy Chen,Sonu Daryanani. Владелец: Microchip Technology Inc. Дата публикации: 2017-10-10.

High voltage double-diffused MOS (DMOS) device and method of manufacture

Номер патента: US09601615B2. Автор: Bomy Chen,Sonu Daryanani. Владелец: Microchip Technology Inc. Дата публикации: 2017-03-21.

High voltage double-diffused mos ( dmos) device and method of manufacture

Номер патента: WO2015108903A1. Автор: Bomy Chen,Sonu Daryanani. Владелец: MICROCHIP TECHNOLOGY INCORPORATED. Дата публикации: 2015-07-23.

Enhanced stress memorization technique for metal gate transistors

Номер патента: US20150093871A1. Автор: YONG Li. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2015-04-02.

Semiconductor device and method for fabricating the same

Номер патента: US09698264B2. Автор: Jong-Mil Youn,Ju-youn Kim,Hyung-Soon JANG,Tae-Won Ha. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-07-04.

High-integration semiconductor device and method for fabricating the same

Номер патента: US09515182B2. Автор: Jong-Mil Youn,Ju-youn Kim,Hyung-Soon JANG,Tae-Won Ha. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-12-06.

Semiconductor device and method for fabricating the same

Номер патента: US09461173B2. Автор: Jong-Mil Youn,Ju-youn Kim,Hyung-Soon JANG,Tae-Won Ha. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-10-04.

Semiconductor device exhibiting reduced parasitics and method for making same

Номер патента: US20120256277A1. Автор: Kangguo Cheng,Bruce B. Doris,Keith Kwong Hon Wong. Владелец: Individual. Дата публикации: 2012-10-11.

Metal gate and method for manufacturing the same

Номер патента: US20220246762A1. Автор: Yingju Chen,Liyao Liu,Chanyuan Hu,Jhencyuan Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2022-08-04.

Metal gate double diffusion MOSFET with improved switching speed and reduced gate tunnel leakage

Номер патента: US20020084486A1. Автор: Duc Chau,Brian Mo. Владелец: Fairchild Semiconductor Corp. Дата публикации: 2002-07-04.

Metal gate double diffusion mosfet with improved switching speed and reduced gate tunnel leakage

Номер патента: WO2000039858A8. Автор: Brian S Mo,Duc Q Chau. Владелец: Duc Q Chau. Дата публикации: 2001-11-01.

Semiconductor structure and method for fabricating same

Номер патента: US20240032279A1. Автор: Semyeong Jang,Yu-Cheng Liao,Joonsuk Moon. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-01-25.

Gate cut method for replacement metal gate integration

Номер патента: US09818836B1. Автор: Ruilong Xie,Chanro Park,Min Gyu Sung,Dong-Ick Lee. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-14.

Fin shape contacts and methods for forming fin shape contacts

Номер патента: US09728615B2. Автор: Hui Zang. Владелец: Globalfoundries Inc. Дата публикации: 2017-08-08.

Method of forming graphene nanopattern by using mask formed from block copolymer

Номер патента: US09748108B2. Автор: Seongjun Park,Yunseong LEE,Seongjun JEONG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-08-29.

Dual metal gate cmos devices and method for making the same

Номер патента: KR100529202B1. Автор: 오노요시,마얀준,수셍텡,에반스데이비드러쎌. Владелец: 샤프 가부시키가이샤. Дата публикации: 2005-11-17.

Vertical type semiconductor devices including a metal gate and methods of forming the same

Номер патента: US20140203346A1. Автор: Chang-Hyun Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2014-07-24.

Vertical type semiconductor devices including a metal gate and methods of forming the same

Номер патента: US9093547B2. Автор: Chang-Hyun Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-07-28.

Metal gate patterning

Номер патента: US20240222196A1. Автор: Ming-Hsi Yeh,Kuo-Bin Huang,Ying-Liang Chuang,Jo-Chun Hung,Tefu Yeh,Cheng-Chieh TU,Hao-Hsin Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-04.

Metal gate of gate-all-around transistor

Номер патента: US09786774B2. Автор: Chi-Wen Liu,Jean-Pierre Colinge. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-10.

Replacement metal gate with borderless contact

Номер патента: WO2012066019A1. Автор: David Vaclav Horak,Theodorus Eduardus Standaert,Su Chen Fan. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-05-24.

Semiconductor Devices Having Improved Adhesion and Methods of Fabricating the Same

Номер патента: US20110266557A1. Автор: Van Mieczkowski,Helmut Hagleitner. Владелец: Individual. Дата публикации: 2011-11-03.

Enhanced gate replacement process for high-K metal gate technology

Номер патента: US09691876B2. Автор: Ming Zhu,Harry-Hak-Lay Chuang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-06-27.

Selective tungsten contact plugs above gate and source/drain contacts

Номер патента: WO2024064567A3. Автор: Haining Yang,Junjing Bao,Chih-Sung Yang. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2024-06-06.

Selective tungsten contact plugs above gate and source/drain contacts

Номер патента: WO2024064567A2. Автор: Haining Yang,Junjing Bao,Chih-Sung Yang. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2024-03-28.

Semiconductor device and method for manufacturing the same

Номер патента: US20190148518A1. Автор: Tatsuyoshi MIHARA. Владелец: Renesas Electronics Corp. Дата публикации: 2019-05-16.

Method of manufacturing semiconductor devices

Номер патента: US09989856B2. Автор: Sang-Jin Kim,Jung-Woo Seo,Jong-Seo Hong,Jong-Hoon NAH,Choon-Ho SONG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-06-05.

Metal gate process and related structure

Номер патента: US20230386920A1. Автор: Chih-Teng Liao,Chen-Wei Pan,Chih-Lun Lu,Jih-Sheng Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-30.

Methods of forming printable integrated circuit devices and devices formed thereby

Номер патента: US09443883B2. Автор: Joseph Carr,Etienne Menard,Matthew Meitl,Christopher Bower. Владелец: Semprius Inc. Дата публикации: 2016-09-13.

Gate stack for metal gate transistor

Номер патента: US20220069091A1. Автор: Runzi Chang. Владелец: Marvell Asia Pte Ltd. Дата публикации: 2022-03-03.

Metal gate structure of a CMOS semiconductor device

Номер патента: US09508721B2. Автор: Ming Zhu,Bao-Ru Young,Harry Hak-Lay Chuang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-29.

Metal gate transistor and fabrication method thereof

Номер патента: US10037943B2. Автор: Jie Zhao. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-07-31.

Method of forming e-fuse in replacement metal gate manufacturing process

Номер патента: WO2012071091A1. Автор: Ying Li,Henry K. Utomo,Gerald L. Leake. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-05-31.

Transistor with pi-gate structure and method for producing the same

Номер патента: US20020063293A1. Автор: Yeon-Sik Chae,Jin-Koo Rhee,Hyun-Sik Park,Dan An. Владелец: Individual. Дата публикации: 2002-05-30.

Semiconductor devices having improved adhesion and methods of fabricating the same

Номер патента: EP2564420A1. Автор: Van Mieczkowski,Helmut Hagleitner. Владелец: Cree Inc. Дата публикации: 2013-03-06.

method of of forming interconnection lines in a semiconductor memory device

Номер патента: KR100558493B1. Автор: 나영섭. Владелец: 삼성전자주식회사. Дата публикации: 2006-03-07.

MOSFET with both elevated source-drain and metal gate and fabricating method

Номер патента: US20020066913A1. Автор: Horng-Huei Tseng. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2002-06-06.

Semiconductor device and method of manufacturing the same

Номер патента: US09728544B2. Автор: Jae Hyun Park,Yong Tae Kim,Tea Kwang YU,Kyong Sik YEOM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-08-08.

Thin film transistor and method for manufacturing the same

Номер патента: US20020076862A1. Автор: Jr-Hong Chen,I-Min Lu. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2002-06-20.

High electron mobility transistor and method for forming the same

Номер патента: US20240355920A1. Автор: Po-Yu YANG,Hsun-Wen Wang. Владелец: United Microelectronics Corp. Дата публикации: 2024-10-24.

MOS capacitors with head-to-head fingers and methods of forming the same

Номер патента: US09590593B2. Автор: Rien Gahlsdorf,Jianwen Bao. Владелец: TDK Corp. Дата публикации: 2017-03-07.

Non-volatile semiconductor memory device with alternative metal gate material

Номер патента: US20080217677A1. Автор: Sang-Hun Jeon,Chung-woo Kim,Jeong-hee Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-09-11.

Replacement metal gate semiconductor device formation using low resistivity metals

Номер патента: US20140065811A1. Автор: Chang Seo Park,Vimal K. Kamineni. Владелец: Globalfoundries Inc. Дата публикации: 2014-03-06.

Integrated assemblies containing ferroelectric transistors, and methods of forming integrated assemblies

Номер патента: US12079415B2. Автор: Pankaj Sharma. Владелец: Micron Technology Inc. Дата публикации: 2024-09-03.

Programmable switch circuit and method, method of manufacture, and devices and systems including the same

Номер патента: TW201006131A. Автор: Madhukar B Vora. Владелец: DSM Solutions Inc. Дата публикации: 2010-02-01.

Semiconductor structure having gap within gate and cap and process thereof

Номер патента: US09666471B2. Автор: Chia-Fu Hsu,Chun-Che Huang,Tian Choy Gan,Chu-Yun Hsiao. Владелец: United Microelectronics Corp. Дата публикации: 2017-05-30.

Method of forming nano-scale structures from polycrystalline materials and nano-scale structures formed thereby

Номер патента: MY120870A. Автор: Lawrence A Clevenger,Munir D Naeem. Владелец: Ibm. Дата публикации: 2005-11-30.

Method of forming extruded structures from polycrystalline materials and devices formed thereby

Номер патента: US20020179201A1. Автор: Lawrence Clevenger,Munir Naeem. Владелец: Individual. Дата публикации: 2002-12-05.

Embedded sonos with a high-k metal gate and manufacturing methods of the same

Номер патента: EP3692576A1. Автор: Krishnaswamy Ramkumar. Владелец: Longitude Flash Memory Solutions Ltd. Дата публикации: 2020-08-12.

Embedded sonos with a high-k metal gate and manufacturing methods of the same

Номер патента: WO2019070383A1. Автор: Krishnaswamy Ramkumar. Владелец: CYPRESS SEMICONDUCTOR CORPORATION. Дата публикации: 2019-04-11.

Metal gate transistors

Номер патента: SG161181A1. Автор: Han Jin-Ping,James Lee Yong Meng,Thean Voon-Yew. Владелец: Infineon Technologies Corp. Дата публикации: 2010-05-27.

Dual work function metal gates and methods of forming

Номер патента: US7101747B2. Автор: Yongjun J. Hu. Владелец: Micron Technology Inc. Дата публикации: 2006-09-05.

Dual work function metal gates and methods of forming

Номер патента: US20050250275A1. Автор: Yongjun Hu. Владелец: Individual. Дата публикации: 2005-11-10.

Semiconductor device and method of manufacturing the same

Номер патента: US20110198709A1. Автор: Hiroshi Sunamura. Владелец: Renesas Electronics Corp. Дата публикации: 2011-08-18.

Method for fabricating dual-metal gate device

Номер патента: US20070077698A1. Автор: Srikanth Samavedam,Philip Tobin,David Gilmer. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2007-04-05.

Image sensor, method of forming pixel, pixel readout circuit and calibration method

Номер патента: US20230282673A1. Автор: Jing Ye. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-09-07.

Methods of forming transistor gates; and methods of forming programmable read-only memory constructions

Номер патента: US20060046451A1. Автор: Winston Scott. Владелец: Individual. Дата публикации: 2006-03-02.

Methods of forming transistor gates; and methods of forming programmable read-only memory constructions

Номер патента: US20020187611A1. Автор: Winston Scott. Владелец: Individual. Дата публикации: 2002-12-12.

Methods of forming transistor gates; and methods of forming programmable read-only memory constructions

Номер патента: US20040038460A1. Автор: Winston Scott. Владелец: Individual. Дата публикации: 2004-02-26.

Device for high-K and metal gate stacks

Номер патента: US09711415B2. Автор: Wei Cheng Wu,Bao-Ru Young,Hak-Lay Chuang,Sheng-Chen Chung,Jyun-Ming Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-18.

Testkey structure and method for forming the same

Номер патента: US20240096718A1. Автор: Chung-Hsuan Wang. Владелец: Winbond Electronics Corp. Дата публикации: 2024-03-21.

Integrated assemblies having voids along regions of gates, and methods of forming conductive structures

Номер патента: US11456299B2. Автор: Sanh D. Tang. Владелец: Micron Technology Inc. Дата публикации: 2022-09-27.

Integrated Assemblies Having Voids Along Regions of Gates, and Methods of Forming Conductive Structures

Номер патента: US20210202489A1. Автор: Sanh D. Tang. Владелец: Micron Technology Inc. Дата публикации: 2021-07-01.

Integrated Assemblies Having Voids Along Regions of Gates, and Methods of Forming Conductive Structures

Номер патента: US20210265356A1. Автор: Sanh D. Tang. Владелец: Micron Technology Inc. Дата публикации: 2021-08-26.

Integrated circuits having an anti-fuse device and methods of forming the same

Номер патента: US20170125427A1. Автор: Danny Pak-Chum Shum. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2017-05-04.

Apparatuses including stair-step structures and methods of forming the same

Номер патента: US09870990B2. Автор: Michael A. Smith,Eric H. Freeman. Владелец: Micron Technology Inc. Дата публикации: 2018-01-16.

Apparatuses including stair-step structures and methods of forming the same

Номер патента: US09466531B2. Автор: Michael A. Smith,Eric H. Freeman. Владелец: Micron Technology Inc. Дата публикации: 2016-10-11.

Method for integration of dual metal gates and dual high-k dielectrics in cmos devices

Номер патента: US20120094447A1. Автор: Qiuxia Xu,Gaobo Xu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-04-19.

Interconnects for stacked non-volatile memory device and method

Номер патента: US20120112155A1. Автор: Scott Brad Herner. Владелец: Crossbar Inc. Дата публикации: 2012-05-10.

Method of forming a capacitor and an electrical connection thereto, and method of forming DRAM circuitry

Номер патента: US20010055850A1. Автор: Howard Rhodes. Владелец: Individual. Дата публикации: 2001-12-27.

Semiconductor packaging structure and method of forming the same

Номер патента: US20190319010A1. Автор: Boo Yang Jung,Jason Au. Владелец: Agency for Science Technology and Research Singapore. Дата публикации: 2019-10-17.

Alignment structures and methods of forming same

Номер патента: US09646944B2. Автор: Hsien-Wei Chen,Ching-Jung Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-09.

Alignment structures and methods of forming same

Номер патента: US09355979B2. Автор: Hsien-Wei Chen,Ching-Jung Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-05-31.

Integrated circuit device and method for making same

Номер патента: WO2014081984A1. Автор: Zhongze Wang. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2014-05-30.

Metal gate MOSFET terahertz detector based on periodically rasterized drain

Номер патента: LU101403B1. Автор: Shaohua Zhou,Jianguo Ma,Jiangtao Xu. Владелец: Univ Tianjin. Дата публикации: 2020-01-20.

Metal gate MOSFET terahertz detector based on aperiodically rasterized gate

Номер патента: LU101404B1. Автор: Shaohua Zhou,Jianguo Ma,Jiangtao Xu. Владелец: Univ Tianjin. Дата публикации: 2020-01-20.

3D semiconductor device, structure and methods with connectivity structures

Номер патента: US12029050B2. Автор: Zvi Or-Bach,Brian Cronquist,Jin-Woo Han,Eli Lusky. Владелец: Monolithic 3D Inc. Дата публикации: 2024-07-02.

Touch panel and method of forming the same

Номер патента: US09465475B2. Автор: Jium Ming Lin. Владелец: Individual. Дата публикации: 2016-10-11.

Phase change memory structures and methods

Номер патента: US20140097399A1. Автор: Sanh D. Tang. Владелец: Micron Technology Inc. Дата публикации: 2014-04-10.

Insert target assembly and method of making same

Номер патента: US20020134675A1. Автор: William Barnes,Melvin Holcomb,Steven Bardus. Владелец: Tosoh SMD Inc. Дата публикации: 2002-09-26.

Superconducting wire and method for formation thereof

Номер патента: RU2597247C2. Автор: Сын-Хён МУН,Чжае Хун ЛИ,Хун-Чжу ЛИ. Владелец: Санам Ко., Лтд.. Дата публикации: 2016-09-10.

Focus detection apparatus and method, method of controlling focus detection apparatus, and image capturing apparatus

Номер патента: US09615017B2. Автор: Kengo Takeuchi. Владелец: Canon Inc. Дата публикации: 2017-04-04.

Focus detection apparatus and method, method of controlling focus detection apparatus, and image capturing apparatus

Номер патента: US20140362279A1. Автор: Kengo Takeuchi. Владелец: Canon Inc. Дата публикации: 2014-12-11.

Method of forming gate electrode pattern in semiconductor device

Номер патента: US7544564B2. Автор: Sung Hoon Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-06-09.

Phase change memory structures and methods

Номер патента: WO2012030379A3. Автор: Sanh D. Tang. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2012-05-24.

Phase change memory structures and methods

Номер патента: WO2012030379A2. Автор: Sanh D. Tang. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2012-03-08.

Fusers, printing apparatuses and methods, and methods of fusing toner on media

Номер патента: US20100119267A1. Автор: David P. Van Bortel,Brendan H. Williamson,Brian J. McNamee. Владелец: Xerox Corp. Дата публикации: 2010-05-13.

Metal packaging liquid or aerosol jet coating compositions, coated substrates, packaging, and methods

Номер патента: US20240287316A1. Автор: Charles I. Skillman,Boxin Tang. Владелец: SWIMC LLC. Дата публикации: 2024-08-29.

Dispensing capsule and method and apparatus of forming same

Номер патента: US20230365322A1. Автор: Stuart Gordon,Mark Appleford. Владелец: VARDEN PROCESS PTY LTD. Дата публикации: 2023-11-16.

DISPENSING CAPSULE AND METHOD AND APPARATUS OF FORMING SAME

Номер патента: US20200231370A1. Автор: Gordon Stuart,Appleford Mark. Владелец: VARDEN PROCESS PTY LTD. Дата публикации: 2020-07-23.

Cord stopper and methods for using and manufacturing the same

Номер патента: US12117066B2. Автор: David Roberts. Владелец: Individual. Дата публикации: 2024-10-15.

Inspection apparatus and methods, methods of manufacturing devices

Номер патента: US09753379B2. Автор: Henricus Petrus Maria Pellemans,Patrick Warnaar,Amandev SINGH. Владелец: ASML Netherlands BV. Дата публикации: 2017-09-05.

Woven fabric having a bulging zone and method and apparatus of forming same

Номер патента: US6000442A. Автор: Alexander Busgen. Владелец: Individual. Дата публикации: 1999-12-14.

ELECTROPHOTOGRAPHIC PHOTORECEPTOR, AND METHOD AND APPARATUS OF FORMING ELECTROPHOTOGRAPHIC IMAGE

Номер патента: US20160054668A1. Автор: KONISHI Mari,KODAMA Daisuke,YUMITA Masanori. Владелец: . Дата публикации: 2016-02-25.

Detecting Apparatus and Method for Signs of Form Collapse

Номер патента: KR101284752B1. Автор: 박상준,함상규,진상윤,양병혁. Владелец: (주) 희림종합건축사사무소. Дата публикации: 2013-07-17.

Lens shape measurement device and method, method of producing eyeglass lens, and method of producing eyeglasses

Номер патента: WO2008016066A1. Автор: Masaaki Inoguchi. Владелец: HOYA CORPORATION. Дата публикации: 2008-02-07.

Inspection Apparatus and Methods, Methods of Manufacturing Devices

Номер патента: US20160011523A1. Автор: WARNAAR Patrick,Pellemans Henricus Petrus Maria,SINGH Amandev. Владелец: ASML Netherlands B.V.. Дата публикации: 2016-01-14.

Complex structures in refractory bodies and methods of forming

Номер патента: US20180010718A1. Автор: Paulo Gaspar Jorge Marques. Владелец: Corning Inc. Дата публикации: 2018-01-11.

Complex structures in refractory bodies and methods of forming

Номер патента: EP2785446A1. Автор: Gaspar Paulo Jorge Marques. Владелец: Corning Inc. Дата публикации: 2014-10-08.

Methods of forming components utilizing ultra-high strength steel and components formed thereby

Номер патента: US20170102067A1. Автор: Sokol SULAJ,John Richard Sabo. Владелец: Magna Powertrain Inc. Дата публикации: 2017-04-13.

Complex structures in refractory bodies and methods of forming

Номер патента: US09714729B2. Автор: Paulo Gaspar Jorge Marques. Владелец: Corning Inc. Дата публикации: 2017-07-25.

Method of forming an oral care implement

Номер патента: US09802347B2. Автор: Alan Sorrentino,Stephen Nelson. Владелец: Colgate Palmolive Co. Дата публикации: 2017-10-31.

Device leakage current model and method for extracting the same

Номер патента: US20240232468A1. Автор: Yuanyuan Wu,Qingwen Wang,Ganbing SHANG. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-07-11.

Metal gate MOSFET terahertz detector based on periodically rasterized gate

Номер патента: LU101402B1. Автор: Shaohua Zhou,Jianguo Ma,Jiangtao Xu. Владелец: Univ Tianjin. Дата публикации: 2020-01-20.

Method and apparatus for forming tunnels and tunnels formed thereby

Номер патента: US09702094B2. Автор: James Crawford Thomson. Владелец: Individual. Дата публикации: 2017-07-11.

Glass sheets forming device and method

Номер патента: RU2719872C2. Автор: Джеймс П. Мл. ШНАБЕЛЬ,Дин М. НИТШКЕ,Дэвид Б. НИТШКЕ. Владелец: Гласстек, Инк.. Дата публикации: 2020-04-23.

Mats of glass fibers and pulp fibers and their method of manufacture

Номер патента: EP1218595A1. Автор: Daojie Dong. Владелец: Owens Corning. Дата публикации: 2002-07-03.

Radio frequency identification device implemented with a metal-gate semiconductor fabrication process

Номер патента: US20050263603A1. Автор: Ying Wu,Wen Lee,Che Wu. Владелец: G-Time Electronic Co Ltd. Дата публикации: 2005-12-01.

Thin film magnetic head, method of manufacturing the same and method of forming magnetic layer pattern

Номер патента: US20020034045A1. Автор: Yoshitaka Sasaki. Владелец: TDK Corp. Дата публикации: 2002-03-21.

METHOD FOR MANUFACTURING METAL GATE STACK STRUCTURE IN GATE-FIRST PROCESS

Номер патента: US20120003827A1. Автор: Xu Qiuxia,Li Yongliang. Владелец: . Дата публикации: 2012-01-05.

Short-Resistant Metal-Gate MOS Transistor and Method of Forming the Transistor

Номер патента: US20130214289A1. Автор: Mehrotra Manoj. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2013-08-22.

DAMASCENE METAL GATE AND SHIELD STRUCTURE, METHODS OF MANUFACTURE AND DESIGN STRUCTURES

Номер патента: US20120235216A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-09-20.

Joint nail package and method and means of forming same

Номер патента: CA632681A. Автор: Ferguson Richard,Gettys D. Hoyle, Jr.. Владелец: Terrell Machine Co. Дата публикации: 1961-12-12.

ULTRAHIGH DENSITY VERTICAL NAND MEMORY DEVICE AND METHOD OF MAKING THEREOF

Номер патента: US20120001247A1. Автор: Alsmeier Johann. Владелец: SanDisk Corporation. Дата публикации: 2012-01-05.

Semiconductor Device and Method for Forming the Same

Номер патента: US20120001229A1. Автор: Zhu Huilong,Liang Qingqing. Владелец: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES. Дата публикации: 2012-01-05.

Replacement Gate Approach for High-K Metal Gate Stacks Based on a Non-Conformal Interlayer Dielectric

Номер патента: US20120001263A1. Автор: Richter Ralf,Frohberg Kai. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

MEMORY DEVICE AND METHOD OF FABRICATING THEREOF

Номер патента: US20120001246A1. Автор: . Владелец: Micron Technology Inc.. Дата публикации: 2012-01-05.

METHOD OF FABRICATING AN INTEGRATED CIRCUIT DEVICE

Номер патента: US20120003806A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-01-05.

GATE STRUCTURES AND METHOD OF FABRICATING SAME

Номер патента: US20120001266A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-01-05.

METHOD OF ETCHING SACRIFICIAL LAYER

Номер патента: US20120003835A1. Автор: Yeh Chiu-Hsien,Yang Chan-Lon,Wang Yeng-Peng. Владелец: UNITED MICROELECTRONICS CORP.. Дата публикации: 2012-01-05.

PHOTOVOLTAIC MODULE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120000506A1. Автор: Kim Dong-Jin,KANG Ku-Hyun,NAM Yuk-Hyun,Lee Jung-Eun. Владелец: . Дата публикации: 2012-01-05.

NITRIDE CRYSTAL AND METHOD FOR PRODUCING THE SAME

Номер патента: US20120003446A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ULTRAHIGH DENSITY VERTICAL NAND MEMORY DEVICE & METHOD OF MAKING THEREOF

Номер патента: US20120001249A1. Автор: Alsmeier Johann,Samachisa George. Владелец: SanDisk Corporation. Дата публикации: 2012-01-05.

ULTRAHIGH DENSITY VERTICAL NAND MEMORY DEVICE AND METHOD OF MAKING THEREOF

Номер патента: US20120001250A1. Автор: Alsmeier Johann. Владелец: SanDisk Corporation. Дата публикации: 2012-01-05.

METHODS OF FORMING NANOSCALE FLOATING GATE

Номер патента: US20120001248A1. Автор: Sandhu Gurtej S.,Ramaswamy D.V. Nirmal. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR MEMORY DEVICE AND METHOD OF FORMING THE SAME

Номер патента: US20120003828A1. Автор: Chang Sung-Il,Choe Byeong-In,KANG Changseok. Владелец: . Дата публикации: 2012-01-05.

ION-SENSING CHARGE-ACCUMULATION CIRCUITS AND METHODS

Номер патента: US20120000274A1. Автор: Fife Keith. Владелец: LIFE TECHNOLOGIES CORPORATION. Дата публикации: 2012-01-05.

IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME

Номер патента: US20120001234A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME

Номер патента: US20120001255A1. Автор: PARK JIN WON. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

Antistatic laminate, optical film, polarizing plate, image display device and production method of antistatic laminate

Номер патента: US20120003467A1. Автор: . Владелец: FUJI FILM Corporation. Дата публикации: 2012-01-05.

CRYSTALLINE CERIUM OXIDE AND PREPARATION METHOD OF THE SAME

Номер патента: US20120000137A1. Автор: CHOI Sang-Soon,CHO Seung-Beom,HA Hyun-Chul,KWAK Ick-Soon,CHO Jun-Yeon. Владелец: LG CHEM, LTD.. Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003812A1. Автор: Sasaki Makoto,NISHIGUCHI Taro,HARADA Shin,Okita Kyoko,Namikawa Yasuo. Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

Engine systems and methods of operating an engine

Номер патента: US20120000435A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING CRYSTALLINE SILICON SOLAR CELLS USING EPITAXIAL DEPOSITION

Номер патента: US20120000511A1. Автор: . Владелец: Applied Materials, Inc.. Дата публикации: 2012-01-05.

SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120000517A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

RESISTIVE RAM DEVICES AND METHODS

Номер патента: US20120001144A1. Автор: . Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2012-01-05.

MEMORY CELL THAT EMPLOYS A SELECTIVELY FABRICATED CARBON NANO-TUBE REVERSIBLE RESISTANCE-SWITCHING ELEMENT AND METHODS OF FORMING THE SAME

Номер патента: US20120001150A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

FLEXIBLE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001173A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ORGANIC EL DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120001186A1. Автор: ONO Shinya,KONDOH Tetsuro. Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

THIN FILM TRANSISTOR AND METHOD OF FABRICATING SAME

Номер патента: US20120001190A1. Автор: Yaneda Takeshi,Aita Tetsuya,Harumoto Yoshiyuki,Inoue Tsuyoshi,OKABE Tohru. Владелец: SHARP KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

GROUP III NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME, AND LAMP

Номер патента: US20120001220A1. Автор: . Владелец: SHOWA DENKO K.K.. Дата публикации: 2012-01-05.

ETCHANTS AND METHODS OF FABRICATING SEMICONDUCTOR DEVICES USING THE SAME

Номер патента: US20120001264A1. Автор: YANG Jun-Kyu,Kim Hong-Suk,Hwang Ki-Hyun,Ahn Jae-Young,Lim Hun-Hyeong,KIM Jin-Gyun. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING OF SAME

Номер патента: US20120001321A1. Автор: IMAMURA Tomomi,Natsuda Tetsuo,Nishijo Yoshinosuke. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001331A1. Автор: . Владелец: KABUSHI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

FLAME-RETARDANT POLY LACTIC ACID-CONTAINING FILM OR SHEET, AND METHOD FOR MANUFACTURING THEREOF

Номер патента: US20120003459A1. Автор: . Владелец: NITTO DENKO CORPORATION. Дата публикации: 2012-01-05.

ELECTRODE STRUCTURE, CAPACITOR, BATTERY, AND METHOD FOR MANUFACTURING ELECTRODE STRUCTURE

Номер патента: US20120003544A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

CANCER BIOMARKERS AND METHODS OF USE THEREOF

Номер патента: US20120003639A1. Автор: KERLIKOWSKE KARLA,TLSTY THEA D.,GAUTHIER MONA L.,BERMAN HAL K.,BREMER TROY,MOLINARO ANNETTE M.. Владелец: . Дата публикации: 2012-01-05.

SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003781A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Methods of Forming Nonvolatile Memory Devices Using Nonselective and Selective Etching Techniques to Define Vertically Stacked Word Lines

Номер патента: US20120003831A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

GLOVE AND METHOD FOR PRODUCING THE SAME

Номер патента: US20120000005A1. Автор: KISHIHARA Hidetoshi,II Yasuyuki. Владелец: SHOWA GLOVE CO.. Дата публикации: 2012-01-05.

Knuckle Formed Through The Use Of Improved External and Internal Sand Cores and Method of Manufacture

Номер патента: US20120000877A1. Автор: Smerecky Jerry R.,Nibouar F. Andrew,SMITH Douglas. Владелец: . Дата публикации: 2012-01-05.

Antireflective Coatings for Via Fill and Photolithography Applications and Methods of Preparation Thereof

Номер патента: US20120001135A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120001182A1. Автор: Choi Jong-Hyun,Lee Dae-Woo. Владелец: Samsung Mobile Display Co., Ltd.. Дата публикации: 2012-01-05.

ISOLATION REGION, SEMICONDUCTOR DEVICE AND METHODS FOR FORMING THE SAME

Номер патента: US20120001198A1. Автор: Zhu Huilong,Yin Haizhou,Luo Zhijiong. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Light Emitting Device and Method for Manufacturing the Same

Номер патента: US20120001202A1. Автор: Horng Ray-Hua. Владелец: NATIONAL CHENG KUNG UNIVERSITY. Дата публикации: 2012-01-05.

CMOS Image Sensor Including PNP Triple Layer And Method Of Fabricating The CMOS Image Sensor

Номер патента: US20120001241A1. Автор: Park Won-je. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR PACKAGE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120001329A1. Автор: Kim Young Lyong,Lee Jongho,AHN EUNCHUL,Kim Hyeongseob. Владелец: Samsung Electronics Co., Ltd. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120001333A1. Автор: HWANG Chang Youn. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

WAFER STACKED PACKAGE WAVING BERTICAL HEAT EMISSION PATH AND METHOD OF FABRICATING THE SAME

Номер патента: US20120001348A1. Автор: . Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-01-05.

LIGHT EMITTING MODULE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120001544A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHOD OF ILLUMINATING INTERFEROMETRIC MODULATORS USING BACKLIGHTING

Номер патента: US20120001962A1. Автор: Tung Ming-Hau,Chui Clarence. Владелец: QUALCOMM MEMS Technologies, Inc.. Дата публикации: 2012-01-05.

OPTICAL ELEMENT AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120002285A1. Автор: Matsuda Manabu. Владелец: FUJITSU LIMITED. Дата публикации: 2012-01-05.

NITRIDE COMPOUND SEMICONDUCTOR ELEMENT AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120002693A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

WAVELENGTH MULTIPLEXER/DEMULTIPLEXER AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120002918A1. Автор: . Владелец: FURUKAWA ELECTRIC CO., LTD.. Дата публикации: 2012-01-05.

OPTICAL WAVEGUIDE DEVICE AND METHOD OF MANUFACTURING THEREOF

Номер патента: US20120002931A1. Автор: Watanabe Shinya. Владелец: NEC Corporation. Дата публикации: 2012-01-05.

Methods Of Enhancing Antibody-Dependent Cellular Cytotoxicity

Номер патента: US20120003213A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

CERAMIC/STRUCTURAL PROTEIN COMPOSITES AND METHOD OF PREPARATION THEREOF

Номер патента: US20120003280A1. Автор: Wei Mei,Qu Haibo. Владелец: . Дата публикации: 2012-01-05.

Compositions and Methods for Stimulation MAGP-1 to Improve the Appearance of Skin

Номер патента: US20120003332A1. Автор: Lyga John W.,Zheng Qian,Chen Siming W.,Santhanam Uma. Владелец: AVON PRODUCTS, INC.. Дата публикации: 2012-01-05.

METHOD OF FABRICATING AN ELECTROCHEMICAL DEVICE USING ULTRAFAST PULSED LASER DEPOSITION

Номер патента: US20120003395A1. Автор: CHE Yong,HU Zhendong. Владелец: IMRA AMERICA, INC.. Дата публикации: 2012-01-05.

DECORATION FILM, DECORATION DEVICE, AND METHOD FOR MANUFACTURING DECORATION DEVICE

Номер патента: US20120003433A1. Автор: . Владелец: SIPIX CHEMICAL INC.. Дата публикации: 2012-01-05.

DECORATION FILM, DECORATION DEVICE, AND METHOD FOR MANUFACTURING DECORATION DEVICE

Номер патента: US20120003441A1. Автор: CHEN CHIA-FU. Владелец: SIPIX CHEMICAL INC.. Дата публикации: 2012-01-05.

HOLLOW MEMBER AND AN APPARATUS AND METHOD FOR ITS MANUFACTURE

Номер патента: US20120003496A1. Автор: Tomizawa Atsushi,Kubota Hiroaki. Владелец: Sumitomo Metal Industries, Ltd.. Дата публикации: 2012-01-05.

MAGNETIC RECORDING MEDIUM AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120003503A1. Автор: . Владелец: FUJIFILM Corporation. Дата публикации: 2012-01-05.

METHOD OF MAKING A FUEL CELL DEVICE

Номер патента: US20120003570A1. Автор: Devoe Alan,Devoe Lambert. Владелец: . Дата публикации: 2012-01-05.

METHOD OF MAKING A FUEL CELL DEVICE

Номер патента: US20120003571A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ELECTROPORATION APPARATUS AND METHODS

Номер патента: US20120003740A1. Автор: . Владелец: LIFE TECHNOLOGIES CORPORATION. Дата публикации: 2012-01-05.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE AND FABRICATION SYSTEM OF SEMICONDUCTOR DEVICE

Номер патента: US20120003761A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

LIGHT EMITTING DIODE HAVING A THERMAL CONDUCTIVE SUBSTRATE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120003766A1. Автор: . Владелец: Seoul Opto Device Co., Ltd.. Дата публикации: 2012-01-05.

Methods of manufacturing semiconductor devices with Si and SiGe epitaxial layers

Номер патента: US20120003799A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR MEMORY DEVICE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120003808A1. Автор: Lee Sang-yun. Владелец: BESANG INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR STRUCTURE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120003815A1. Автор: Lee Sang-yun. Владелец: BESANG INC.. Дата публикации: 2012-01-05.

METHOD OF FORMING STRAINED EPITAXIAL CARBON-DOPED SILICON FILMS

Номер патента: US20120003825A1. Автор: Dip Anthony. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-05.

COMPOSITIONS AND METHODS OF DELIVERY OF PHARMACOLOGICAL AGENTS

Номер патента: US20120004177A1. Автор: Trieu Vuong,Desai Neil P.,Soon-Shiong Patrick. Владелец: . Дата публикации: 2012-01-05.

Polymer and Method of Forming a Polymer

Номер патента: US20120004338A1. Автор: Hywel-Evans Duncan. Владелец: Adbruf Ltd.. Дата публикации: 2012-01-05.

REAGENT AND METHOD FOR PROVIDING COATINGS ON SURFACES

Номер патента: US20120004339A1. Автор: . Владелец: SURMODICS, INC.. Дата публикации: 2012-01-05.

WOUND DRESSING APPARATUS AND METHOD OF USE

Номер патента: US20120004628A1. Автор: . Владелец: Smith & Nephew PLC. Дата публикации: 2012-01-05.

STEERABLE SURGICAL SNARE AND METHOD OF USE

Номер патента: US20120004647A1. Автор: Cowley Collin George. Владелец: The University of Utah. Дата публикации: 2012-01-05.

System and Method of Making Tapered Looped Suture

Номер патента: US20120004686A1. Автор: Maiorino Nicholas,Bowns William R.. Владелец: . Дата публикации: 2012-01-05.

DEGRADATION RESISTANT IMPLANTABLE MATERIALS AND METHODS

Номер патента: US20120004722A1. Автор: . Владелец: ALLERGAN, INC.. Дата публикации: 2012-01-05.

COMPRESSION SPRINGS AND METHODS OF MAKING SAME

Номер патента: US20120000073A1. Автор: . Владелец: Renton Coil Spring Company. Дата публикации: 2012-01-05.

RRAM structure and method of making the same

Номер патента: US20120001141A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

PACKAGE FOR SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING THE SAME AND SEMICONDUCTOR DEVICE

Номер патента: US20120001311A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING A DRESSING

Номер патента: US20120001366A1. Автор: . Владелец: BOEHRINGER TECHNOLOGIES, L.P.. Дата публикации: 2012-01-05.

STATOR FOR ELECTRIC ROTATING MACHINE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120001516A1. Автор: . Владелец: Denso Corporation. Дата публикации: 2012-01-05.

Pipeline Weighting Device and Method

Номер патента: US20120003049A1. Автор: Sprague Ian,McKay Charles Frederick. Владелец: CRC-EVANS CANADA LTD.. Дата публикации: 2012-01-05.

Methods of Preparing Non-Alcohol Bioactive Esential Oil Mouth Rinses

Номер патента: US20120003162A1. Автор: Mordas Carolyn J.,Queiroz Daniel R.,Tsai Patrick B.. Владелец: McNeil-PPC, Inc.. Дата публикации: 2012-01-05.

SINGLE-WALLED CARBON NANOTUBE/SIRNA COMPLEXES AND METHODS RELATED THERETO

Номер патента: US20120003278A1. Автор: . Владелец: ENSYSCE BIOSCIENCES, INC.. Дата публикации: 2012-01-05.

COMPOSITIONS AND METHODS FOR CONTROLLING BLOOD GLUCOSE LEVELS

Номер патента: US20120003339A1. Автор: MINACAPELLI Pompeo. Владелец: . Дата публикации: 2012-01-05.

SATIATION POUCHES AND METHODS OF USE

Номер патента: US20120004590A1. Автор: Stack Richard S.,Williams Michael S.,Glenn Richard A.,Athas William L.,LUNSFORD John,Balbierz Dan. Владелец: Barosense, Inc. Дата публикации: 2012-01-05.

SOL-GEL MONOLITHIC COLUMN WITH OPTICAL WINDOW AND METHOD OF MAKING

Номер патента: US20120000850A1. Автор: . Владелец: UNIVERSITY OF SOUTH FLORIDA. Дата публикации: 2012-01-05.

BATTERY TAB JOINTS AND METHODS OF MAKING

Номер патента: US20120000964A1. Автор: . Владелец: GM GLOBAL TECHNOLOGY OPERATIONS, INC.. Дата публикации: 2012-01-05.

PACKAGE FOR SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING THE SAME AND SEMICONDUCTOR DEVICE

Номер патента: US20120001310A1. Автор: Horiki Hiroshi,NISHINO MASANORI. Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

DRIVING METHOD OF LIQUID CRYSTAL DISPLAY DEVICE

Номер патента: US20120002132A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

Means and Methods for Rapid Droplet, Aerosols and Swab Infection Analysis

Номер патента: US20120002199A1. Автор: Ben-David Moshe,Eruv Tomer,Gannot Gallya. Владелец: OPTICUL DIAGNOSTICS LTD.. Дата публикации: 2012-01-05.

MULTI-LAYER PANEL AND METHOD OF MANUFACTURING SUCH A PANEL

Номер патента: US20120002288A1. Автор: Maass Uwe. Владелец: MUSION SYSTEMS LIMITED. Дата публикации: 2012-01-05.

MICRO-RESECTING AND EVOKED POTENTIAL MONITORING SYSTEM AND METHOD

Номер патента: US20120004680A1. Автор: McFarlin Kevin,Reinker David. Владелец: Medtronic Xomed, Inc.. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR DISTINGUISHING INPUT OBJECTS

Номер патента: US20120001855A1. Автор: TRENT,JR. Raymond Alexander,Palsan Carmen. Владелец: SYNAPTICS INCORPORATED. Дата публикации: 2012-01-05.

COMPOSITIONS AND METHODS FOR DETECTING MUTATIONS IN JAK2 NUCLEIC ACID

Номер патента: US20120003653A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Liquid Crystal Display Device And Method Of Manufacturing That

Номер патента: US20120004453A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR INDEXING CONTENT VIEWED ON AN ELECTRONIC DEVICE

Номер патента: US20120004575A1. Автор: Thörn Ola. Владелец: SONY ERICSSON MOBILE COMMUNICATIONS AB. Дата публикации: 2012-01-05.

RAY TRACING SYSTEM ARCHITECTURES AND METHODS

Номер патента: US20120001912A1. Автор: Peterson Luke Tilman,McCombe James Alexander,Salsbury Ryan R.,Clohset Steven John. Владелец: CAUSTIC GRAPHICS, INC.. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR REDUCING NOISE IN AN IMAGE

Номер патента: US20120002896A1. Автор: Kim Yeong-Taeg,Lertrattanapanich Surapong. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-01-05.

Acoustic horn and method of making same (versions)

Номер патента: RU2519852C1. Автор: Алексей Юрьевич Химичев. Владелец: Алексей Юрьевич Химичев. Дата публикации: 2014-06-20.

VIOLET LASER EXCITABLE DYES AND THEIR METHOD OF USE

Номер патента: US20120004397A1. Автор: . Владелец: LIFE TECHNOLOGIES CORPORATION. Дата публикации: 2012-01-05.

CARBURETOR AND METHODS THEREFOR

Номер патента: US20120001113A1. Автор: Hudlemeyer Aaron Aldrich,Dyess William Corey. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

Номер патента: US20120001346A1. Автор: SEO Dae-Young,KIM Doo-Kang. Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR EXTENDING VCO OUTPUT VOLTAGE SWING

Номер патента: US20120001699A1. Автор: . Владелец: QUINTIC HOLDINGS. Дата публикации: 2012-01-05.

DEVICE AND METHOD FOR DRIVING LIQUID CRYSTAL DISPLAY DEVICE

Номер патента: US20120001946A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Non-Volatile Memory And Method With Reduced Neighboring Field Errors

Номер патента: US20120002483A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Systems and Methods for Wireless Transfer of Content Between Aircraft

Номер патента: US20120003922A1. Автор: . Владелец: . Дата публикации: 2012-01-05.