• Главная
  • Replacement metal gate stack for diffusion prevention

Replacement metal gate stack for diffusion prevention

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

REPLACEMENT METAL GATE STACK FOR DIFFUSION PREVENTION

Номер патента: US20180083117A1. Автор: Ando Takashi,Yamashita Tenko,Kanakasabapathy Sivananda K.,Faltermeier Johnathan E.,OK Injo,Fan Su Chen. Владелец: . Дата публикации: 2018-03-22.

Metal gate structure and method of making the same

Номер патента: US9324620B2. Автор: Kun-Yuan Liao,Feng-Yi Chang,Chun-Lung Chen,Shi-Xiong Lin,Yu-Cheng Tung. Владелец: United Microelectronics Corp. Дата публикации: 2016-04-26.

Cutting Metal Gates in Fin Field Effect Transistors

Номер патента: US20200279854A1. Автор: Chun-Fai Cheng,Li-Wei Yin,Shu-Yuan Ku. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-09-03.

Cutting Metal Gates in Fin Field Effect Transistors

Номер патента: US20200020701A1. Автор: Chun-Fai Cheng,Li-Wei Yin,Shu-Yuan Ku. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-16.

Etching back and selective deposition of metal gate

Номер патента: US12068393B2. Автор: Weng Chang,Cheng-Lung Hung,Mao-Lin Huang,Peng-Soon Lim. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Etching back and selective deposition of metal gate

Номер патента: US20240371973A1. Автор: Weng Chang,Cheng-Lung Hung,Mao-Lin Huang,Peng-Soon Lim. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-07.

Seam-filling of metal gates with Si-containing layers

Номер патента: US11948981B2. Автор: Weng Chang,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-02.

Seam-Filling of Metal Gates with Si-Containing Layers

Номер патента: US20240021680A1. Автор: Weng Chang,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-18.

Method for manufacturing semiconductor device having metal gate

Номер патента: US09685531B2. Автор: Kun-Yuan Liao,Feng-Yi Chang,Chun-Lung Chen,Chia-Lin Lu. Владелец: United Microelectronics Corp. Дата публикации: 2017-06-20.

Semiconductor device having metal gate and manufacturing method thereof

Номер патента: US09490334B2. Автор: Kun-Yuan Liao,Feng-Yi Chang,Chun-Lung Chen,Chia-Lin Lu. Владелец: United Microelectronics Corp. Дата публикации: 2016-11-08.

Method and structure for metal gates

Номер патента: US09431304B2. Автор: Ming-Hsi Yeh,Chao-Cheng Chen,Ming-Chia Tai,Ju-Li Huang,Calvin Chiang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-30.

Method and structure for metal gates

Номер патента: US09761684B2. Автор: Ming-Hsi Yeh,Chao-Cheng Chen,Ming-Chia Tai,Ju-Li Huang,Calvin Chiang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-12.

Replacement metal gate with reduced shorting and uniform chamfering

Номер патента: US20200066879A1. Автор: Hui Zang,Guowei Xu. Владелец: Globalfoundries Inc. Дата публикации: 2020-02-27.

Structure and method for 3D FinFET metal gate

Номер патента: US09876114B2. Автор: Ting-Chun Wang,Chun Che Lin,Shiu-Ko Jangjian,Chih-Nan Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-23.

Semiconductor device and method of manufacturing gate stack

Номер патента: GB2484639A. Автор: Huicai Zhong,Qingqing Liang,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-04-18.

Method and structure for metal gates

Номер патента: US12100627B2. Автор: Yen-Yu Chen,Po-An Chen,Soon-Kang Huang,Tung-Huang Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-24.

Selectively deposited metal gates and method of manufacturing thereof

Номер патента: US09496361B1. Автор: Yu-Cheng Tung,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2016-11-15.

Pmos transistor including low thermal-budget gate stack

Номер патента: US20200176446A1. Автор: Jacopo Franco,Benjamin Kaczer,Hiroaki Arimura. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2020-06-04.

PMOS transistor including low thermal-budget gate stack

Номер патента: US11282837B2. Автор: Jacopo Franco,Benjamin Kaczer,Hiroaki Arimura. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2022-03-22.

Integrated metal gate CMOS devices

Номер патента: US09899264B2. Автор: Dechao Guo,Vijay Narayanan,Ruqiang Bao. Владелец: International Business Machines Corp. Дата публикации: 2018-02-20.

Semiconductor device having metal gate

Номер патента: US09679898B2. Автор: Chien-Ming Lai,Ya-Huei Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2017-06-13.

Semiconductor device having metal gate and fabrication method thereof

Номер патента: US09524968B1. Автор: Chien-Ming Lai,Ya-Huei Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2016-12-20.

Formation method of semiconductor device structure with gate stacks

Номер патента: US12132111B2. Автор: Tsung-Yu CHIANG,Ya-Wen Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-29.

Semiconductor device structure with metal gate stack

Номер патента: US11769819B2. Автор: Xusheng Wu,Chang-Miao Liu,Huiling Shang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-26.

Semiconductor device structure with metal gate stack

Номер патента: US12132096B2. Автор: Xusheng Wu,Chang-Miao Liu,Huiling Shang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-29.

Metal Gate Stack Having TaAlCN Layer

Номер патента: US20200090938A1. Автор: Ting-Chun Wang,Chi-Wen Liu,Chi-Cherng Jeng,Shiu-Ko Jangjian. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-19.

Metal Gate Stack Having TaAlCN Layer

Номер патента: US20190006183A1. Автор: Ting-Chun Wang,Chi-Wen Liu,Chi-Cherng Jeng,Shiu-Ko Jangjian. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-01-03.

Metal Gate Stack Having TaAlCN Layer

Номер патента: US20160254157A1. Автор: Ting-Chun Wang,Chi-Wen Liu,Chi-Cherng Jeng,Shiu-Ko Jangjian. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-01.

Semiconductor device structure with metal gate stack

Номер патента: US20230378321A1. Автор: Xusheng Wu,Chang-Miao Liu,Huiling Shang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

High voltage polysilicon gate in high-k metal gate device

Номер патента: US20230109700A1. Автор: Meng-Han LIN,Te-Hsin Chiu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-04-13.

High voltage polysilicon gate in high-k metal gate device

Номер патента: US20210043638A1. Автор: Meng-Han LIN,Te-Hsin Chiu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-02-11.

Metal gate for robust ESD protection

Номер патента: US9343456B2. Автор: Andy Wei,Jagar Singh,Amaury Gendron-Hansen. Владелец: Globalfoundries Inc. Дата публикации: 2016-05-17.

Forming single diffusion break and end isolation region after metal gate replacement, and related structure

Номер патента: US20190148242A1. Автор: Hong Yu,Hui Zang. Владелец: Globalfoundries Inc. Дата публикации: 2019-05-16.

Metal gate for robust esd protection

Номер патента: US20160071835A1. Автор: Andy Wei,Jagar Singh,Amaury Gendron-Hansen. Владелец: Globalfoundries Inc. Дата публикации: 2016-03-10.

Semiconductor device having metal gate and poly gate

Номер патента: US11854828B2. Автор: Harry-Hak-Lay Chuang,Wei-Cheng Wu,Alexander Kalnitsky. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Semiconductor device having metal gate and poly gate

Номер патента: US20240096643A1. Автор: Harry-Hak-Lay Chuang,Wei-Cheng Wu,Alexander Kalnitsky. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-21.

High voltage polysilicon gate in high-K metal gate device

Номер патента: US11950413B2. Автор: Meng-Han LIN,Te-Hsin Chiu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-02.

Fin to fin trench contact through a metal gate cut

Номер патента: EP4109555A1. Автор: Guillaume Bouche,Leonard P. GULER,Andy Chih-Hung Wei,Shashi Vyas. Владелец: Intel Corp. Дата публикации: 2022-12-28.

Integration of a memory transistor into high-k, metal gate CMOS process flow

Номер патента: US09721962B1. Автор: Krishnaswamy Ramkumar. Владелец: Cypress Semiconductor Corp. Дата публикации: 2017-08-01.

Integration of a memory transistor into high-k, metal gate CMOS process flow

Номер патента: US09911747B2. Автор: Krishnaswamy Ramkumar. Владелец: Cypress Semiconductor Corp. Дата публикации: 2018-03-06.

Semiconductor device structure with metal gate stack

Номер патента: US11929413B2. Автор: Chih-Hao Wang,Kuo-Cheng Chiang,Jia-Chuan You,Huan-Chieh Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-12.

Integrated circuit with replacement gate stacks and method of forming same

Номер патента: US09589806B1. Автор: John A. Fitzsimmons,Unoh Kwon,Ruqiang Bao,Huihang Dong. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-07.

Method for manufacturing semiconductor device having metal gate

Номер патента: US09443954B2. Автор: Chih-Kai Hsu,Ssu-I Fu,Yu-Hsiang Hung,Jyh-Shyang Jenq. Владелец: United Microelectronics Corp. Дата публикации: 2016-09-13.

Semiconductor device having mid-gap work function metal gate electrode

Номер патента: US09461132B2. Автор: Dong-won Kim,Il-Ryong Kim,Keon-Yong Cheon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-10-04.

Nanosheet transistor with asymmetric gate stack

Номер патента: CA3176383A1. Автор: Tao Li,Carl Radens,Kangguo Cheng,Dechao Guo,Ruilong Xie,Juntao Li,Tsung-Sheng KANG. Владелец: International Business Machines Corp. Дата публикации: 2021-11-25.

Nanosheet transistor with asymmetric gate stack

Номер патента: EP4154323A1. Автор: Tao Li,Carl Radens,Kangguo Cheng,Dechao Guo,Ruilong Xie,Juntao Li,Tsung-Sheng KANG. Владелец: International Business Machines Corp. Дата публикации: 2023-03-29.

Gate stack with tunable work function

Номер патента: US09583400B1. Автор: Vijay Narayanan,Unoh Kwon,Ruqiang Bao,Siddarth A. Krishnan. Владелец: International Business Machines Corp. Дата публикации: 2017-02-28.

Integrated circuit structures having metal gate plug landed on dielectric dummy fin

Номер патента: WO2023121794A1. Автор: Guillaume Bouche,Andy Chih-Hung Wei. Владелец: Intel Corporation. Дата публикации: 2023-06-29.

Field effect transistor gate stack

Номер патента: US20180330996A1. Автор: Vijay Narayanan,Unoh Kwon,Ruqiang Bao,Siddarth A. Krishnan. Владелец: International Business Machines Corp. Дата публикации: 2018-11-15.

Semiconductor device gate stack

Номер патента: GB2560866A. Автор: Kwon Unoh,Narayanan Vijay,Bao Ruqiang,KRISHNAN SIDDARTH. Владелец: International Business Machines Corp. Дата публикации: 2018-09-26.

Semiconductor device incorporating a multi-function layer into gate stacks

Номер патента: US20140061812A1. Автор: Derya Deniz. Владелец: Globalfoundries Inc. Дата публикации: 2014-03-06.

Memory device with metal gate

Номер патента: US11063058B2. Автор: Harry-Hak-Lay Chuang,Wei-Cheng Wu,Ya-Chen Kao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-07-13.

Backside via and metal gate separation

Номер патента: US20240355708A1. Автор: Mei-Yun Wang,Shih-Chieh Wu,Po-Yu Huang,Fu-Kai Yang,Chen-Ming Lee,I-Wen Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-24.

Method for Manufacturing High-Voltage Metal Gate Device

Номер патента: US20230142968A1. Автор: Hua Shao,Haoyu Chen,Xiaoliang Tang. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2023-05-11.

Method and structure for metal gates

Номер патента: US20240363441A1. Автор: Yen-Yu Chen,Po-An Chen,Soon-Kang Huang,Tung-Huang Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Semiconductor device having high-k film and metal gate

Номер патента: US09640534B2. Автор: Je-Don Kim,Ju-youn Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-05-02.

Method of fabricating a charge-trapping gate stack using a cmos process flow

Номер патента: US20170084465A1. Автор: Krishnaswamy Ramkumar,Hui-Mei Shih. Владелец: Cypress Semiconductor Corp. Дата публикации: 2017-03-23.

Work function metal gate device

Номер патента: US20240313074A1. Автор: Chih-Wen Huang,Shih-An Huang. Владелец: United Microelectronics Corp. Дата публикации: 2024-09-19.

Work function metal gate device

Номер патента: US12021129B2. Автор: Chih-Wen Huang,Shih-An Huang. Владелец: United Microelectronics Corp. Дата публикации: 2024-06-25.

Method of fabricating a charge-trapping gate stack using a CMOS process flow

Номер патента: US09911613B2. Автор: Krishnaswamy Ramkumar,Hui-Mei Shih. Владелец: Cypress Semiconductor Corp. Дата публикации: 2018-03-06.

Method of fabricating a charge-trapping gate stack using a CMOS process flow

Номер патента: US09496144B2. Автор: Krishnaswamy Ramkumar,Hui-Mei Shih. Владелец: Cypress Semiconductor Corp. Дата публикации: 2016-11-15.

Metal gate structure and methods thereof

Номер патента: US20180331199A1. Автор: Bao-Ru Young,Tung-Heng Hsieh,Chia-Sheng FAN,Tzung-Chi Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-11-15.

Metal gate structure and methods thereof

Номер патента: US20200152757A1. Автор: Bao-Ru Young,Tung-Heng Hsieh,Chia-Sheng FAN,Tzung-Chi Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-05-14.

Metal gate structure

Номер патента: US09941373B2. Автор: Kuang-Yuan Hsu,Peng-Soon Lim,Da-Yuan Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-10.

Lateral undercut of metal gate in SOI device

Номер патента: US20070040223A1. Автор: Gilbert Dewey,Mark Doczy,Justin Brask,Brian Doyle,Suman Datta,Robert Chau,Jack Kavalieros. Владелец: Intel Corp. Дата публикации: 2007-02-22.

Gate stack of forksheet structure

Номер патента: US20240222377A1. Автор: Chih-Pin TSAO,Che-Chia Hsu,Shih-Hsun Chang,Chih-Hong Hwang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-04.

Integrated Circuits with Gate Stacks

Номер патента: US20190259862A1. Автор: Kai-Chieh Yang,Kuan-Lun Cheng,Ching-Wei Tsai,Li-Shyue Lai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-08-22.

Higher ‘K’ gate dielectric cap for replacement metal gate (RMG) FINFET devices

Номер патента: US09741720B1. Автор: Balaji Kannan,Shahab Siddiqui,Siddarth Krishnan. Владелец: Globalfoundries Inc. Дата публикации: 2017-08-22.

Method and structure for transistors using gate stack dopants with minimal nitrogen penetration

Номер патента: US09418995B2. Автор: Balaji Kannan,Rekha Rajaram,Unoh Kwon. Владелец: Globalfoundries Inc. Дата публикации: 2016-08-16.

Selective growth of a work-function metal in a replacement metal gate of a semiconductor device

Номер патента: US20150108577A1. Автор: Hoon Kim,Xunyuan Zhang,Xiuyu Cai. Владелец: Globalfoundries Inc. Дата публикации: 2015-04-23.

A dual metal gate process: metals and their silicides

Номер патента: SG135914A1. Автор: Mei Sheng Zhou,Simon Chooi,Kin Leong Pey,Wenhe Lin. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2007-10-29.

High-k / metal gate CMOS transistors with TiN gates

Номер патента: US09721847B2. Автор: Hiroaki Niimi,Brian K. Kirkpatrick. Владелец: Texas Instruments Inc. Дата публикации: 2017-08-01.

Dual metal gate process: metals and their silicides

Номер патента: US6475908B1. Автор: Mei-Sheng Zhou,Simon Chooi,Kin Leong Pey,Wenhe Lin. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2002-11-05.

Method for adjusting effective work function of metal gate

Номер патента: US9831089B2. Автор: Jiang Yan,HONG Yang,Wenwu Wang,Weichun LUO. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2017-11-28.

Method of lateral oxidation of NFET and PFET high-k gate stacks

Номер патента: US09941128B2. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2018-04-10.

Method of lateral oxidation of NFET and PFET high-K gate stacks

Номер патента: US09466492B2. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2016-10-11.

High-k/metal gate cmos transistors with tin gates

Номер патента: EP3090445A1. Автор: Hiroaki Niimi,Brian K. Kirkpatrick. Владелец: Texas Instruments Inc. Дата публикации: 2016-11-09.

HIGH-K / METAL GATE CMOS TRANSISTORS WITH TiN GATES

Номер патента: US20150187653A1. Автор: Hiroaki Niimi,Brian K. Kirkpatrick. Владелец: Texas Instruments Inc. Дата публикации: 2015-07-02.

High-k/metal gate cmos transistors with tin gates

Номер патента: WO2015103412A1. Автор: Hiroaki Niimi,Brian K. Kirkpatrick. Владелец: Texas Instruments Japan Limited. Дата публикации: 2015-07-09.

HIGH-K / METAL GATE CMOS TRANSISTORS WITH TiN GATES

Номер патента: US20150287643A1. Автор: Hiroaki Niimi,Brian K. Kirkpatrick. Владелец: Texas Instruments Inc. Дата публикации: 2015-10-08.

Method of forming a semiconductor device having a metal gate

Номер патента: US9230864B1. Автор: Kun-Yuan Liao,Feng-Yi Chang,Chun-Lung Chen,Chia-Lin Lu,Shi-Xiong Lin. Владелец: United Microelectronics Corp. Дата публикации: 2016-01-05.

Manufacturing method for dual work-function metal gates

Номер патента: US10403553B2. Автор: Qingchun Zhang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-09-03.

Manufacturing method for dual work-function metal gates

Номер патента: US20180211886A1. Автор: Qingchun Zhang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-07-26.

Method for adjusting effective work function of metal gate

Номер патента: US20160240382A1. Автор: Jiang Yan,HONG Yang,Wenwu Wang,Weichun LUO. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2016-08-18.

Method of lateral oxidation of nfet and pfet high-k gate stacks

Номер патента: US20160365252A1. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2016-12-15.

Method of lateral oxidation of nfet and pfet high-k gate stacks

Номер патента: US20180174847A1. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2018-06-21.

Method of lateral oxidation of nfet and pfet high-k gate stacks

Номер патента: US20190267243A1. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2019-08-29.

Plasma nitrided gate oxide, high-k metal gate based cmos device

Номер патента: WO2008121939A1. Автор: Manuel Quevedo-Lopez,Husam Niman Alshareef. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2008-10-09.

Low Leakage Replacement Metal Gate FET

Номер патента: US20240313081A1. Автор: Simon Edward Willard,Jagar Singh. Владелец: Murata Manufacturing Co Ltd. Дата публикации: 2024-09-19.

Low leakage replacement metal gate fet

Номер патента: WO2024190843A1. Автор: Simon Edward Willard,Jagar Singh. Владелец: MURATA MANUFACTURING CO., LTD.. Дата публикации: 2024-09-19.

Conductive spline for metal gates

Номер патента: US09548384B2. Автор: Mahalingam Nandakumar,Steve Lytle. Владелец: Texas Instruments Inc. Дата публикации: 2017-01-17.

Gate stack treatment

Номер патента: US20200098640A1. Автор: Shih-Chi Lin,Chia-Ming Tsai,Chandrashekhar Prakash SAVANT,Tien-Wei YU,Ming-Te Chen,Zack Chong. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-26.

Gate stack treatment

Номер патента: US20210366778A1. Автор: Shih-Chi Lin,Chia-Ming Tsai,Chandrashekhar Prakash SAVANT,Tien-Wei YU,Ming-Te Chen,Zack Chong. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-11-25.

Forming two portion spacer after metal gate and contact formation, and related ic structure

Номер патента: US20200303261A1. Автор: Hui Zang,Yanping SHEN,Jiehui SHU. Владелец: Globalfoundries Inc. Дата публикации: 2020-09-24.

Metal gate structure cutting process

Номер патента: US11810909B2. Автор: MING-CHING Chang,Ryan Chia-Jen Chen,Shiang-Bau Wang,Shu-Yuan Ku. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-07.

Metal Gate Structure Cutting Process

Номер патента: US20190109126A1. Автор: MING-CHING Chang,Ryan Chia-Jen Chen,Shiang-Bau Wang,Shu-Yuan Ku. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-04-11.

Metal gate structure and methods thereof

Номер патента: US20190326282A1. Автор: Ming-Hsi Yeh,Kuo-Bin Huang,Ming-Chi Huang,Ying-Liang Chuang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-10-24.

Metal gate structure and methods thereof

Номер патента: US20200373298A1. Автор: Ming-Hsi Yeh,Kuo-Bin Huang,Ming-Chi Huang,Ying-Liang Chuang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-11-26.

Gate stack treatment

Номер патента: US20230268231A1. Автор: Shih-Chi Lin,Chia-Ming Tsai,Chandrashekhar Prakash SAVANT,Tien-Wei YU,Ming-Te Chen,Zack Chong. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-08-24.

Methods of forming nitride stressing layer for replacement metal gate and structures formed thereby

Номер патента: US20090085097A1. Автор: Keith E. Zawadzki,Lucian Shifren. Владелец: Intel Corp. Дата публикации: 2009-04-02.

Methods of forming nitride stressing layer for replacement metal gate and structures formed thereby

Номер патента: WO2009042495A3. Автор: Lucian Shifren,Keith E Zawadzki. Владелец: Keith E Zawadzki. Дата публикации: 2009-05-14.

Methods of forming nitride stressing layer for replacement metal gate and structures formed thereby

Номер патента: WO2009042495A2. Автор: Keith E. Zawadzki,Lucian Shifren. Владелец: Intel Corporation. Дата публикации: 2009-04-02.

Preventing over-polishing of poly gate in metal-gate CMP

Номер патента: US09543212B2. Автор: LI Jiang,Pulei Zhu,Xiantao Li. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-01-10.

Methods of fabricating a semiconductor device having a metal gate pattern

Номер патента: US20060270205A1. Автор: Chang-Won Lee,Sung-Man Kim,Sun-pil Youn,Ja-hum Ku,Seong-Jun Heo. Владелец: Individual. Дата публикации: 2006-11-30.

Semiconductor device and method of fabricating metal gate of the same

Номер патента: US20090057783A1. Автор: Sung-Ho Park,Jin-seo Noh,Joong-S. Jeon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-03-05.

Method for forming metal gate

Номер патента: US20120244675A1. Автор: Chun-Yuan Wu,Chin-Cheng Chien,Chiu-Hsien Yeh,Yeng-Peng Wang. Владелец: United Microelectronics Corp. Дата публикации: 2012-09-27.

Method for manufacturing metal gate

Номер патента: US20240154005A1. Автор: ZHOU Yao. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-05-09.

Metal gate and manufuacturing process thereof

Номер патента: US09577067B2. Автор: Tsung-Yu CHIANG,Chang-Yin Chen,Chai-Wei Chang,Wei-Shuo HO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-21.

System and method for integrating multiple metal gates for CMOS applications

Номер патента: US20040171222A1. Автор: Wei Gao,Yoshi Ono,John Conley. Владелец: Sharp Laboratories of America Inc. Дата публикации: 2004-09-02.

Poly-silicon-germanium gate stack and method for forming the same

Номер патента: US7354848B2. Автор: Ajit Paranjpe,Kangzhan Zhang. Владелец: Applied Materials Inc. Дата публикации: 2008-04-08.

Method for manufacturing high dielectric constant metal gate for nmos and pmos

Номер патента: US20230420304A1. Автор: Wei Zhou,Weiwei Ma,Ran Huang. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2023-12-28.

Method of using polysilicon as stop layer in a replacement metal gate process

Номер патента: US09761692B1. Автор: Chao-Hung Lin,Jyh-Shyang Jenq,Shih-Hung Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2017-09-12.

Method of using polysilicon as stop layer in a replacement metal gate process

Номер патента: US09847402B2. Автор: Chao-Hung Lin,Jyh-Shyang Jenq,Shih-Hung Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2017-12-19.

Replacement metal gate transistor

Номер патента: US09728623B2. Автор: Ying Zhang,Steven Sherman. Владелец: Varian Semiconductor Equipment Associates Inc. Дата публикации: 2017-08-08.

Metal gate structure and method of formation

Номер патента: US09608086B2. Автор: Mariappan Hariharaputhiran,Jing Wan,Andy Chih-Hung Wei,Dae G. Yang. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-28.

Techniques for Forming Replacement Metal Gate for VFET

Номер патента: US20210098602A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Heng Wu. Владелец: International Business Machines Corp. Дата публикации: 2021-04-01.

Replacement Metal Gate Integration for Gate All Around Transistors

Номер патента: US20240186401A1. Автор: Effendi Leobandung,Eric Miller,Ruqiang Bao. Владелец: International Business Machines Corp. Дата публикации: 2024-06-06.

Method for etching gate stack

Номер патента: US20120295431A1. Автор: John Foster,Kim Van Berkel. Владелец: Intermolecular Inc. Дата публикации: 2012-11-22.

Method for etching gate stack

Номер патента: US20130285159A1. Автор: John Foster,Kim Van Berkel. Владелец: Intermolecular Inc.. Дата публикации: 2013-10-31.

Method for etching gate stack

Номер патента: US8575016B1. Автор: John Foster,Kim Van Berkel. Владелец: Intermolecular Inc. Дата публикации: 2013-11-05.

Method for etching gate stack

Номер патента: WO2012162185A2. Автор: John Foster,Kim Van Berkel. Владелец: INTERMOLECULAR, INC.. Дата публикации: 2012-11-29.

Replacement metal gate transistor

Номер патента: US20140374843A1. Автор: Ying Zhang,Steven Sherman. Владелец: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.. Дата публикации: 2014-12-25.

Metal gate structure and method of formation

Номер патента: US20170162688A1. Автор: Mariappan Hariharaputhiran,Jing Wan,Andy Chih-Hung Wei,Dae G. Yang. Владелец: Globalfoundries Inc. Дата публикации: 2017-06-08.

Method for etching gate stack

Номер патента: EP2710627A2. Автор: John Foster,Kim Van Berkel. Владелец: Intermolecular Inc. Дата публикации: 2014-03-26.

Formation method of semiconductor device structure with gate stack

Номер патента: US20170207337A1. Автор: Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-20.

Forming metal contacts on metal gates

Номер патента: US11901426B2. Автор: Mei-Yun Wang,Chen-Yuan Kao,feng-yu Chang,Chao-Hsun Wang,Yu-Feng Yin,Kuo-Yi Chao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-13.

Method for fabricating a metal high-k gate stack for a buried recessed access device

Номер патента: US20150187586A1. Автор: Mark Fischer,Satoru Mayuzumi,Michael Violette. Владелец: Sony Corp. Дата публикации: 2015-07-02.

Method for fabricating a metal high-k gate stack for a buried recessed access device

Номер патента: US20170263458A1. Автор: Mark Fischer,Satoru Mayuzumi,Michael Violette. Владелец: Sony Corp. Дата публикации: 2017-09-14.

Method for fabricating a metal high-k gate stack for a buried recessed access device

Номер патента: US9337042B2. Автор: Mark Fischer,Satoru Mayuzumi,Michael Violette. Владелец: Sony Corp. Дата публикации: 2016-05-10.

Metal gate fill by optimizing etch in sacrificial gate profile

Номер патента: US20130005128A1. Автор: Bin Yang,Man Fai NG. Владелец: Globalfoundries Inc. Дата публикации: 2013-01-03.

Metal gate fill by optimizing etch in sacrificial gate profile

Номер патента: US8765537B2. Автор: Bin Yang,Man Fai NG. Владелец: Globalfoundries Inc. Дата публикации: 2014-07-01.

Semiconductor device utilizing a metal gate material such as tungsten and method of manufacturing the same

Номер патента: US20100093144A1. Автор: Tae Kyun Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-04-15.

Metal gate process for FinFET device improvement

Номер патента: US09837505B2. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-12-05.

Metal gate structure and manufacturing method thereof

Номер патента: US20220223709A1. Автор: Xiaoyu Liu. Владелец: Shanghai IC R&D Center Co Ltd. Дата публикации: 2022-07-14.

Gate Structure and Methods of Forming Metal Gate Isolation

Номер патента: US20190334003A1. Автор: Meng-Fang Hsu,Chun-Sheng Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-10-31.

Metal gate mos transistor with reduced gate-to-source and gate-to-drain overlap capacitance

Номер патента: WO2014074777A1. Автор: Manoj Mehrotra,Hiroaki Niimi. Владелец: Texas Instruments Japan Limited. Дата публикации: 2014-05-15.

Metal gate process for finfet device improvement

Номер патента: US20170141203A1. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-18.

Metal Gate Process for FinFET Device Improvement

Номер патента: US20200006513A1. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-02.

Metal Gates and Manufacturing Methods Thereof

Номер патента: US20200266282A1. Автор: Tsung-Han Tsai,Shih-Hsun Chang,Jen-Hsiang Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-08-20.

Metal gate finFET device

Номер патента: US09461041B2. Автор: Yu-Lin Yang,Chih Chieh Yeh,Li-Shyue Lai,Tsu-Hsiu Perng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-04.

Semiconductor device with gate stack

Номер патента: US20210036157A1. Автор: Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-02-04.

Method for manufacturing a metal gate

Номер патента: US10373834B2. Автор: Qiuming Huang. Владелец: Shaghai Huali Microelectronics Corp. Дата публикации: 2019-08-06.

Semiconductor device with gate stack

Номер патента: US20180358466A1. Автор: Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-12-13.

Semiconductor structure with metal gate, and method for manufacturing the same

Номер патента: US20190189451A1. Автор: Qiuming Huang. Владелец: Shaghai Huali Microelectronics Corp. Дата публикации: 2019-06-20.

Si-Passivated GE Gate Stack

Номер патента: US20200203168A1. Автор: Hendrik F.W. Dekkers,Antony Premkumar Peter,Hiroaki Arimura. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2020-06-25.

Third type of metal gate stack for CMOS devices

Номер патента: US09634006B2. Автор: Viraj Y. Sardesai,Ramachandra Divakaruni,Sameer H. Jain,Keith H. Tabakman. Владелец: International Business Machines Corp. Дата публикации: 2017-04-25.

Bottom-up metal gate formation on replacement metal gate finfet devices

Номер патента: US20160204221A1. Автор: Hong He,Chih-Chao Yang,Juntao Li,Junli Wang. Владелец: International Business Machines Corp. Дата публикации: 2016-07-14.

N/p-independently strained post-replacement metal gate (rmg) gate cut for performance enhanced finfet

Номер патента: US20240243131A1. Автор: Haining Yang,Junjing Bao,Ming-Huei Lin. Владелец: Qualcomm Inc. Дата публикации: 2024-07-18.

Surface oxidation control of metal gates using capping layer

Номер патента: US12046475B2. Автор: Tze-Liang Lee,Pei-Yu Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-23.

Techniques providing high-k dielectric metal gate CMOS

Номер патента: US09431404B2. Автор: Wei-Yuan Lu,Chun-Fai Cheng,Kuan-Chung Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-30.

Surface oxidation control of metal gates using capping layer

Номер патента: US20230386848A1. Автор: Tze-Liang Lee,Pei-Yu Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-30.

Superior integrity of high-k metal gate stacks by capping sti regions

Номер патента: SG183635A1. Автор: Baars Peter,Scheiper Thilo,Beyer Sven. Владелец: Globalfoundries Dresden Mod 1. Дата публикации: 2012-09-27.

Structure and method for nFET with high k metal gate

Номер патента: US09947528B2. Автор: Ming Zhu,Chi-Wen Liu,Jin-Aun Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-17.

Method of forming different voltage devices with high-k metal gate

Номер патента: US09368499B2. Автор: Sung-taeg Kang,Cheong Min Hong,Asanga H. Perera. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2016-06-14.

Method for CMP of high-K metal gate structures

Номер патента: US09646840B2. Автор: Jian Zhao,Hangping Wang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-05-09.

Method of fabricating metal gate transistor

Номер патента: US12132095B2. Автор: Zhi-Cheng Lee,Wei-Jen Chen,Kai-Lin Lee. Владелец: United Microelectronics Corp. Дата публикации: 2024-10-29.

Poly resistor for metal gate integrated circuits

Номер патента: US09508708B2. Автор: Kamel Benaissa. Владелец: Texas Instruments Inc. Дата публикации: 2016-11-29.

Gate-stack structure with a diffusion barrier material

Номер патента: US09953839B2. Автор: Chiara Marchiori,Federico Zipoli. Владелец: International Business Machines Corp. Дата публикации: 2018-04-24.

Method of fabricating metal gate transistor

Номер патента: US20230238450A1. Автор: Zhi-Cheng Lee,Wei-Jen Chen,Kai-Lin Lee. Владелец: United Microelectronics Corp. Дата публикации: 2023-07-27.

Dual metal gates for mugfet device

Номер патента: US20080272433A1. Автор: Weize Xiong,Husam Niman Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2008-11-06.

Metal gate structure for semiconductor devices

Номер патента: US20140246735A1. Автор: Richard Carter,Thilo Scheiper,Martin Trentzsch,Carsten Grass. Владелец: Globalfoundries Inc. Дата публикации: 2014-09-04.

Method for fabricating a metal gate electrode

Номер патента: US09419100B2. Автор: Hsueh Wen Tsau. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-16.

Integrated circuit structures having cut metal gates

Номер патента: US20240347539A1. Автор: Tahir Ghani,Mohammad Hasan,Biswajeet Guha,Leonard P. GULER,Mohit K. HARAN,Alison V. DAVIS. Владелец: Intel Corp. Дата публикации: 2024-10-17.

Enhanced stress memorization technique for metal gate transistors

Номер патента: US20150093871A1. Автор: YONG Li. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2015-04-02.

Field-effect transistors with asymmetric gate stacks

Номер патента: US11515424B2. Автор: Bernhard Sell,Qiang Yu,Mark Armstrong,Hyung-Jin Lee,Saurabh MORARKA,Said Rami,Guannan Liu. Владелец: Intel Corp. Дата публикации: 2022-11-29.

Field-effect transistors with asymmetric gate stacks

Номер патента: US20200259018A1. Автор: Bernhard Sell,Qiang Yu,Mark Armstrong,Hyung-Jin Lee,Saurabh MORARKA,Said Rami,Guannan Liu. Владелец: Intel Corp. Дата публикации: 2020-08-13.

Transistor arrangements with metal gate cuts and recessed power rails

Номер патента: US11508847B2. Автор: Sean T. MA,Andy Chih-Hung Wei,Piyush Mohan Sinha. Владелец: Intel Corp. Дата публикации: 2022-11-22.

Selective sin capping on metal gate for metal oxidation prevention

Номер патента: US20240304679A1. Автор: Tze-Liang Lee,Meng-Ku Chen,Pei-Yu Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-12.

REPLACEMENT METAL GATE STACK FOR DIFFUSION PREVENTION

Номер патента: US20160197157A1. Автор: Ando Takashi,Yamashita Tenko,Kanakasabapathy Sivananda K.,Faltermeier Johnathan E.,OK Injo,Fan Su Chen. Владелец: . Дата публикации: 2016-07-07.

Method of manufacturing a replacement metal gate device structure

Номер патента: US20220384195A1. Автор: Jung-Chih Tsao,Min Han HSU. Владелец: TSMC Nanjing Co Ltd. Дата публикации: 2022-12-01.

Replacement metal gate device structure and method of manufacturing same

Номер патента: US11769669B2. Автор: Jung-Chih Tsao,Min Han HSU. Владелец: TSMC Nanjing Co Ltd. Дата публикации: 2023-09-26.

Replacement metal gate device structure and method of manufacturing same

Номер патента: EP3933933A1. Автор: Jung-Chih Tsao,Min Han HSU. Владелец: TSMC Nanjing Co Ltd. Дата публикации: 2022-01-05.

Conformity control for metal gate stack

Номер патента: US09396953B2. Автор: Yu-Sheng Wang,Chi-Cheng Hung,Kuan-Ting Liu,Ching-Hwanq Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-07-19.

High performance hkmg stack for gate first integration

Номер патента: US20130020656A1. Автор: Frank Jakubowski,Till Schloesser,Peter Baars. Владелец: Globalfoundries Inc. Дата публикации: 2013-01-24.

PMOS high-k metal gates

Номер патента: US12051734B2. Автор: Yong Yang,Srinivas Gandikota,Mandyam Sriram,Jacqueline S. Wrench,Yixiong Yang,Steven C. H. Hung. Владелец: Applied Materials Inc. Дата публикации: 2024-07-30.

Pmos high-k metal gates

Номер патента: US20230097400A1. Автор: Yong Yang,Srinivas Gandikota,Mandyam Sriram,Jacqueline S. Wrench,Yixiong Yang,Steven C.H. Hung. Владелец: Applied Materials Inc. Дата публикации: 2023-03-30.

Metal gate transistors

Номер патента: SG161181A1. Автор: Han Jin-Ping,James Lee Yong Meng,Thean Voon-Yew. Владелец: Infineon Technologies Corp. Дата публикации: 2010-05-27.

Pmos high-k metal gates

Номер патента: US20220077298A1. Автор: Yong Yang,Srinivas Gandikota,Mandyam Sriram,Jacqueline S. Wrench,Yixiong Yang,Steven C. H. Hung. Владелец: Applied Materials Inc. Дата публикации: 2022-03-10.

Method of integrating a charge-trapping gate stack into a CMOS flow

Номер патента: US9196496B2. Автор: Krishnaswamy Ramkumar. Владелец: Cypress Semiconductor Corp. Дата публикации: 2015-11-24.

Method of integrating a charge-trapping gate stack into a cmos flow

Номер патента: US20190067313A1. Автор: Krishnaswamy Ramkumar. Владелец: Cypress Semiconductor Corp. Дата публикации: 2019-02-28.

Method of integrating a charge-trapping gate stack into a cmos flow

Номер патента: US20140235046A1. Автор: Krishnaswamy Ramkumar. Владелец: Cypress Semiconductor Corp. Дата публикации: 2014-08-21.

Vertical type semiconductor devices including a metal gate and methods of forming the same

Номер патента: US9093547B2. Автор: Chang-Hyun Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-07-28.

Vertical type semiconductor devices including a metal gate and methods of forming the same

Номер патента: US20140203346A1. Автор: Chang-Hyun Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2014-07-24.

Multi-step process for patterning a metal gate electrode

Номер патента: US20060115972A1. Автор: Antonio Rotondaro,Trace Hurd,Deborah Riley. Владелец: Texas Instruments Inc. Дата публикации: 2006-06-01.

Method for fabricating a gate stack in very large scale integrated semiconductor memories

Номер патента: US20030036278A1. Автор: ARKALGUD Sitaram. Владелец: Individual. Дата публикации: 2003-02-20.

Metal gate structure and method of manufacturing same

Номер патента: WO2009142982A3. Автор: Willy Rachmady,Jason Klaus,Soley Ozer. Владелец: Intel Corporation. Дата публикации: 2010-03-04.

Metal-gate high-k reference structure

Номер патента: WO2010018070A1. Автор: Edward Nowak,Brent Alan Anderson. Владелец: Ibm United Kingdom Limited. Дата публикации: 2010-02-18.

Method for Manufacturing Metal Gate of PMOS

Номер патента: US20230132408A1. Автор: Yu Zhang,YU Bao,Jianhua Xu,Jingxun FANG,Zhaoqin Zeng. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2023-05-04.

Integrated circuits having improved metal gate structures and methods for fabricating same

Номер патента: US20130270646A1. Автор: Hoon Kim,Kisik Choi. Владелец: Globalfoundries Inc. Дата публикации: 2013-10-17.

CMOS gate stack structures and processes

Номер патента: US09508728B2. Автор: Thomas Hoffmann,Pushkar Ranade,Scott E. Thompson. Владелец: Mie Fujitsu Semiconductor Ltd. Дата публикации: 2016-11-29.

Metal gate process

Номер патента: US20130102145A1. Автор: Po-Jui Liao,Jie-Ning Yang,Yao-Chang Wang,Chi-Sheng Tseng,Kuang-Hung Huang. Владелец: United Microelectronics Corp. Дата публикации: 2013-04-25.

Lowering parasitic capacitance of replacement metal gate processes

Номер патента: US09685521B2. Автор: Effendi Leobandung,Vijay Narayanan. Владелец: International Business Machines Corp. Дата публикации: 2017-06-20.

Replacement metal gate

Номер патента: US09515070B2. Автор: Stefan Schmitz,Effendi Leobandung,David V. Horak,Junli Wang. Владелец: International Business Machines Corp. Дата публикации: 2016-12-06.

Lowering parasitic capacitance of replacement metal gate processes

Номер патента: US20150255294A1. Автор: Effendi Leobandung,Vijay Narayanan. Владелец: International Business Machines Corp. Дата публикации: 2015-09-10.

Lowering parasitic capacitance of replacement metal gate processes

Номер патента: US20160111512A1. Автор: Effendi Leobandung,Vijay Narayanan. Владелец: International Business Machines Corp. Дата публикации: 2016-04-21.

High dielectric constant metal gate mos transistor and method for making the same

Номер патента: US20220278217A1. Автор: YONG Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2022-09-01.

FinFET having a multi-portioned gate stack

Номер патента: US09748394B2. Автор: Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-08-29.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09570583B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2017-02-14.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09455330B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2016-09-27.

Replacement metal gate FinFET

Номер патента: US09472407B2. Автор: Stefan Schmitz,Junli Wang,Hemanth Jagannathan,Sanjay C. Mehta,Chun-Chen Yeh. Владелец: International Business Machines Corp. Дата публикации: 2016-10-18.

Replacement metal gate finFET

Номер патента: US09530651B2. Автор: Stefan Schmitz,Junli Wang,Hemanth Jagannathan,Sanjay C. Mehta,Chun-Chen Yeh. Владелец: International Business Machines Corp. Дата публикации: 2016-12-27.

Replacement metal gate FinFET

Номер патента: US09437436B2. Автор: Stefan Schmitz,Junli Wang,Hemanth Jagannathan,Sanjay C. Mehta,Chun-Chen Yeh. Владелец: International Business Machines Corp. Дата публикации: 2016-09-06.

Replacement metal gate FinFET

Номер патента: US9093376B2. Автор: Stefan Schmitz,Junli Wang,Hemanth Jagannathan,Sanjay C. Mehta,Chun-Chen Yeh. Владелец: International Business Machines Corp. Дата публикации: 2015-07-28.

Contact first replacement metal gate

Номер патента: US09496362B1. Автор: Ravikumar Ramachandran,Viraj Y. Sardesai,Emre Alptekin. Владелец: International Business Machines Corp. Дата публикации: 2016-11-15.

Process flow for replacement metal gate transistors

Номер патента: US20140377885A1. Автор: Ying Zhang,Steven Sherman. Владелец: Varian Semiconductor Equipment Associates Inc. Дата публикации: 2014-12-25.

Process flow for replacement metal gate transistors

Номер патента: WO2014204810A1. Автор: Ying Zhang,Steven Sherman. Владелец: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.. Дата публикации: 2014-12-24.

Schottky diodes for replacement metal gate integrated circuits

Номер патента: US09564427B2. Автор: Mahalingam Nandakumar. Владелец: Texas Instruments Inc. Дата публикации: 2017-02-07.

Method to improve reliability of high-K metal gate stacks

Номер патента: US09634116B2. Автор: Takashi Ando,Vijay Narayanan,Eduard A. Cartier,Barry P. Linder. Владелец: International Business Machines Corp. Дата публикации: 2017-04-25.

Semiconductor device structure having multi-layered insulating cap layers over metal gate

Номер патента: US09502527B2. Автор: Yung-Tsun LIU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-22.

Mechanism for forming metal gate structure

Номер патента: US09941152B2. Автор: Chih-Lin Wang,Chia-Der Chang,Wen-Jia HSIEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-10.

Mechanism for forming metal gate structure

Номер патента: US09564332B2. Автор: Chih-Lin Wang,Chia-Der Chang,Wen-Jia HSIEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-07.

Cut Metal Gate Processes

Номер патента: US20200350172A1. Автор: Ryan Chia-Jen Chen,An Chyi Wei,Shu-Yuan Ku,Shu-Uei JANG,Ya-Yi Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-11-05.

Cut metal gate processes

Номер патента: US11990341B2. Автор: Ryan Chia-Jen Chen,An Chyi Wei,Shu-Yuan Ku,Shu-Uei JANG,Ya-Yi Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-21.

Mechanism for forming metal gate structure

Номер патента: US20170148665A1. Автор: Chih-Lin Wang,Chia-Der Chang,Wen-Jia HSIEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-25.

Conductive cap for metal-gate transistor

Номер патента: US09698232B2. Автор: Stanley Seungchul SONG,Haining Yang. Владелец: Qualcomm Inc. Дата публикации: 2017-07-04.

Silicide layers in contacts for high-k/metal gate transistors

Номер патента: EP1972004A2. Автор: Mark T. Bohr. Владелец: Intel Corp. Дата публикации: 2008-09-24.

Method of forming metal gate to mitigate antenna defect

Номер патента: US09613959B2. Автор: Shiang-Bau Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-04.

Metal gate structure and manufacturing method thereof

Номер патента: US09583362B2. Автор: Kuang-Hsin Chen,Tsung-Yu CHIANG,Wei-Shuo HO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-28.

Selectively forming a protective conductive cap on a metal gate electrode

Номер патента: US09379209B2. Автор: Min-Hwa Chi,Xiuyu Cai,Xusheng Wu,Jiajun Mao. Владелец: Globalfoundries Inc. Дата публикации: 2016-06-28.

Oxidation and Etching Post Metal Gate CMP

Номер патента: US20170125549A1. Автор: Li-Chieh Wu,Chi-Jen Liu,Liang-Guang Chen,Shich-Chang Suen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-04.

Oxidation and etching post metal gate CMP

Номер патента: US09917173B2. Автор: Li-Chieh Wu,Chi-Jen Liu,Liang-Guang Chen,Shich-Chang Suen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-13.

Oxidation and etching post metal gate CMP

Номер патента: US09564511B2. Автор: Li-Chieh Wu,Chi-Jen Liu,Liang-Guang Chen,Shich-Chang Suen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-07.

Methods and apparatus of metal gate transistors

Номер патента: US09508590B2. Автор: Kuang-Hsin Chen,Tsung-Yu CHIANG,Bor-Zen Tien,Tzong-Sheng Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-29.

Manufacturing method for metal gate

Номер патента: US20130023098A1. Автор: Yen-Liang Lu,Hsin-Chih Yu,Yu-Wen Wang,Po-Cheng Huang,Ching-I Li,Yu-Shu Lin,Ya-Jyuan Hung,Kuo-Chih Lai. Владелец: United Microelectronics Corp. Дата публикации: 2013-01-24.

Metal gate structure of a CMOS semiconductor device

Номер патента: US09508721B2. Автор: Ming Zhu,Bao-Ru Young,Harry Hak-Lay Chuang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-29.

Metal-gate CMOS device and fabrication method thereof

Номер патента: US8592271B2. Автор: Shih-Hung Tsai,Cheng-Tzung Tsai,Chen-Hua Tsai,Wen-Tai Chiang. Владелец: United Microelectronics Corp. Дата публикации: 2013-11-26.

Method of adjusting metal gate work function of NMOS device

Номер патента: US8298927B2. Автор: Qiuxia Xu,Gaobo Xu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-10-30.

Conductive cap for metal-gate transistor

Номер патента: WO2016148927A1. Автор: Stanley Seungchul SONG,Haining Yang. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2016-09-22.

Structure and formation method of semiconductor device with gate stack

Номер патента: US20160049482A1. Автор: Yung-Tsun LIU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-02-18.

Interface layer for gate stack using O3 post treatment

Номер патента: US09698234B2. Автор: Mark S. Rodder,Wei-E Wang,Jorge A. Kittl. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-07-04.

Method for forming metal gate

Номер патента: US8679923B2. Автор: Lily Jiang,Junzhu Cao,Cindy Li,Creek Zhu. Владелец: Semiconductor Manufacturing International Corp. Дата публикации: 2014-03-25.

Method of forming metal gate to mitigate antenna defect

Номер патента: US20170033105A1. Автор: Shiang-Bau Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-02.

Semiconductor device having metal gate structure and fabrication method thereof

Номер патента: US09728620B2. Автор: Ming Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-08-08.

Method of making MOS VLSI semiconductor device with metal gate and clad source/drain

Номер патента: US4661374A. Автор: Robert R. DOERING. Владелец: Texas Instruments Inc. Дата публикации: 1987-04-28.

High κ gate stack on III-V compound semiconductors

Номер патента: US09805949B2. Автор: Devendra K. Sadana,Jean Fompeyrine,Steven J. Koester,David J. Webb,Edward W. Kiewra. Владелец: Globalfoundries Inc. Дата публикации: 2017-10-31.

Scaling EOT by eliminating interfacial layers from high-K/metal gates of MOS devices

Номер патента: US09478637B2. Автор: Jeffrey Junhao XU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-25.

Passivating point defects in high-k gate dielectric layers during gate stack formation

Номер патента: SG193698A1. Автор: Trentzsch Martin,Erben Elke,j carter Richard. Владелец: Globalfoundries Inc. Дата публикации: 2013-10-30.

Method for forming silicon dioxide film and method for forming metal gate

Номер патента: US11990331B2. Автор: Wei Feng,Jingwen Lu,Bingyu ZHU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-05-21.

Buffer stack for group IIIA-N devices

Номер патента: US09847223B2. Автор: Qhalid Fareed,Asad Mahmood Haider. Владелец: Texas Instruments Inc. Дата публикации: 2017-12-19.

Buffer stack for group IIIA-N devices

Номер патента: US09590086B2. Автор: Qhalid Fareed,Asad Mahmood Haider. Владелец: Texas Instruments Inc. Дата публикации: 2017-03-07.

Buffer stack for group iiia-n devices

Номер патента: US20160218202A1. Автор: Qhalid Fareed,Asad Mahmood Haider. Владелец: Texas Instruments Inc. Дата публикации: 2016-07-28.

Multi-channel replacement metal gate device

Номер патента: US20230395715A1. Автор: Haiting Wang,Hong Yu,Zhenyu Hu. Владелец: GlobalFoundries US Inc. Дата публикации: 2023-12-07.

Multi-kanal-replacement-metal-gate-vorrichtung

Номер патента: DE102023111183A1. Автор: Haiting Wang,Hong Yu,Zhenyu Hu. Владелец: GlobalFoundries US Inc. Дата публикации: 2023-12-07.

Gate stack with electrical shunt in end portion of gate stack

Номер патента: WO2013173036A1. Автор: Date Jan Willem Noorlag. Владелец: Apple Inc.. Дата публикации: 2013-11-21.

Metal gate of gate-all-around transistor

Номер патента: US09786774B2. Автор: Chi-Wen Liu,Jean-Pierre Colinge. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-10.

Metal gate and method for manufacturing the same

Номер патента: US20220246762A1. Автор: Yingju Chen,Liyao Liu,Chanyuan Hu,Jhencyuan Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2022-08-04.

Gate stack with electrical shunt in end portion of gate stack

Номер патента: US20130307081A1. Автор: Date Jan Willem Noorlag. Владелец: Apple Inc. Дата публикации: 2013-11-21.

Semiconductor device including optimized gate stack profile

Номер патента: US09929250B1. Автор: Victor Chan,Jin Ping HAN. Владелец: International Business Machines Corp. Дата публикации: 2018-03-27.

Device having a gate stack

Номер патента: US20120280323A1. Автор: Ming Zhu,Harry-Hak-Lay Chuang,Bao-Ru Young,Kuo-Ji Chen,Po-Nien Chen,Ming-Hsiang Song. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2012-11-08.

Transistor gate stacks with thick hysteretic elements

Номер патента: US20230307541A1. Автор: Tahir Ghani,Abhishek A. Sharma,Anand S. Murthy,Sagar SUTHRAM,Wilfred Gomes,Pushkar Sharad RANADE. Владелец: Intel Corp. Дата публикации: 2023-09-28.

Semiconductor device including optimized gate stack profile

Номер патента: US20180090596A1. Автор: Victor Chan,Jin Ping HAN. Владелец: International Business Machines Corp. Дата публикации: 2018-03-29.

Multi-vt solution for replacement metal gate bonded stacked fet

Номер патента: US20240096887A1. Автор: Dechao Guo,Heng Wu,Junli Wang,Ruqiang Bao. Владелец: International Business Machines Corp. Дата публикации: 2024-03-21.

Buried power rail after replacement metal gate

Номер патента: WO2023088668A1. Автор: Somnath Ghosh,Ruilong Xie,Kisik Choi,Sagarika Mukesh,Devika Sarkar Grant. Владелец: Ibm United Kingdom Limited. Дата публикации: 2023-05-25.

Contact first replacement metal gate

Номер патента: US9985104B2. Автор: Ravikumar Ramachandran,Viraj Y. Sardesai,Emre Alptekin. Владелец: International Business Machines Corp. Дата публикации: 2018-05-29.

Contact first replacement metal gate

Номер патента: US20170194452A1. Автор: Ravikumar Ramachandran,Viraj Y. Sardesai,Emre Alptekin. Владелец: International Business Machines Corp. Дата публикации: 2017-07-06.

Gate stack for metal gate transistor

Номер патента: US20220069091A1. Автор: Runzi Chang. Владелец: Marvell Asia Pte Ltd. Дата публикации: 2022-03-03.

Flash Memory Utilizing a High-K Metal Gate

Номер патента: US20140038404A1. Автор: WEI Xia,Xiangdong Chen,Frank Hui. Владелец: Broadcom Corp. Дата публикации: 2014-02-06.

Metal gate process and related structure

Номер патента: US20230386920A1. Автор: Chih-Teng Liao,Chen-Wei Pan,Chih-Lun Lu,Jih-Sheng Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-30.

Metal gate stack with etch stop layer having implanted metal species

Номер патента: US20020132415A1. Автор: Srikanteswara Dakshina-Murthy,Paul Besser. Владелец: Advanced Micro Devices Inc. Дата публикации: 2002-09-19.

Gate stack for metal gate transistor

Номер патента: US11862453B2. Автор: Runzi Chang. Владелец: Marvell Asia Pte Ltd. Дата публикации: 2024-01-02.

Gate stack for metal gate transistor

Номер патента: US20240088235A1. Автор: Runzi Chang. Владелец: Marvell Asia Pte Ltd. Дата публикации: 2024-03-14.

Gate stacks

Номер патента: WO2006039632A2. Автор: Steven M. Shank,Dale W. Martin,Michael C. Triplett,Deborah A. Tucker. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2006-04-13.

Gate stacks

Номер патента: WO2006039632A3. Автор: Dale W Martin,Steven M Shank,Michael C Triplett,Deborah A Tucker. Владелец: Deborah A Tucker. Дата публикации: 2006-08-10.

Gate stacks

Номер патента: US20060073688A1. Автор: DALE Martin,Steven Shank,Michael Triplett,Deborah Tucker. Владелец: International Business Machines Corp. Дата публикации: 2006-04-06.

Gate stacks

Номер патента: US7378712B2. Автор: Steven M. Shank,Dale W. Martin,Michael C. Triplett,Deborah A. Tucker. Владелец: International Business Machines Corp. Дата публикации: 2008-05-27.

Gate stacks

Номер патента: US20070194385A1. Автор: Steven M. Shank,Dale W. Martin,Michael C. Triplett,Deborah A. Tucker. Владелец: Individual. Дата публикации: 2007-08-23.

Method of integrating a charge-trapping gate stack into a cmos flow

Номер патента: US20160099253A1. Автор: Krishnaswamy Ramkumar. Владелец: Cypress Semiconductor Corp. Дата публикации: 2016-04-07.

Method to protect gate stack material during source/drain reoxidation

Номер патента: US5998290A. Автор: Pai-Hung Pan,Zhiqiang Wu. Владелец: Micron Technology Inc. Дата публикации: 1999-12-07.

Replacement metal gate stack with oxygen and nitrogen scavenging layers

Номер патента: US20180301540A1. Автор: Takashi Ando,Pouya Hashemi,ChoongHyun Lee. Владелец: International Business Machines Corp. Дата публикации: 2018-10-18.

Lateral bicmos replacement metal gate

Номер патента: US20170005085A1. Автор: Effendi Leobandung,Tak H. Ning,Jin Cai. Владелец: Globalfoundries Inc. Дата публикации: 2017-01-05.

Method for fabricating dual-metal gate device

Номер патента: EP1611612A2. Автор: Srikanth B. Samavedam,David C. Gilmer,Philip J. Tobin. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2006-01-04.

Method for fabricating dual-metal gate device

Номер патента: WO2004095527A3. Автор: Philip J Tobin,Srikanth B Samavedam,David C Gilmer. Владелец: David C Gilmer. Дата публикации: 2007-03-29.

Method for fabricating dual-metal gate device

Номер патента: US20050282326A1. Автор: Srikanth Samavedam,Philip Tobin,David Gilmer. Владелец: Individual. Дата публикации: 2005-12-22.

Method for fabricating dual-metal gate device

Номер патента: WO2004095527A2. Автор: Srikanth B. Samavedam,David C. Gilmer,Philip J. Tobin. Владелец: Freescale Semiconductor, Inc.. Дата публикации: 2004-11-04.

Method and apparatus for suppressing metal-gate cross-diffusion in semiconductor technology

Номер патента: US20150054085A1. Автор: QI Lin,Yun Wu,Hong-Tsz Pan,Bang-Thu Nguyen. Владелец: Xilinx Inc. Дата публикации: 2015-02-26.

METHOD TO ETCH POLY Si GATE STACKS ON RAISED STI STRUCTURE

Номер патента: WO2001099174A2. Автор: Heon Lee,Young-Jin Park. Владелец: Infineon Technologies North America Corp.. Дата публикации: 2001-12-27.

Integrated circuit with replacement gate stacks and method of forming same

Номер патента: US09922884B2. Автор: Ruqiang Bao,Siddarth A. Krishnan. Владелец: International Business Machines Corp. Дата публикации: 2018-03-20.

Integrated circuit with replacement gate stacks and method of forming same

Номер патента: US10553498B2. Автор: Ruqiang Bao,Siddarth A. Krishnan. Владелец: International Business Machines Corp. Дата публикации: 2020-02-04.

Integrated circuit with replacement gate stacks and method of forming same

Номер патента: US20180102294A1. Автор: Ruqiang Bao,Siddarth A. Krishnan. Владелец: International Business Machines Corp. Дата публикации: 2018-04-12.

Patterning a gate stack of a non-volatile memory (nvm) with simultaneous etch in non-nvm area

Номер патента: EP2423952A3. Автор: Mehul D. Shroff. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2014-04-02.

Self aligned replacement metal source/drain finFET

Номер патента: US09466693B1. Автор: Emre Alptekin,Robert R. Robison,Reinaldo A. Vega. Владелец: International Business Machines Corp. Дата публикации: 2016-10-11.

Split Replacement Metal Gate Integration

Номер патента: US20210118747A1. Автор: Boon Teik CHAN,Steven Demuynck,Eugenio Dentoni Litta. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2021-04-22.

Replacement metal gate structures

Номер патента: US09691877B2. Автор: Theodorus E. Standaert,Kangguo Cheng,Junli Wang,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-06-27.

Replacement metal gate structures

Номер патента: US09685532B2. Автор: Theodorus E. Standaert,Kangguo Cheng,Junli Wang,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-06-20.

Replacement metal gate with borderless contact

Номер патента: WO2012066019A1. Автор: David Vaclav Horak,Theodorus Eduardus Standaert,Su Chen Fan. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-05-24.

Replacement metal gate semiconductor device formation using low resistivity metals

Номер патента: US20140065811A1. Автор: Chang Seo Park,Vimal K. Kamineni. Владелец: Globalfoundries Inc. Дата публикации: 2014-03-06.

Self aligned replacement metal source/drain FINFET

Номер патента: US12062703B2. Автор: Emre Alptekin,Robert R. Robison,Reinaldo A. Vega. Владелец: Tessera LLC. Дата публикации: 2024-08-13.

Contact for high-k metal gate device

Номер патента: US09978850B2. Автор: Harry-Hak-Lay Chuang,Huan-Just Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-22.

Contact for high-k metal gate device

Номер патента: US09711605B2. Автор: Harry-Hak-Lay Chuang,Huan-Just Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-18.

Self aligned replacement metal source/drain finfet

Номер патента: US20190326406A1. Автор: Emre Alptekin,Robert R. Robison,Reinaldo A. Vega. Владелец: International Business Machines Corp. Дата публикации: 2019-10-24.

Self aligned replacement metal source/drain finfet

Номер патента: US20210028287A1. Автор: Emre Alptekin,Robert R. Robison,Reinaldo A. Vega. Владелец: Tessera LLC. Дата публикации: 2021-01-28.

Contact for high-k metal gate device

Номер патента: US20160293721A1. Автор: Harry-Hak-Lay Chuang,Huan-Just Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-06.

Mechanism for forming metal gate structure

Номер патента: US09553161B2. Автор: Li-Chieh Wu,Chi-Jen Liu,Liang-Guang Chen,Shich-Chang Suen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-24.

High-k/metal gate mosfet with reduced parasitic capacitance

Номер патента: WO2009002670A1. Автор: Kangguo Cheng. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2008-12-31.

Metal gate double diffusion MOSFET with improved switching speed and reduced gate tunnel leakage

Номер патента: US20020084486A1. Автор: Duc Chau,Brian Mo. Владелец: Fairchild Semiconductor Corp. Дата публикации: 2002-07-04.

Metal gate double diffusion mosfet with improved switching speed and reduced gate tunnel leakage

Номер патента: WO2000039858A8. Автор: Brian S Mo,Duc Q Chau. Владелец: Duc Q Chau. Дата публикации: 2001-11-01.

Metal gate transistor and fabrication method thereof

Номер патента: US10037943B2. Автор: Jie Zhao. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-07-31.

Gate stack integrated metal resistors

Номер патента: US09570571B1. Автор: Theodorus E. Standaert,Kangguo Cheng,Junli Wang,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-02-14.

Method for forming tunnel MOSFET with ferroelectric gate stack

Номер патента: US09768030B2. Автор: Min-Hung Lee. Владелец: National Taiwan University NTU. Дата публикации: 2017-09-19.

Tunnel MOSFET with ferroelectric gate stack

Номер патента: US09391162B2. Автор: Min-Hung Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-07-12.

Metal gate transistor and fabrication method thereof

Номер патента: US20170186692A1. Автор: Jie Zhao. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-06-29.

Metal gate transistor

Номер патента: US20180315711A1. Автор: Jie Zhao. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-11-01.

Semiconductor process for treating metal gate

Номер патента: US09613826B2. Автор: Cheng-Chi Tai,Chung-Che Huang,Chun-Ju Tao. Владелец: United Microelectronics Corp. Дата публикации: 2017-04-04.

Metal-gate field effect transistor and method for manufacturing the same

Номер патента: US20010038136A1. Автор: Hitoshi Abiko. Владелец: NEC Corp. Дата публикации: 2001-11-08.

Metal gate and manufacturing method thereof

Номер патента: US09991124B2. Автор: Yu-Sheng Wang,Chi-Cheng Hung,Ching-Hwanq Su,Ting-Siang Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-06-05.

Metal gate and manufacturing method thereof

Номер патента: US10755938B2. Автор: Yu-Sheng Wang,Chi-Cheng Hung,Ching-Hwanq Su,Ting-Siang Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-08-25.

METAL GATE AND HIGH-K DIELECTRIC DEVICES WITH PFET CHANNEL SiGe

Номер патента: US20110068369A1. Автор: Kangguo Cheng,Bruce B. Doris,Keith Kwong Hon Wong. Владелец: International Business Machines Corp. Дата публикации: 2011-03-24.

Method of fabricating gate stack having a reduced height

Номер патента: US5438006A. Автор: Chun-Ting Liu,Kuo-Hua Lee,Chorng-Ping Chang,Ruichen Liu. Владелец: AT&T Corp. Дата публикации: 1995-08-01.

Methods for integrating replacement metal gate structures

Номер патента: TWI249206B. Автор: Mark Doczy,Justin Brask,Scott Hareland,Jack Kavalieros,Matthew Metz. Владелец: Intel Corp. Дата публикации: 2006-02-11.

Methods for integrating replacement metal gate structures

Номер патента: TW200525646A. Автор: Mark Doczy,Chris Barns,Justin Brask,Scott Hareland,Robert Chau,Jack Kavalieros,Matthew Metz. Владелец: Intel Corp. Дата публикации: 2005-08-01.

Non-volatile semiconductor memory device with alternative metal gate material

Номер патента: US20080217677A1. Автор: Sang-Hun Jeon,Chung-woo Kim,Jeong-hee Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-09-11.

Gate stack for normally-off compound semiconductor transistor

Номер патента: US09553183B2. Автор: Gilberto Curatola. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2017-01-24.

Protection of three dimensional transistor structures during gate stack etch

Номер патента: US7521775B2. Автор: Jack T. Kavalieros,Brian S. Doyle,Uday Shah,Been-Yih Jin. Владелец: Intel Corp. Дата публикации: 2009-04-21.

Modifiable gate stack memory element

Номер патента: US8097872B2. Автор: Franz Kreupl. Владелец: Rising Silicon Inc. Дата публикации: 2012-01-17.

JFET Devices with PIN Gate Stacks and Methods of Making the Same

Номер патента: US20100019291A1. Автор: Chandra Mouli. Владелец: Micron Technology Inc. Дата публикации: 2010-01-28.

Methods of making jfet devices with pin gate stacks

Номер патента: US20120302015A1. Автор: Chandra Mouli. Владелец: Micron Technology Inc. Дата публикации: 2012-11-29.

Methods of Making JFET Devices with Pin Gate Stacks

Номер патента: US20140110753A1. Автор: Chandra Mouli. Владелец: Micron Technology Inc. Дата публикации: 2014-04-24.

Self-aligned replacement metal gate spacerless vertical field effect transistor

Номер патента: US09882047B2. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2018-01-30.

Device for high-K and metal gate stacks

Номер патента: US09711415B2. Автор: Wei Cheng Wu,Bao-Ru Young,Hak-Lay Chuang,Sheng-Chen Chung,Jyun-Ming Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-18.

Method for integration of dual metal gates and dual high-k dielectrics in cmos devices

Номер патента: US20120094447A1. Автор: Qiuxia Xu,Gaobo Xu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-04-19.

Method for fabricating dual-metal gate device

Номер патента: US20070077698A1. Автор: Srikanth Samavedam,Philip Tobin,David Gilmer. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2007-04-05.

Apparatus and method for manufacturing metal gate structures

Номер патента: US20240337012A1. Автор: Chen-Yu LEE,Yen-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-10.

Apparatus and method for manufacturing metal gate structures

Номер патента: US12054823B2. Автор: Chen-Yu LEE,Yen-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-06.

Method for making a complementary metal gate electrode technology

Номер патента: US6130123A. Автор: Gang Bai,Chunlin Liang. Владелец: Intel Corp. Дата публикации: 2000-10-10.

Einrichtung und verfahren zur herstellung von metall-gate-strukturen

Номер патента: DE102021105579A1. Автор: Chen-Yu LEE,Yen-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-03-17.

Gate Stacks and Semiconductor Constructions

Номер патента: US20110042754A1. Автор: D.V. Nirmal Ramaswamy,Venkatesan Ananthan. Владелец: Micron Technology Inc. Дата публикации: 2011-02-24.

Method for creating metal gate resistor in FDSOL and resulting device

Номер патента: US09431424B1. Автор: Xusheng Wu. Владелец: Globalfoundries Inc. Дата публикации: 2016-08-30.

Process Method for Improving Reliability of Metal Gate High-Voltage Device

Номер патента: US20230274931A1. Автор: Zhigang Zhang,Qiwei Wang,Yaoyu Zhan. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2023-08-31.

Method for fabricating a flash memory cell utilizing a high-K metal gate process and related structure

Номер патента: US20110108903A1. Автор: WEI Xia,Xiangdong Chen,Frank Hui. Владелец: Broadcom Corp. Дата публикации: 2011-05-12.

Method of fabricating a flash memory comprising a high-K dielectric and a metal gate

Номер патента: US8822286B2. Автор: WEI Xia,Xiangdong Chen,Frank Hui. Владелец: Broadcom Corp. Дата публикации: 2014-09-02.

Electroless plating method for metal gate fill

Номер патента: US12107150B2. Автор: Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-01.

Electroless Plating Method for Metal Gate Fill

Номер патента: US20230299177A1. Автор: Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-21.

E-fuse design for high-K metal-gate technology

Номер патента: US09515155B2. Автор: Roman Boschke,Maciej Wiatr,Stefan Flachowsky,Christian Schippel. Владелец: Globalfoundries Inc. Дата публикации: 2016-12-06.

Embedded DRAM in replacement metal gate technology

Номер патента: US09640538B2. Автор: Min-Hwa Chi,Yanxiang Liu. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-02.

Embedded dram in replacement metal gate technology

Номер патента: US20160126245A1. Автор: Min-Hwa Chi,Yanxiang Liu. Владелец: Globalfoundries Inc. Дата публикации: 2016-05-05.

Method for diffusing an n type impurity from a solid phase source into a iii-v compound semiconductor

Номер патента: US5182229A. Автор: Satoshi Arimoto. Владелец: Mitsubishi Electric Corp. Дата публикации: 1993-01-26.

Method for diffusing as into silicon from a solid phase

Номер патента: US3798081A. Автор: K Beyer. Владелец: International Business Machines Corp. Дата публикации: 1974-03-19.

Semiconductor devices having gate stack portions that extend in a zigzag pattern

Номер патента: US20160233233A1. Автор: Hyuk Kim,Sang Wuk Park,Kyoung Sub Shin. Владелец: Individual. Дата публикации: 2016-08-11.

Semiconductor stack for hall effect device

Номер патента: US11522125B2. Автор: Lucian Barbut,Kuan-Ting HO. Владелец: Melexis Technologies SA. Дата публикации: 2022-12-06.

Semiconductor stack for hall effect device

Номер патента: US20210257544A1. Автор: Lucian Barbut,Kuan-Ting HO. Владелец: Melexis Technologies SA. Дата публикации: 2021-08-19.

BOTTOM-UP METAL GATE FORMATION ON REPLACEMENT METAL GATE FINFET DEVICES

Номер патента: US20160204221A1. Автор: Yang Chih-Chao,Wang Junli,He Hong,Li Juntao. Владелец: . Дата публикации: 2016-07-14.

Material supply device for diffusion furnaces

Номер патента: US20080173599A1. Автор: Cheng-Yi Lin,Hsun-Min Lee,Ying-Chieh Chan. Владелец: Lite On Semiconductor Corp. Дата публикации: 2008-07-24.

Dual layer dielectric stack for microelectronics having thick metal lines

Номер патента: US20080081459A1. Автор: Kevin J. Lee,Subhash Joshi. Владелец: Intel Corp. Дата публикации: 2008-04-03.

Metal interconnect stack for integrated circuit structure

Номер патента: US6087726A. Автор: Shouli Steve Hsia,Zhihai Wang,Fred Chen. Владелец: LSI Logic Corp. Дата публикации: 2000-07-11.

Light emitting diode (LED) stack for a display

Номер патента: US12009384B2. Автор: Chung Hoon Lee,Chang Yeon Kim,Jong Hyeon Chae,Ho Joon Lee,Seong Gyu Jang. Владелец: Seoul Viosys Co Ltd. Дата публикации: 2024-06-11.

Method of forming e-fuse in replacement metal gate manufacturing process

Номер патента: WO2012071091A1. Автор: Ying Li,Henry K. Utomo,Gerald L. Leake. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-05-31.

Gate cut method for replacement metal gate integration

Номер патента: US09818836B1. Автор: Ruilong Xie,Chanro Park,Min Gyu Sung,Dong-Ick Lee. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-14.

Metal gate MOSFET terahertz detector based on periodically rasterized drain

Номер патента: LU101403B1. Автор: Shaohua Zhou,Jianguo Ma,Jiangtao Xu. Владелец: Univ Tianjin. Дата публикации: 2020-01-20.

Metamaterial based metal gate mosfet detector with gate rasterized

Номер патента: US20200203550A1. Автор: Shaohua Zhou,Jianguo Ma. Владелец: GUANGDONG UNIVERSITY OF TECHNOLOGY. Дата публикации: 2020-06-25.

Metal gate MOSFET terahertz detector based on aperiodically rasterized gate

Номер патента: LU101404B1. Автор: Shaohua Zhou,Jianguo Ma,Jiangtao Xu. Владелец: Univ Tianjin. Дата публикации: 2020-01-20.

Device for diffusion of texture cleaning liquid

Номер патента: US20190054506A1. Автор: ZHENG GUO,Xudong Wang,Tongyang HUANG,Cen CAI,Huibin Fan. Владелец: Beijing Juntai Innovation Technology Co Ltd. Дата публикации: 2019-02-21.

Metal gate MOSFET terahertz detector based on aperiodically rasterized drain

Номер патента: LU101401B1. Автор: Shaohua Zhou,Jianguo Ma,Jiangtao Xu. Владелец: Univ Tianjin. Дата публикации: 2020-01-20.

Apparatus and method for diffusion sensing

Номер патента: US20110298444A1. Автор: David K. Homol,Karl J. Couglar,Ryan M. Pratt. Владелец: Skyworks Solutions Inc. Дата публикации: 2011-12-08.

Metal gate memory device and method

Номер патента: US20230328966A1. Автор: Hyucksoo Yang,Byung Yoon KIM,Jongpyo Kim. Владелец: Micron Technology Inc. Дата публикации: 2023-10-12.

Semiconductor memory device including capacitor with conductive hydrogen diffusion prevention wiring film

Номер патента: US7291879B2. Автор: Toshio Ito. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2007-11-06.

Electrical Test Structure for Devices Employing High-K Dielectrics or Metal Gates

Номер патента: US20130140564A1. Автор: Robert C. Lutz. Владелец: Globalfoundries Inc. Дата публикации: 2013-06-06.

Backsheet stack for a photovoltaic module, and a method for the production thereof

Номер патента: US20240162360A1. Автор: Marcel Kühne. Владелец: HANWHA Q CELLS GMBH. Дата публикации: 2024-05-16.

Layer stacks for a resistive memory element

Номер патента: US20240268241A1. Автор: Yongshun SUN,Juan Boon Tan,Kai Kang,Wanbing YI,Curtis Chun-I HSIEH,Eng-Huat TOH. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2024-08-08.

Layer stacks for a resistive memory element

Номер патента: EP4412425A1. Автор: Yongshun SUN,Juan Boon Tan,Kai Kang,Wanbing YI,Curtis Chun-I HSIEH,Eng-Huat TOH. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2024-08-07.

Layer stack for thin-film photovoltaic modules and preparation method thereof

Номер патента: US20240258448A1. Автор: Yilei SHEN,Torben KLINKERT. Владелец: Triumph Science & Technology Group Co Ltd. Дата публикации: 2024-08-01.

High performance multi-layer back contact stack for silicon solar cells

Номер патента: WO2012006130A3. Автор: Mohd Fadzli Anwar Hassan,Hien-Minh Huu Le. Владелец: Applied Materials, Inc.. Дата публикации: 2012-04-12.

Systems and methods for fabricating silicon die stacks for electron emitter array chips

Номер патента: EP4309203A1. Автор: Ukyo Jeong,Ghiyuun KANG. Владелец: Nano X Imaging Ltd. Дата публикации: 2024-01-24.

Systems and methods for fabricating silicon die stacks for electron emitter array chips

Номер патента: US20240047415A1. Автор: Ukyo Jeong,Ghiyuun KANG. Владелец: Nano-X Imaging Ltd. Дата публикации: 2024-02-08.

Systems and methods for fabricating silicon die stacks for electron emitter array chips

Номер патента: US12027489B2. Автор: Ukyo Jeong,Ghiyuun KANG. Владелец: Nano-X Imaging Ltd. Дата публикации: 2024-07-02.

Layer stack for thin-film photovoltaic modules and preparation method thereof

Номер патента: WO2023220911A1. Автор: Yilei SHEN,Torben KLINKERT. Владелец: Triumph Science & Technology Group Co., Ltd.. Дата публикации: 2023-11-23.

Self-aligned contact structure for replacement metal gate

Номер патента: US20140377927A1. Автор: Soon-Cheon Seo,Alexander Reznicek,Balasubramanian S. Haran. Владелец: International Business Machines Corp. Дата публикации: 2014-12-25.

Paste for diffusion layer formation and production method thereof and production method of gas diffusion layer

Номер патента: US09916915B2. Автор: Tohru Oda. Владелец: Toyota Motor Corp. Дата публикации: 2018-03-13.

Paste for diffusion layer formation and production method thereof and production method of gas diffusion layer

Номер патента: EP3050144A1. Автор: Tohru Oda. Владелец: Toyota Motor Corp. Дата публикации: 2016-08-03.

Battery pack comprising heat diffusion preventing member

Номер патента: US20220294045A1. Автор: Sang Yoon Jeong,Chang Keun Son. Владелец: LG Energy Solution Ltd. Дата публикации: 2022-09-15.

Battery pack comprising heat diffusion preventing member

Номер патента: EP4002562A1. Автор: Sang Yoon Jeong,Chang Keun Son. Владелец: LG Energy Solution Ltd. Дата публикации: 2022-05-25.

Battery module housing for accommodating at least one cell stack for use in a motor vehicle

Номер патента: US20230147509A1. Автор: Philipp Kellner,Christopher Volkmer. Владелец: Dr Ing HCF Porsche AG. Дата публикации: 2023-05-11.

Huge stack for flat-tubular solid oxide fuel cell and manufacturing method thereof

Номер патента: US09379400B2. Автор: Jong Shik Chung. Владелец: Academy Industry Foundation of POSTECH. Дата публикации: 2016-06-28.

Stack for an energy storage device

Номер патента: US12040452B2. Автор: Michael Edward RENDALL. Владелец: Dyson Technology Ltd. Дата публикации: 2024-07-16.

Filter stack for a thomson parabola spectrometer

Номер патента: US20230077828A1. Автор: Marius Schollmeier,Vahe Shirvanyan. Владелец: Marvel Fusion GmbH. Дата публикации: 2023-03-16.

Stack for simulating cell voltage reversal behavior in fuel cell

Номер патента: US09819034B2. Автор: Se Joon Im,Ji Yeon Park,Kook Il Han,Bo Ki Hong. Владелец: Hyundai Motor Co. Дата публикации: 2017-11-14.

Stack for simulating cell voltage reversal behavior in fuel cell

Номер патента: US09496566B2. Автор: Se Joon Im,Ji Yeon Park,Kook Il Han,Bo Ki Hong. Владелец: Hyundai Motor Co. Дата публикации: 2016-11-15.

Stack for an energy storage device

Номер патента: GB2575786A. Автор: Edward Rendall Michael. Владелец: Dyson Technology Ltd. Дата публикации: 2020-01-29.

Stack for an energy storage device

Номер патента: GB2575785A. Автор: Edward Rendall Michael. Владелец: Dyson Technology Ltd. Дата публикации: 2020-01-29.

Huge stack for flat-tubular solid oxide fuel cell and manufacturing method thereof

Номер патента: US20130130137A1. Автор: Jong Shik Chung. Владелец: Academy Industry Foundation of POSTECH. Дата публикации: 2013-05-23.

Apparatus For Manufacturing Cell Stack for Secondary Battery

Номер патента: US20210265650A1. Автор: Tae Wan Kim. Владелец: Woowon Technology Co Ltd. Дата публикации: 2021-08-26.

Solid oxide fuel cell stack for an aircraft engine

Номер патента: EP4366002A1. Автор: Pedro Nehter,Helge Ingolf Geisler,Ahilan Vignesh. Владелец: AIRBUS OPERATIONS GMBH. Дата публикации: 2024-05-08.

Solid oxide fuel cell stack for an aircraft engine

Номер патента: US20240154144A1. Автор: Pedro Nehter,Helge GEISLER,Vignesh AHILAN. Владелец: AIRBUS OPERATIONS GMBH. Дата публикации: 2024-05-09.

Analog stack for debugging sofc system

Номер патента: WO2022101662A1. Автор: Changming Hu,Youpeng Chen,Zuofeng WANG,Tianqin KANG. Владелец: Ceres Intellectual Property Company Limited. Дата публикации: 2022-05-19.

Stack for an energy storage device

Номер патента: US11990587B2. Автор: Michael Edward RENDALL. Владелец: Dyson Technology Ltd. Дата публикации: 2024-05-21.

Metal gate stacks for cmos scaling

Номер патента: US20240040790A1. Автор: Yongjun Jeff Hu,Pengyuan Zheng. Владелец: Micron Technology Inc. Дата публикации: 2024-02-01.

Electrochemically rechargeable metal-air cell with a replaceable metal anode

Номер патента: US09711830B2. Автор: Iakov Kogan,Anna Khomenko. Владелец: Panisolar Inc. Дата публикации: 2017-07-18.

Device and method for diffusing volatile substances

Номер патента: US20170001789A1. Автор: Ruben Garcia Fabrega,Sergio LUQUE VERA. Владелец: Zobele Espana SA. Дата публикации: 2017-01-05.

Device for diffusing an occupancy rate of a real or virtual site

Номер патента: US20010023437A1. Автор: Patrick Raymond,Aurel Aebi,Armand Louis. Владелец: Individual. Дата публикации: 2001-09-20.

Method and equipment for diffusing pseudowire route

Номер патента: CA2815402C. Автор: Weiping Xu. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2017-05-16.

Logic high-k/metal gate 1t-1c rram mtp/otp devices

Номер патента: WO2016048681A1. Автор: Xia Li,Seung Hyuk KANG,Daniel Wayne PERRY JR.. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2016-03-31.

Protocol stack for a multi-waveform AD hoc network

Номер патента: US09980317B2. Автор: Beatrice Martin. Владелец: Thales SA. Дата публикации: 2018-05-22.

Layer stack for a touch panel and method for forming a layer stack

Номер патента: US09652055B2. Автор: NEIL Morrison,Hans-Georg Lotz,Thomas Deppisch. Владелец: Applied Materials Inc. Дата публикации: 2017-05-16.

Hybrid rohc-rtp stack for small packet applications

Номер патента: WO2023195986A1. Автор: Na Chen,Su-Lin Low,Hausting Hong,Chun-I Lee,Sheethal KOVOOR,Sangwon Ki. Владелец: Zeku, Inc.. Дата публикации: 2023-10-12.

Rotor stack for a squirrel-cage, sliding rotor type motor

Номер патента: US3936685A. Автор: Ehard Glockner,Bodo Ulrich. Владелец: SIEMENS AG. Дата публикации: 1976-02-03.

Light fixture with internally-loaded multilayer stack for pressure transfer

Номер патента: US10995937B1. Автор: Mark S. Olsson,Jon E. Simmons,Aaron J. Steiner,John R. Sanderson, IV. Владелец: Seescan Inc. Дата публикации: 2021-05-04.

Symmetrically foldable display stack for electronic device

Номер патента: US11793016B2. Автор: Jari Romo,Saku HELLE. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-10-17.

Device for diffusing volatile substances

Номер патента: CA3190018A1. Автор: Cedric Morhain,Stefano Deflorian. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2022-02-24.

Device for diffusing volatile substances

Номер патента: WO2024149900A1. Автор: Roberto Camarero Diez,Alberto Jose Sancho Marzo,José María GARCÍA JIMÉNEZ. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2024-07-18.

Apparatus for diffusion dialysis

Номер патента: US5217612A. Автор: Edwin P. Ondrick. Владелец: Poly-Plating Inc. Дата публикации: 1993-06-08.

Metal gate MOSFET terahertz detector based on periodically rasterized gate

Номер патента: LU101402B1. Автор: Shaohua Zhou,Jianguo Ma,Jiangtao Xu. Владелец: Univ Tianjin. Дата публикации: 2020-01-20.

Device and method for diffusing volatile substances

Номер патента: WO2024194475A1. Автор: Sergio LUQUE VERA,Jesús Orlando RAMÍREZ ALVARADO,Marc Malgosa Broto. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2024-09-26.

Re ALLOY COATING FOR DIFFUSION BARRIER

Номер патента: EP1449937A1. Автор: Toshio Narita,Takayuki Yoshioka,Shigenari Hayashi,Hiroshi Yakuwa. Владелец: Ebara Corp. Дата публикации: 2004-08-25.

Color screens for diffusion transfer processes containing color formers

Номер патента: US3899330A. Автор: Burton Harvey Waxman,Robert Thomas Shannahan,Felix Viro. Владелец: GAF Corp. Дата публикации: 1975-08-12.

Phantom for diffusion tensor imaging

Номер патента: EP1956978A1. Автор: Young-Jun Kim,Seunghwan Kim,Yongmin Chang,Done-Sik Yoo. Владелец: Electronics and Telecommunications Research Institute ETRI. Дата публикации: 2008-08-20.

Techniques for diffusion imaging

Номер патента: US20210349168A1. Автор: Thorsten Feiweier. Владелец: Siemens Healthcare GmbH. Дата публикации: 2021-11-11.

Phantom for diffusion tensor imaging

Номер патента: WO2007066998A1. Автор: Young-Jun Kim,Seunghwan Kim,Yongmin Chang,Done-Sik Yoo. Владелец: Electronics and Telecommunications Research Institute. Дата публикации: 2007-06-14.

Device for diffusing volatile substances

Номер патента: US20230355822A1. Автор: Cedric Morhain,Stefano Deflorian. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2023-11-09.

Methods and process flows for diffusion bonding and forming metallic sheets

Номер патента: US20170216958A1. Автор: Ravi Verma. Владелец: Boeing Co. Дата публикации: 2017-08-03.

Stopper and wick assembly for devices for diffusing volatile substances

Номер патента: WO2024149769A1. Автор: Cedric Morhain,Stefano Deflorian. Владелец: Zobele Holding, S.P.A.. Дата публикации: 2024-07-18.

Stopper and wick assembly for devices for diffusing volatile substances

Номер патента: EP4400123A1. Автор: Cedric Morhain,Stefano Deflorian. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2024-07-17.

Methods, systems, devices, and storage media for diffusion tensor imaging

Номер патента: US20240337716A1. Автор: Meiling Ji,Renkuan Zhai. Владелец: Wuhan United Imaging Life Science Instrument Co Ltd. Дата публикации: 2024-10-10.

Apparatus and method for diffusing a chemical substance

Номер патента: US09795702B2. Автор: Valery Huynh. Владелец: DC & BV France Holding SAS. Дата публикации: 2017-10-24.

Supporting member for diffusion plate and backlight module

Номер патента: US20170010506A1. Автор: Xiaoxu DONG,Yuangui HUANG. Владелец: Shenzhen TCL New Technology Co Ltd. Дата публикации: 2017-01-12.

Apparatus for diffusion molding

Номер патента: US3743455A. Автор: E Green. Владелец: General Dynamics Corp. Дата публикации: 1973-07-03.

Method and device for diffusing a protective flux with regard to a surrounding environment

Номер патента: US20040198215A1. Автор: Pierre Bridenne,Pascale Coffinier. Владелец: Individual. Дата публикации: 2004-10-07.

Process for diffusing a substrate into a base material

Номер патента: US20150140226A1. Автор: Philos Jongho Ko,Bongsub Samuel Ko. Владелец: Individual. Дата публикации: 2015-05-21.

Improved process for diffusing a substrate into a base material

Номер патента: WO2014081466A3. Автор: Philos Jongho Ko,Bongsub Samuel Ko. Владелец: Bongsub Samuel Ko. Дата публикации: 2014-07-17.

Improved process for diffusing a substrate into a base material

Номер патента: EP2844465A2. Автор: Philos Jongho Ko,Bongsub Samuel Ko. Владелец: Individual. Дата публикации: 2015-03-11.

Improved process for diffusing a substrate into a base material

Номер патента: WO2014081466A2. Автор: Philos Jongho Ko,Bongsub Samuel Ko. Владелец: Bongsub Samuel Ko. Дата публикации: 2014-05-30.

Systems and methods for diffusing essential oils

Номер патента: US20190125915A1. Автор: Cortney Jo Roszell. Владелец: Individual. Дата публикации: 2019-05-02.

Container for diffusing volatile substances

Номер патента: CA3202238A1. Автор: Cedric Morhain,Stefano Deflorian. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2022-06-23.

Device for diffusing a compound

Номер патента: US09795703B2. Автор: Valery Huynh. Владелец: DC & BV France Holding SAS. Дата публикации: 2017-10-24.

Systems for diffusing volatile compounds utilizing moveable pods

Номер патента: US09585982B1. Автор: Kevin Hafer,Keith Cardinal. Владелец: Dial Corp. Дата публикации: 2017-03-07.

Device for diffusing a fluid into atmosphere with secured removable reservoir

Номер патента: US20040050951A1. Автор: Jean-Jacques Almero. Владелец: EURO DIGITAL SYSTEMES. Дата публикации: 2004-03-18.

Method for diffusion bonding of alloys having low solubility oxides

Номер патента: US4732312A. Автор: Edmund Y. Ting,James R. Kennedy. Владелец: Grumman Aerospace Corp. Дата публикации: 1988-03-22.

Cobalt-base composition and method for diffusion braze repair of superalloy articles

Номер патента: CA2286257C. Автор: Richard P. Chesnes. Владелец: Rolls Royce Corp. Дата публикации: 2010-02-16.

Radio frequency identification device implemented with a metal-gate semiconductor fabrication process

Номер патента: US20050263603A1. Автор: Ying Wu,Wen Lee,Che Wu. Владелец: G-Time Electronic Co Ltd. Дата публикации: 2005-12-01.

System and method for diffusing gas bubbles into a body of water

Номер патента: US6017020A. Автор: Michael L. Baughman,administrator Brenton L. Horner. Владелец: Individual. Дата публикации: 2000-01-25.

Cooling apparatus for diffusers

Номер патента: CA1146746A. Автор: Robert L. Corbett, Jr.. Владелец: Individual. Дата публикации: 1983-05-24.

Device for diffusing volatile substances

Номер патента: WO2021130387A1. Автор: Dominic DOYLE,Sergio LUQUE VERA,Fernando ALFONSO GALLEGO. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2021-07-01.

A device for diffusing gas into a liquid

Номер патента: GB2479528A. Автор: David Charles Colin Lewis,Christopher Leonard Smith,John Richard Lister. Владелец: Advanced Fuel Technologies UK Ltd. Дата публикации: 2011-10-19.

Chromium(vi)-free slip for diffusion coating

Номер патента: US20230416899A1. Автор: Alexander Rühl,Max MORDANT. Владелец: M M Morant GmbH. Дата публикации: 2023-12-28.

b-Value Optimization for Diffusion Weighted Magnetic Resonance Imaging

Номер патента: US20090096448A1. Автор: Li Pan,Glenn A. Meredith,Christine H. Lorenz. Владелец: Siemens Corporate Research Inc. Дата публикации: 2009-04-16.

High-k metal gate device structure for human blood gas sensing

Номер патента: US20140300340A1. Автор: Chen Shi,Yanfeng Wang,Sufi Zafar,Steven E. Steen. Владелец: International Business Machines Corp. Дата публикации: 2014-10-09.

High-k metal gate device structure for human blood gas sensing

Номер патента: US20140299922A1. Автор: Chen Shi,Yanfeng Wang,Sufi Zafar,Steven E. Steen. Владелец: International Business Machines Corp. Дата публикации: 2014-10-09.

Device for diffusing volatile substances

Номер патента: CA3153753A1. Автор: Moisés Caballero Tapia,Fernando ALFONSO GALLEGO,Marina Trias Lafuente. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2021-04-15.

Systems for diffusing volatile compounds utilizing moveable pods

Номер патента: WO2017116890A1. Автор: Kevin Hafer,Keith Cardinal. Владелец: The Dial Corporation. Дата публикации: 2017-07-06.

Method and apparatus for diffusion bonding, brazing and joining

Номер патента: US20070040008A1. Автор: John Somerville,Jonathan Ferrin,Gregory Kayser,Daniel Wisner. Владелец: Boeing Co. Дата публикации: 2007-02-22.

Apparatus for diffusion-gap thermal desalination

Номер патента: US09770673B2. Автор: Andrew Lowenstein. Владелец: AIL Research Inc. Дата публикации: 2017-09-26.

Aerator assembly for diffusing a gas in a liquid

Номер патента: US09579611B2. Автор: Anders BERFNER. Владелец: Xylem IP Management SARL. Дата публикации: 2017-02-28.

Method for diffusing metals into substrates

Номер патента: US4206251A. Автор: Bor-Uei Chen. Владелец: Hughes Aircraft Co. Дата публикации: 1980-06-03.

Device for diffusing volatile liquids

Номер патента: US4621768A. Автор: Jean-Francois Lhoste,Thierry Delage. Владелец: Reckitt and Colman SA. Дата публикации: 1986-11-11.

Composite article having a surface prepared for diffusion bonding

Номер патента: US5373983A. Автор: Steven C. Stenard,Mohsen Sohi,Donald R. Schuyler,Mani Janakiram. Владелец: AlliedSignal Inc. Дата публикации: 1994-12-20.

High strength steel for diffusion chromizing

Номер патента: CA1082951A. Автор: Harri Nevalainen. Владелец: Ovako Oy. Дата публикации: 1980-08-05.

Device for diffusing volatile liquids

Номер патента: CA1240920A. Автор: Jean-Francois Lhoste,Thierry Delage. Владелец: Rb Holding France. Дата публикации: 1988-08-23.

Device for diffusing volatile substances

Номер патента: US20220072179A1. Автор: Moisés Caballero Tapia,Alba GRAUS FERRER,Roberto Camarero Diez. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2022-03-10.

External medicine for diffuse plexiform neurofibroma

Номер патента: US20180110759A1. Автор: Ichiro Katayama,Mari KANEDA. Владелец: Osaka University NUC. Дата публикации: 2018-04-26.

Container for diffusing volatile substances

Номер патента: US20240009632A1. Автор: Cedric Morhain,Stefano Deflorian. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2024-01-11.

Substrate for diffusing volatile substances

Номер патента: WO2022144290A1. Автор: Sergio LUQUE VERA,Maria Garcia Subirats,Alberto Jose Sancho Marzo,David Alonso Canadas. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2022-07-07.

Substrate for diffusing volatile substances

Номер патента: WO2022144294A1. Автор: Sergio LUQUE VERA,Maria Garcia Subirats,Alberto Jose Sancho Marzo,David Alonso Canadas. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2022-07-07.

Systems for diffusing volatile compounds utilizing moveable pods

Номер патента: EP3397292A1. Автор: Kevin Hafer,Keith Cardinal. Владелец: Henkel IP and Holding GmbH. Дата публикации: 2018-11-07.

Bonding element for diffusion bonding, comprising a heating element and a bonding structure with an outer metal surface

Номер патента: WO2024068530A1. Автор: Simon Hanks. Владелец: Tokamak Energy Ltd. Дата публикации: 2024-04-04.

Device for diffusion of volatile substances

Номер патента: US20200397938A1. Автор: Cedric Morhain,Stefano Deflorian,Livio Sordo. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2020-12-24.

Method for diffusion joining and device therefor with pressure variation

Номер патента: US20220097167A1. Автор: Nils HANEKLAUS. Владелец: Individual. Дата публикации: 2022-03-31.

Device for diffusion of volatile substances

Номер патента: WO2019122163A1. Автор: Cedric Morhain,Stefano Deflorian,Livio Sordo. Владелец: Zobele Holding, S.P.A.. Дата публикации: 2019-06-27.

A device for diffusing a compound

Номер патента: WO2015106842A1. Автор: Valery Huynh. Владелец: DC & BV France Holding SAS. Дата публикации: 2015-07-23.

Device for diffusing volatile substances

Номер патента: WO2024100263A1. Автор: LI Zhiheng,Sergio LUQUE VERA,José María GARCÍA JIMÉNEZ. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2024-05-16.

Device for diffusing volatile substances

Номер патента: CA3124487A1. Автор: Moisés Caballero Tapia,Alba GRAUS FERRER,Roberto Camarero Diez. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2020-06-25.

Device for diffusing volatile substances

Номер патента: EP3897122A1. Автор: Moisés Caballero Tapia,Alba GRAUS FERRER,Roberto Camarero Diez. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2021-10-27.

Device for diffusing volatile substances

Номер патента: WO2020127793A1. Автор: Moisés Caballero Tapia,Alba GRAUS FERRER,Roberto Camarero Diez. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2020-06-25.

Device for diffusion of volatile substances

Номер патента: US11992584B2. Автор: Cedric Morhain,Stefano Deflorian,Livio Sordo. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2024-05-28.

Container for diffusing volatile substances

Номер патента: EP4263034A1. Автор: Cedric Morhain,Stefano Deflorian. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2023-10-25.

Substrate for diffusing volatile substances

Номер патента: EP4267207A1. Автор: Sergio LUQUE VERA,Maria Garcia Subirats,Alberto Jose Sancho Marzo,David Alonso Canadas. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2023-11-01.

Substrate for diffusing volatile substances

Номер патента: CA3202918A1. Автор: Sergio LUQUE VERA,Maria Garcia Subirats,Alberto Jose Sancho Marzo,David Alonso Canadas. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2022-07-07.

Substrate for diffusing volatile substances

Номер патента: US20240115751A1. Автор: Sergio LUQUE VERA,Maria Garcia Subirats,Alberto Jose Sancho Marzo,David Alonso Canadas. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2024-04-11.

Substrate for diffusing volatile substances

Номер патента: CA3202839A1. Автор: Sergio LUQUE VERA,Maria Garcia Subirats,Alberto Jose Sancho Marzo,David Alonso Canadas. Владелец: Individual. Дата публикации: 2022-07-07.

Substrate for diffusing volatile substances

Номер патента: US20240084142A1. Автор: Sergio LUQUE VERA,Maria Garcia Subirats,Alberto Jose Sancho Marzo,David Alonso Canadas. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2024-03-14.

Substrate for diffusing volatile substances

Номер патента: EP4267295A1. Автор: Sergio LUQUE VERA,Maria Garcia Subirats,Alberto Jose Sancho Marzo,David Alonso Canadas. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2023-11-01.

Device for diffusing volatile substances

Номер патента: CA3199718A1. Автор: Walter Sordo,Stefano Deflorian. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2022-05-27.

Standard light source having restriction portion for diffuse reflection and measurement method

Номер патента: US09377352B2. Автор: Kazuaki Ohkubo. Владелец: Otsuka Electronics Co Ltd. Дата публикации: 2016-06-28.

Apparatus for diffusing light and directing air circulation

Номер патента: US5171084A. Автор: Jack Burkarth. Владелец: Gulton Industries Inc. Дата публикации: 1992-12-15.

Device for diffusing matter between two fluids via semi-permeable diaphragms

Номер патента: CA1061725A. Автор: Gerhard Riede. Владелец: Gambro Ag. Дата публикации: 1979-09-04.

Aerator assembly for diffusing a gas in a liquid

Номер патента: EP2976149A1. Автор: Anders BERFNER. Владелец: Xylem IP Management SARL. Дата публикации: 2016-01-27.

Aerator assembly for diffusing a gas in a liquid

Номер патента: CA2907542C. Автор: Anders BERFNER. Владелец: Xylem IP Management SARL. Дата публикации: 2021-05-04.

Color photographic light-sensitive materials for diffusion transfer process

Номер патента: US3793031A. Автор: Y Yoshida,Y Oishi,K Shiba,M Hinata. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 1974-02-19.

Device for diffusing volatile substances

Номер патента: WO2021069577A1. Автор: Joaquim LLORENTE ALONSO,Roberto Camarero Diez,Marina Trias Lafuente. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2021-04-15.

Device for diffusing volatile substances

Номер патента: US20230355824A1. Автор: Walter Sordo,Stefano Deflorian. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2023-11-09.

Diffusor and diffusor unit for diffusing a gas into a liquid

Номер патента: US20140048961A1. Автор: Stefan Nordemo,Per PORATH,Johan Tegle. Владелец: XYLEM IP HOLDINGS LLC. Дата публикации: 2014-02-20.

Device for diffusing volatile substances

Номер патента: US20230011344A1. Автор: Alba GRAUS FERRER,Fernando ALFONSO GALLEGO,Marina Trias Lafuente. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2023-01-12.

Device for diffusing volatile substances

Номер патента: EP4041324A1. Автор: Ruben Garcia Fabrega,Alba GRAUS FERRER,Marina Trias Lafuente. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2022-08-17.

Device for diffusing volatile substances

Номер патента: US20220395601A1. Автор: Ruben Garcia Fabrega,Alba GRAUS FERRER,Marina Trias Lafuente. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2022-12-15.

Device for diffusing volatile substances

Номер патента: WO2021069579A1. Автор: Ruben Garcia Fabrega,Alba GRAUS FERRER,Marina Trias Lafuente. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2021-04-15.

Device for diffusing volatile substances

Номер патента: US20240082452A1. Автор: Joaquim LLORENTE ALONSO,Roberto Camarero Diez,Marina Trias Lafuente. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2024-03-14.

Device for diffusing volatile substances

Номер патента: US20240082451A1. Автор: Moisés Caballero Tapia,Fernando ALFONSO GALLEGO,Marina Trias Lafuente. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2024-03-14.

Device for diffusing volatile substances

Номер патента: EP4041323A1. Автор: Joaquim LLORENTE ALONSO,Roberto Camarero Diez,Marina Trias Lafuente. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2022-08-17.

Method and Apparatus for Diffusing Gas into a Liquid

Номер патента: US20240082796A1. Автор: Luke Stephen Timmons,Matthew Richard Rece. Владелец: Hhydrenesis Delta Systems LLC. Дата публикации: 2024-03-14.

Device for diffusing volatile substances

Номер патента: EP4247443A1. Автор: Walter Sordo,Stefano Deflorian. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2023-09-27.

Method and system for diffusing data derived from a database

Номер патента: AU7670900A. Автор: Patrice Noailles,Bernard Prost. Владелец: MemoPage com. Дата публикации: 2001-05-10.

Device for diffusing volatile substances

Номер патента: CA3162105A1. Автор: Alba GRAUS FERRER,Fernando ALFONSO GALLEGO,Marina Trias Lafuente. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2021-06-24.

Device for diffusing volatile substances

Номер патента: EP4076545A1. Автор: Alba GRAUS FERRER,Fernando ALFONSO GALLEGO,Marina Trias Lafuente. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2022-10-26.

Device for diffusing volatile substances

Номер патента: EP4041325A1. Автор: Moisés Caballero Tapia,Fernando ALFONSO GALLEGO,Marina Trias Lafuente. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2022-08-17.

Systems for diffusing volatile compounds utilizing moveable pods

Номер патента: EP3397293A1. Автор: Kevin Hafer,Keith Cardinal. Владелец: Henkel IP and Holding GmbH. Дата публикации: 2018-11-07.

Method of preparing an alkali metal diffusion preventive layer

Номер патента: CA2014758A1. Автор: Shuhei Tanaka,Takashi Tagami,Keiji Oyoshi. Владелец: Nippon Sheet Glass Co Ltd. Дата публикации: 1990-10-19.

Valve gated stack injection molding apparatus

Номер патента: CA2137178C. Автор: Jobst Ulrich Gellert. Владелец: 4437667 Canada Inc.. Дата публикации: 2004-10-26.

Emission control flare stack for reducing volatile organic compounds from gases including well gases

Номер патента: US09568192B1. Автор: Lary D. Archer,Kenneth Archer. Владелец: Archer Company Inc. Дата публикации: 2017-02-14.

Mold stack for forming 3d glass-based articles

Номер патента: EP3440023A1. Автор: Ljerka Ukrainczyk,Rohit Rai,Andrew Peter Kittleson,Jacob Immerman. Владелец: Corning Inc. Дата публикации: 2019-02-13.

Heterogeneous memory die stacking for energy efficient computing

Номер патента: US09841920B2. Автор: Pete D. Vogt. Владелец: Intel Corp. Дата публикации: 2017-12-12.

Mold stack for injection molding machine

Номер патента: CA2989902C. Автор: Arnold Heinz MAI. Владелец: HUSKY INJECTION MOLDING SYSTEMS LTD. Дата публикации: 2021-08-17.

Ribbed fan airflow stack for water cooling tower

Номер патента: US3780999A. Автор: H Fordyce. Владелец: Marley Co LLC. Дата публикации: 1973-12-25.

Exhaust stack for outdoor heaters

Номер патента: US3636853A. Автор: Chester A Sable. Владелец: Anthony Industries Inc. Дата публикации: 1972-01-25.

Method and software stack for identifying a feature using active vision

Номер патента: US20230360386A1. Автор: Pasihapaori CHIDZIVA,Aparajit NARAYAN. Владелец: Academy Of Robotics. Дата публикации: 2023-11-09.

Layered imaging stack for minimizing interference fringes in an imaging device

Номер патента: US5311033A. Автор: Bimsara Disanayaka. Владелец: Minnesota Mining and Manufacturing Co. Дата публикации: 1994-05-10.

Heterogeneous memory die stacking for energy efficient computing

Номер патента: WO2013101038A1. Автор: Pete D. Vogt. Владелец: Intel Corporation. Дата публикации: 2013-07-04.

Mold stack for forming 3d glass-based articles

Номер патента: WO2017176878A1. Автор: Ljerka Ukrainczyk,Rohit Rai,Andrew Peter Kittleson,Jacob Immerman. Владелец: CORNING INCORPORATED. Дата публикации: 2017-10-12.

METHOD FOR MANUFACTURING METAL GATE STACK STRUCTURE IN GATE-FIRST PROCESS

Номер патента: US20120003827A1. Автор: Xu Qiuxia,Li Yongliang. Владелец: . Дата публикации: 2012-01-05.

Replacement Gate Approach for High-K Metal Gate Stacks Based on a Non-Conformal Interlayer Dielectric

Номер патента: US20120001263A1. Автор: Richter Ralf,Frohberg Kai. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

Method and apparatus for diffusion model

Номер патента: WO2024212160A1. Автор: Cheng Lu,Jun Zhu,Ze Cheng,Jianfei Chen,Kaiwen ZHENG. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2024-10-17.

Device for diffusing substances

Номер патента: CA120327S. Автор: . Владелец: McNeil PPC Inc. Дата публикации: 2008-07-07.

Improvements in Metal Gates for use on Railway Cars, Boats, Elevators, Railway Stations and other Places.

Номер патента: GB190108884A. Автор: Achille Francois Bataille. Владелец: Individual. Дата публикации: 1901-06-15.

Device for diffusing substances

Номер патента: CA123040S. Автор: . Владелец: McNeil PPC Inc. Дата публикации: 2008-07-07.

Hot metal gate

Номер патента: CA1203979A. Автор: Micheal D. Labate. Владелец: Individual. Дата публикации: 1986-05-06.