• Главная
  • Method of forming gate structures or semiconductor devices

Method of forming gate structures or semiconductor devices

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

METHOD OF FORMING A METAL GATE STRUCTURE

Номер патента: US20160013288A1. Автор: CHEN Po-Ting,CHANG Chih-Hsien,Wu Kuan-Ting,Cheng Chi-Piao. Владелец: . Дата публикации: 2016-01-14.

Method of manufacturing semiconductor device

Номер патента: US20220093402A1. Автор: Chun-Heng Wu,Jen-I Lai,Rou-Wei Wang. Владелец: Nanya Technology Corp. Дата публикации: 2022-03-24.

Method of removing step height on gate structure

Номер патента: US20230402288A1. Автор: Chung-Yi Chiu,Chun-Chi Yu,Chang-Mao Wang,Yeh-Sheng Lin. Владелец: United Microelectronics Corp. Дата публикации: 2023-12-14.

Gate structure for field effect transistor

Номер патента: WO2009133515A1. Автор: Markus Mueller,Jasmine Petry,Guillaume Boccardi. Владелец: NXP B.V.. Дата публикации: 2009-11-05.

Interfacial layer regrowth control in high-k gate structure for field effect transistor

Номер патента: EP2294609A1. Автор: Markus Mueller,Jasmine Petry,Guillaume Boccardi. Владелец: NXP BV. Дата публикации: 2011-03-16.

Method of forming ladder-type gate structure for four-terminal soi semiconductor device

Номер патента: US20050106796A1. Автор: Paul Hyde. Владелец: Individual. Дата публикации: 2005-05-19.

Method of preventing charge accumulation in manufacture of semiconductor device

Номер патента: US09881785B2. Автор: Chang-Won Lee,Un-Jeong Kim,Young-geun Roh. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-01-30.

Forming gates with varying length using sidewall image transfer

Номер патента: US09793270B1. Автор: Kangguo Cheng,Geng Wang,Juntao Li,Qintao Zhang. Владелец: International Business Machines Corp. Дата публикации: 2017-10-17.

Forming gates with varying length using sidewall image transfer

Номер патента: US20180138175A1. Автор: Kangguo Cheng,Geng Wang,Juntao Li,Qintao Zhang. Владелец: International Business Machines Corp. Дата публикации: 2018-05-17.

Methods of forming a masking pattern and a semiconductor device structure

Номер патента: US20160260606A1. Автор: Hans-Peter Moll,Peter Baars. Владелец: Globalfoundries Inc. Дата публикации: 2016-09-08.

Method of forming a salicide layer for a semiconductor device

Номер патента: US7763533B2. Автор: Hyun Su SHIN. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2010-07-27.

Method of Forming a Salicide Layer for a Semiconductor Device

Номер патента: US20090221121A1. Автор: Hyun Su SHIN. Владелец: Individual. Дата публикации: 2009-09-03.

Semiconductor devices and methods of manufacturing semiconductor devices

Номер патента: US09478548B2. Автор: Chan-Sic Yoon,Hyeon-Ok Jung,Ki-Seok Lee,Do-Yeong Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-10-25.

Method of making a grooved gate structure of semiconductor device

Номер патента: US5776835A. Автор: Ching-Fa Yeh,Jwinn Lein Su. Владелец: National Science Council. Дата публикации: 1998-07-07.

METHODS OF FORMING A REPLACEMENT GATE STRUCTURE FOR A TRANSISTOR DEVICE

Номер патента: US20210320189A1. Автор: WANG Haiting,Gu Sipeng. Владелец: . Дата публикации: 2021-10-14.

Semiconductor device and method of manufacturing the same

Номер патента: US09905569B1. Автор: Dong-won Kim,Jae-Hwang Sim,Bong-Tae Park,Ho-Jun SEONG,Jung-Hoon Jun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-02-27.

Semiconductor device and method of forming the same

Номер патента: US12040412B2. Автор: Jian-Ting CHEN,Yu-Lung Wang,Yao-Ting Tsai,Yuan-Huang Wei. Владелец: Winbond Electronics Corp. Дата публикации: 2024-07-16.

Methods of forming a protection layer on a semiconductor device and the resulting device

Номер патента: US09634115B2. Автор: Xiuyu Cai,Ruilong Xie,Chanro Park. Владелец: Globalfoundries Inc. Дата публикации: 2017-04-25.

Method of forming trenches with different depths

Номер патента: US09779984B1. Автор: Mei-Yun Wang,Chao-Hsun Wang,Hsien-Cheng WANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-03.

Integrated circuits and methods of forming the same with effective dummy gate cap removal

Номер патента: US09917016B2. Автор: Klaus Hempel,Dina Triyoso. Владелец: Globalfoundries Inc. Дата публикации: 2018-03-13.

Gate Formation Of Semiconductor Devices

Номер патента: US20210183713A1. Автор: Chang-Yun Chang,Chih-Hao Yu,Hsiu-Hao Tsao,Chang-Jhih Syu,Yu-Jiun PENG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-06-17.

Methods of forming self-aligned contacts on FinFET devices

Номер патента: US09627274B1. Автор: Huang Liu,Xintuo Dai,Jinping Liu,Haifeng Sheng. Владелец: Globalfoundries Inc. Дата публикации: 2017-04-18.

Semiconductor device and fabricating method thereof

Номер патента: US09704970B2. Автор: Kuang-Hsin Chen,Tsung-Yu CHIANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-11.

Method of forming semiconductor device

Номер патента: US20210134653A1. Автор: Ji Feng,Yunfei Li,Jingling Wang,Guohai ZHANG,Ching Hwa Tey. Владелец: United Microelectronics Corp. Дата публикации: 2021-05-06.

Method of forming a gate insulator in group III-V nitride semiconductor devices

Номер патента: US7977254B2. Автор: Jing-Yi Lin,Han-Ming Wu,Lung-Han Peng. Владелец: Tekcore Co Ltd. Дата публикации: 2011-07-12.

method of of forming interconnection lines in a semiconductor memory device

Номер патента: KR100558493B1. Автор: 나영섭. Владелец: 삼성전자주식회사. Дата публикации: 2006-03-07.

Method of manufacturing supporting structures for stack capacitor in semiconductor device

Номер патента: US20100233881A1. Автор: Chung-Chiang Min,Chang-Yao Hsieh. Владелец: Nanya Technology Corp. Дата публикации: 2010-09-16.

Gate Structure with Desired Profile for Semiconductor Devices

Номер патента: US20200373401A1. Автор: Chen Chao-Cheng,Lin Jr-Jung,YANG Chi-Wei,Wang Chih Ping. Владелец: . Дата публикации: 2020-11-26.

Methods of the etching to enhance the conductivity of copper line in semiconductor devices

Номер патента: KR100850087B1. Автор: 주상민. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2008-08-04.

Methods of the etching to enhance the conductivity of copper line in semiconductor devices

Номер патента: KR20080060901A. Автор: 주상민. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2008-07-02.

Method for fabricating semiconductor device with gate spacer

Номер патента: US7265042B2. Автор: Ki-Won Nam. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-09-04.

Methods of forming replacement gate structures on finfet devices and the resulting devices

Номер патента: US20160133719A1. Автор: Xiuyu Cai,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2016-05-12.

Transistor with dielectric spacers and field plate and method of fabrication therefor

Номер патента: US20230361183A1. Автор: Darrell Glenn Hill. Владелец: NXP USA Inc. Дата публикации: 2023-11-09.

Transistor with dielectric spacers and field plate and method of fabrication therefor

Номер патента: EP4273937A1. Автор: Darrell Glenn Hill. Владелец: NXP USA Inc. Дата публикации: 2023-11-08.

Manufacturing method of semiconductor device

Номер патента: US20200279917A1. Автор: Yu-Chih Su,Yao-Jhan Wang,Che-Hsien Lin,Chun-jen Huang,Cheng-Yeh Huang,Te-Chang Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2020-09-03.

Isolation structures for semiconductor devices

Номер патента: US20240312990A1. Автор: Yi-Jing Lee,Chia-Der Chang,Chao-Shuo Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-19.

Semiconductor device and manufacturing method thereof

Номер патента: US20240363724A1. Автор: Ding-Kang SHIH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Method for making a sub 100 nanometer semiconductor device using conventional lithography steps

Номер патента: US20030022517A1. Автор: Alan Myers,Ebrahim Andideh. Владелец: Intel Corp. Дата публикации: 2003-01-30.

Method of forming fine island patterns of semiconductor devices

Номер патента: US20190074182A1. Автор: Shing-Yih Shih,Chiang-Lin Shih. Владелец: Nanya Technology Corp. Дата публикации: 2019-03-07.

Method of forming a passivation layer of a semiconductor device

Номер патента: US20070161254A1. Автор: Tae Young Lee. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-12.

Method of manufacturing semiconductor devices

Номер патента: US09989856B2. Автор: Sang-Jin Kim,Jung-Woo Seo,Jong-Seo Hong,Jong-Hoon NAH,Choon-Ho SONG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-06-05.

Method of manufacturing semiconductor device

Номер патента: US09755026B2. Автор: Weonhong Kim,Moonkyun Song,Minjoo Lee,Soojung CHOI,Dong Su Yoo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-09-05.

Method of manufacturing a solid-state device and solid-state device, particularly semiconductor device

Номер патента: WO1991009425A1. Автор: Peter Teleki. Владелец: Teleki Péter. Дата публикации: 1991-06-27.

Semiconductor Device Having an Implanted Precipitate Region and a Method of Manufacture Therefor

Номер патента: US20080210976A1. Автор: Kaiping Liu. Владелец: Texas Instruments Inc. Дата публикации: 2008-09-04.

Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby

Номер патента: US6225671B1. Автор: Zhiping Yin. Владелец: Micron Technology Inc. Дата публикации: 2001-05-01.

Method of manufacturing a semiconductor device and a semiconductor device

Номер патента: US20240297254A1. Автор: Wei-Fan Lee,Wen-Hsien TU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-05.

Methods of forming gate electrodes on a vertical transistor device

Номер патента: US09966456B1. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung,Steven Bentley. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-08.

Isolation structures for semiconductor devices

Номер патента: US12057449B2. Автор: Yi-Jing Lee,Chia-Der Chang,Chao-Shuo Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-06.

Method of forming a gate structure

Номер патента: US12027425B2. Автор: Shih-Hao Lin,Jui-Lin Chen,Hsin-Wen Su,Kian-Long Lim,Bwo-Ning Chen,Chih-Hsuan CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-02.

Method of forming patterns of semiconductor device

Номер патента: US20240194521A1. Автор: JungHan LEE,Kwanyoung Chun,Jisoo Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-13.

Method of forming fine patterns in a semiconductor device and method of manufacturing an electronic device

Номер патента: US09805929B2. Автор: Yun Suk NAM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-10-31.

Method of forming gate pattern and semiconductor device

Номер патента: US20120276727A1. Автор: QIYANG He,YIYING Zhang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2012-11-01.

3D NAND memory device and method of forming the same

Номер патента: US12033944B2. Автор: Zhiliang Xia,Zhong Zhang,Wenxi Zhou,Zhongwang SUN. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-07-09.

3d nand memory device and method of forming the same

Номер патента: US20230282579A1. Автор: Zhiliang Xia,Zhong Zhang,Wenxi Zhou,Zhongwang SUN. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2023-09-07.

Methods of forming semiconductor devices with flowable material for better planarization method

Номер патента: US10615046B2. Автор: Kao-Tsair Tsai,Kun-Che Wu. Владелец: Winbond Electronics Corp. Дата публикации: 2020-04-07.

3D NAND memory device and method of forming the same

Номер патента: US12046555B2. Автор: Zhiliang Xia,Zhong Zhang,Wenxi Zhou,Zhongwang SUN. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-07-23.

Method of manufacturing semiconductor device

Номер патента: US20110294297A1. Автор: Mitsunari Sukekawa. Владелец: Elpida Memory Inc. Дата публикации: 2011-12-01.

Method of fabricating semiconductor device

Номер патента: US20240313066A1. Автор: Chan Hwang,Soo Kyung Kim,Jonghyun JUNG,Moosong Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-09-19.

Method of forming a via contact

Номер патента: US09805971B2. Автор: HAI Cong,Rui Li,Chin Chuan Neo. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2017-10-31.

Methods of forming semiconductor devices including contact holes

Номер патента: US09721808B2. Автор: DAE-YONG KANG,Eunsung KIM,Joonsoo PARK,Soonmok Ha,Byungjun Jeon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-08-01.

FETS and methods of forming FETS

Номер патента: US09704883B2. Автор: Chi-Wen Liu,Chih-Hao Wang,Jhon Jhy Liaw,Wai-Yi Lien,Kuo-Cheng Ching,Ching-Wei Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-11.

Gate Structure of Semiconductor Device and Method of Forming Same

Номер патента: US20240379812A1. Автор: Weng Chang,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-14.

Manufacturing method of split gate structure and split gate structure

Номер патента: US20200328281A1. Автор: Chia-Ming Kuo,Shih-Chi Lai,Hung-Chih Chung,Hsien-Yi Cheng. Владелец: Mosel Vitelic Inc. Дата публикации: 2020-10-15.

Manufacturing method of semiconductor device

Номер патента: US20160027651A1. Автор: Kentaro Saito,Yoshiyuki Kawashima,Hiraku Chakihara. Владелец: Renesas Electronics Corp. Дата публикации: 2016-01-28.

Semiconductor device and method of forming the same

Номер патента: US09748256B2. Автор: Wang Xiang,Zhen Chen,Yi-Shan Chiu,Shen-De Wang,Wei-Chang Liu,Wei Ta. Владелец: United Microelectronics Corp. Дата публикации: 2017-08-29.

Method of manufacturing semiconductor device

Номер патента: US09620522B1. Автор: Hyun Ho LEE. Владелец: SK hynix Inc. Дата публикации: 2017-04-11.

Method of manufacturing semiconductor device and semiconductor device

Номер патента: US20080272444A1. Автор: Hiroyuki Kitamura. Владелец: Elpida Memory Inc. Дата публикации: 2008-11-06.

Method of forming insulating film in semiconductor device

Номер патента: US20050014389A1. Автор: Sung Hwang. Владелец: Individual. Дата публикации: 2005-01-20.

Semiconductor device and method of manufacturing semiconductor device

Номер патента: US09564504B2. Автор: Masahiro Nishi. Владелец: Sumitomo Electric Device Innovations Inc. Дата публикации: 2017-02-07.

Semiconductor device and method of manufacturing semiconductor device

Номер патента: US09564503B2. Автор: Masahiro Nishi. Владелец: Sumitomo Electric Device Innovations Inc. Дата публикации: 2017-02-07.

Method of forming isolation film of semiconductor device

Номер патента: US20040127035A1. Автор: Sung Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-07-01.

Production method of semiconductor device

Номер патента: US20020127880A1. Автор: Yoshiyuki Tanaka,Masaki Saito,Yoshiyuki Enomoto. Владелец: Sony Corp. Дата публикации: 2002-09-12.

Semiconductor device and method of manufacturing the same

Номер патента: US09653564B2. Автор: Nariaki Tanaka,Tohru Oka. Владелец: Toyoda Gosei Co Ltd. Дата публикации: 2017-05-16.

Trench power semiconductor component and method of manufacturing the same

Номер патента: US20190103489A1. Автор: Hsiu-wen Hsu. Владелец: Super Group Semiconductor Co Ltd. Дата публикации: 2019-04-04.

Multi-layer gate structure

Номер патента: US5635765A. Автор: William L. Larson. Владелец: Cypress Semiconductor Corp. Дата публикации: 1997-06-03.

Method of forming dual metal gate structures or CMOS devices

Номер патента: TW444285B. Автор: Scott R Summerfelt,Glen D Wilk. Владелец: Texas Instruments Inc. Дата публикации: 2001-07-01.

Method of forming a metal line using damascene pattern in a semiconductor device

Номер патента: KR100328449B1. Автор: 김용수,정철모. Владелец: 박종섭. Дата публикации: 2002-03-16.

Gate-all-around structure and methods of forming the same

Номер патента: US12148836B2. Автор: Chih-Hao Wang,Chun-Hsiung Lin,Pei-Hsun Wang. Владелец: PARABELLUM STRATEGIC OPPORTUNITIES FUND LLC. Дата публикации: 2024-11-19.

Gate-all-around structure and methods of forming the same

Номер патента: US20240379857A1. Автор: Chih-Hao Wang,Chun-Hsiung Lin,Pei-Hsun Wang. Владелец: PARABELLUM STRATEGIC OPPORTUNITIES FUND LLC. Дата публикации: 2024-11-14.

Method of manufacturing a semiconductor device and semiconductor device

Номер патента: US09530884B2. Автор: Till Schloesser,Andreas Meiser. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-12-27.

Methods of forming source/drain regions on finfet devices

Номер патента: US20170294522A1. Автор: Srikanth Balaji Samavedam,Shesh Mani Pandey,Muhammad Rahman. Владелец: Globalfoundries Inc. Дата публикации: 2017-10-12.

Method of forming junction of semiconductor device

Номер патента: US20090111233A1. Автор: Dong Ho Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-04-30.

Semiconductor devices including a capping layer

Номер патента: US09953924B2. Автор: Nae-in Lee,Jongmin Baek,Sanghoon Ahn,Sangho Rha,Wookyung You. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-04-24.

Semiconductor devices including a capping layer

Номер патента: US09711453B2. Автор: Nae-in Lee,Jongmin Baek,Sanghoon Ahn,Sangho Rha,Wookyung You. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-07-18.

Active structures of a semiconductor device and methods of manufacturing the same

Номер патента: US09768053B2. Автор: Dae-won Kim,Jae-Kyu Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-09-19.

Semiconductor device with undercutted-gate and method of fabricating the same

Номер патента: US20190252549A1. Автор: Tseng-Fu Lu,Ching-Chia Huang,Wei-Ming Liao. Владелец: Nanya Technology Corp. Дата публикации: 2019-08-15.

Method of manufacturing semiconductor device

Номер патента: US12020935B2. Автор: Koichi Nishi,Kazuya Konishi,Shinya SONEDA. Владелец: Mitsubishi Electric Corp. Дата публикации: 2024-06-25.

Semiconductor device with undercutted-gate and method of fabricating the same

Номер патента: US20190252550A1. Автор: Tseng-Fu Lu,Ching-Chia Huang,Wei-Ming Liao. Владелец: Nanya Technology Corp. Дата публикации: 2019-08-15.

Semiconductor devices and methods of forming semiconductor devices

Номер патента: US12069849B2. Автор: Daeik Kim,Bong-Soo Kim,Yoosang Hwang,Taejin Park,Jemin PARK. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-20.

Semiconductor device and method

Номер патента: US11776881B2. Автор: Wen-Hsiung LU,Ming-Da Cheng,Hsu-Lun Liu,Chen-En Yen,Cheng-Lung Yang,Kuanchih Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-03.

METHODS OF FORMING A REVERSED PATTERN IN A SUBSTRATE, AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер патента: US20140299971A1. Автор: deVilliers Anton J.,Jain Kaveri. Владелец: . Дата публикации: 2014-10-09.

Semiconductor devices having dual spacers and methods of fabricating the same

Номер патента: US20050087802A1. Автор: Jeong Park. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-04-28.

Method of preventing deterioration of film quality of transparent conductive film a semiconductor device

Номер патента: US5900646A. Автор: Yutaka Takizawa,Ken-ichi Yanai. Владелец: Fujitsu Ltd. Дата публикации: 1999-05-04.

Method of forming semiconductor device

Номер патента: US20120064709A1. Автор: Kyung-yub Jeon,Je-woo Han,Jun-ho Yoon,Kyoung-sub Shin. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2012-03-15.

Semiconductor device and manufacturing method of forming the same

Номер патента: US20240234405A9. Автор: Seungchul Lee,Yijyun Ke. Владелец: PanJit International Inc. Дата публикации: 2024-07-11.

Semiconductor device and method of forming the same

Номер патента: US20240304681A1. Автор: Chia-Ming Liu,Chi-Ching Liu,Chang-Tsung Pai,Yao-Ting Tsai. Владелец: Winbond Electronics Corp. Дата публикации: 2024-09-12.

Wrap-around gate structures and methods of forming wrap-around gate structures

Номер патента: EP3583629A1. Автор: Ravi Pramod Kumar Vedula,Stephen Alan Fanelli,Farid AZZAZY. Владелец: Qualcomm Inc. Дата публикации: 2019-12-25.

Gate-All-Around Structure and Methods of Forming the Same

Номер патента: US20230261114A1. Автор: Chih-Hao Wang,Chun-Hsiung Lin,Pei-Hsun Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-08-17.

Gate structures in semiconductor devices

Номер патента: US20240313064A1. Автор: Huang-Lin Chao,Hsiang-Pi Chang,Shen-Yang LEE. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-19.

Isolation Structures Of Semiconductor Devices

Номер патента: US20240250122A1. Автор: I-Sheng Chen,Chao-Ching Cheng,Tzu-Chiang CHEN,Hung-Li Chiang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-25.

Fin field-effect transistor and method of forming the same

Номер патента: US20240304620A1. Автор: Yi-Chun Chen,Ryan Chia-Jen Chen,Jih-Jse Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-12.

Metal Gate Scheme for Device and Methods of Forming

Номер патента: US20180226485A1. Автор: Chi-Wen Liu,Chun Che Lin,Shiu-Ko Jangjian,Chih-Nan Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-08-09.

Semiconductor device with dummy pattern in high-voltage region and method of forming the same

Номер патента: US10068900B1. Автор: Chin Yang,Chao-Sheng Cheng. Владелец: United Microelectronics Corp. Дата публикации: 2018-09-04.

Metal gate scheme for device and methods of forming

Номер патента: US09941376B2. Автор: Chi-Wen Liu,Chun Che Lin,Shiu-Ko Jangjian,Chih-Nan Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-10.

Method of forming a III-V compound semiconductor channel post replacement gate

Номер патента: US09735273B1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2017-08-15.

Method of contact formation between metal and semiconductor

Номер патента: EP3513427A1. Автор: Hua Chung,Yi-Chiau Huang,Xuebin Li. Владелец: Applied Materials Inc. Дата публикации: 2019-07-24.

MFOS memory transistor and method of fabricating same

Номер патента: EP1246254A2. Автор: Tingkai Li,Sheng Teng Hsu,Fengyan Zhang. Владелец: Sharp Corp. Дата публикации: 2002-10-02.

Gate Structure and Patterning Method for Multiple Threshold Voltages

Номер патента: US20200135879A1. Автор: Chung-Liang Cheng,Ziwei Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-30.

Gate structure and patterning method for multiple threshold voltages

Номер патента: US11923430B2. Автор: Chung-Liang Cheng,Ziwei Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-05.

Semiconductor device and method for fabricating the same

Номер патента: US20200227531A1. Автор: Wang Xiang,Chia-Ching Hsu,Shen-De Wang,Chun-Sung Huang. Владелец: United Microelectronics Corp. Дата публикации: 2020-07-16.

Gate Structure and Patterning Method for Multiple Threshold Voltages

Номер патента: US20200403078A1. Автор: Chung-Liang Cheng,Ziwei Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-12-24.

Method of forming fet silicide gate structures incorporating inner spacers

Номер патента: TWI321849B. Автор: Kwong Hon Wong,Victor Ku,An Steegen,Hsing Jen C Wann. Владелец: Ibm. Дата публикации: 2010-03-11.

Methods of forming semiconductor devices

Номер патента: US09634118B2. Автор: Ju-youn Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-04-25.

Semiconductor device and method of fabricating the same

Номер патента: US09564368B2. Автор: Jae-Ho Park,Sanghoon Baek,Sang-Kyu Oh,Seolun Yang,Taejoong Song. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-02-07.

Semiconductor device and method of manufacturing semiconductor device

Номер патента: US09755037B2. Автор: Masayoshi Tarutani,Yasuhiro Yoshiura,Takao KACHI. Владелец: Mitsubishi Electric Corp. Дата публикации: 2017-09-05.

Method of forming a gate structure using a dual step polysilicon deposition procedure

Номер патента: US20050095766A1. Автор: Shih-l Yang. Владелец: Individual. Дата публикации: 2005-05-05.

Semiconductor device and fabricating method of a gate with an epitaxial layer

Номер патента: US09985132B2. Автор: Chang Chun Xu. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-05-29.

Gate structure and method of forming the same

Номер патента: US12015070B2. Автор: Yi-Chun Chen,Ying Ting Hsia,Yi-Wei Chiu,Li-Te Hsu,Tsung Fan Yin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-18.

Semiconductor device and manufacturing method thereof

Номер патента: US20190051746A1. Автор: Tetsuji Togami. Владелец: Renesas Electronics Corp. Дата публикации: 2019-02-14.

Semiconductor device and manufacturing method thereof

Номер патента: US10121888B2. Автор: Tetsuji Togami. Владелец: Renesas Electronics Corp. Дата публикации: 2018-11-06.

Method of Forming a Silicided Gate Utilizing a CMP Stack

Номер патента: US20080268631A1. Автор: Freidoon Mehrad,Frank Scott Johnson. Владелец: Texas Instruments Inc. Дата публикации: 2008-10-30.

Method for fabricating semiconductor device

Номер патента: US20190280106A1. Автор: Yu-Ying Lin,Zhen Wu,Chun-Wei Yu,Yu-Ren Wang,Tai-Yen Lin,Yi-Liang Ye,Sung-Yuan Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2019-09-12.

Extended-drain metal-oxide-semiconductor devices with a notched gate electrode

Номер патента: US11791392B2. Автор: Upinder Singh,Bong Woong Mun,Jeoung Mo KOO. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2023-10-17.

Gate structure and preparation method thereof, semiconductor device and preparation method thereof

Номер патента: CN116072527A. Автор: 沈宇桐. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-05-05.

Method of forming a reverse gate structure with a spin on glass process

Номер патента: US20020185739A1. Автор: Yi Ma,Joseph Taylor,Huili Shao,Allen Yen. Владелец: Individual. Дата публикации: 2002-12-12.

Trench power semiconductor device

Номер патента: US09991378B2. Автор: Wei-Chieh Lin,Guo-Liang Yang,Po-Hsien Li,Jia-Fu Lin. Владелец: Sinopower Semiconductor Inc. Дата публикации: 2018-06-05.

Semiconductor device and manufacturing method thereof

Номер патента: US20190140074A1. Автор: Fu-Yu Tsai,Yao-Hsien Chung,Hao-Hsuan Chang. Владелец: United Microelectronics Corp. Дата публикации: 2019-05-09.

Method of verifying line reliability and method of manufacturing semiconductor device

Номер патента: US20080160655A1. Автор: Ji Ho Hong. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-07-03.

Semiconductor device and method of forming thereof

Номер патента: US20240203882A1. Автор: Kang-ill Seo,Jongjin Lee,MyungHoon JUNG,Jaejik Baek. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-20.

Method for forming well of semiconductor device

Номер патента: US5759884A. Автор: Kang-Sik Youn. Владелец: LG Semicon Co Ltd. Дата публикации: 1998-06-02.

Semiconductor device and method for forming the same

Номер патента: US8643088B2. Автор: Jin Won Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2014-02-04.

Semiconductor device and method for forming the same

Номер патента: US20120001255A1. Автор: Jin Won Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-01-05.

Semiconductor device and forming method thereof

Номер патента: US20230275143A1. Автор: Cheng-Lung Hung,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-08-31.

Method of forming a gate contact structure for a semiconductor device

Номер патента: US09853110B2. Автор: Xunyuan Zhang,Ruilong Xie,Sean X. Lin. Владелец: Globalfoundries Inc. Дата публикации: 2017-12-26.

Method of forming gate

Номер патента: US20200266285A1. Автор: Chun-Chi Yu,Po-Tsang Chen,Wen-Liang Huang. Владелец: United Microelectronics Corp. Дата публикации: 2020-08-20.

Gate structure and method of forming same

Номер патента: US20210074590A1. Автор: Chun Hsiung Tsai,Shahaji B. More,Chandrashekhar Prakash SAVANT. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-03-11.

Method of manufacturing a semiconductor device and a semiconductor device

Номер патента: US20230039627A1. Автор: Ching-Hung Kao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-02-09.

Methods of forming a gate contact above an active region of a semiconductor device

Номер патента: US09780178B2. Автор: Andreas Knorr,Ruilong Xie,Andre Labonte. Владелец: Globalfoundries Inc. Дата публикации: 2017-10-03.

Method of manufacturing semiconductor device

Номер патента: US20050026314A1. Автор: Koji Yamaguchi. Владелец: Seiko Epson Corp. Дата публикации: 2005-02-03.

Semiconductor device and method of forming athin wafer without a carrier

Номер патента: SG183779A1. Автор: Pandi Chelvam Marimuthu,Shuangwu Huang,Nathapong Suthiwongsunthorn. Владелец: Stats Chippac Ltd. Дата публикации: 2012-09-27.

Semiconductor device and method of fabricating the same

Номер патента: EP4246592A1. Автор: Jaejin Lee,Youngjun Kim,Eun-Ok Lee,Taekyung Yoon,Hunyoung Bark,Dongju Chang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-09-20.

Semiconductor device structures

Номер патента: US20140353803A1. Автор: Adam L. Olson,William R. Brown,Ho Seop Eom,Xue Chen,Kaveri Jain,Anton J. deVilliers,Lijing Gou. Владелец: Micron Technology Inc. Дата публикации: 2014-12-04.

Method of making embedded memory device with silicon-on-insulator substrate

Номер патента: US09634020B1. Автор: Nhan Do,Mandana TADAYONI,Chien Sheng Su. Владелец: Silicon Storage Technology Inc. Дата публикации: 2017-04-25.

Methods of forming integrated circuits

Номер патента: US20120070954A1. Автор: Wei-Yuan Lu,Ka-Hing Fung,Han-Ting Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2012-03-22.

Semiconductor device having element separation region formed from a recess-free trench

Номер патента: US09831113B2. Автор: Yukio Hayakawa,Fumihiko Inoue. Владелец: Cypress Semiconductor Corp. Дата публикации: 2017-11-28.

Gate structure of a semiconductor device

Номер патента: US7727844B2. Автор: Dae Kyeun Kim. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2010-06-01.

Methods of forming memory cells, arrays of magnetic memory cells, and semiconductor devices

Номер патента: US09972770B2. Автор: Wei Chen,Witold Kula,Sunil Murthy. Владелец: Micron Technology Inc. Дата публикации: 2018-05-15.

Semiconductor device structure with etch stop layer

Номер патента: US20220223736A1. Автор: Chung-Ting Ko,Bo-Cyuan Lu,Chi-On CHUI,Jr-Hung Li. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-07-14.

BiMOS SEMICONDUCTOR DEVICE

Номер патента: US20220319927A1. Автор: Yasuhiro Maeda,Genki Nakamura,Yuki NEGORO,Yoshinari Tsukada,Shinya Maita. Владелец: Honda Motor Co Ltd. Дата публикации: 2022-10-06.

BiMOS semiconductor device

Номер патента: US11776953B2. Автор: Yasuhiro Maeda,Genki Nakamura,Yuki NEGORO,Yoshinari Tsukada,Shinya Maita. Владелец: Honda Motor Co Ltd. Дата публикации: 2023-10-03.

Method of forming a junction for high voltage device in a semiconductor device

Номер патента: KR100739945B1. Автор: 곽노열. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-07-16.

Method of forming gate spacer for nanowire fet device

Номер патента: US20190296128A1. Автор: Jeffrey Smith,Anton Devilliers. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-09-26.

Methods of forming gate structures for cmos based integrated circuit products and the resulting devices

Номер патента: US20140367790A1. Автор: Ruilong Xie,Kisik Choi. Владелец: Globalfoundries Inc. Дата публикации: 2014-12-18.

Dual-semiconductor complementary metal-oxide-semiconductor device

Номер патента: US09627266B2. Автор: SANGHOON Lee,Effendi Leobandung,Yanning Sun,Renee T. Mo. Владелец: International Business Machines Corp. Дата публикации: 2017-04-18.

Method of forming FinFET with low-dielectric-constant gate electrode spacers

Номер патента: US11749755B2. Автор: Ka-Hing Fung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-05.

Methods for fabricating semiconductor devices

Номер патента: US09812552B2. Автор: Heon-Jong Shin,Hwi-Chan JUN,Jae-Ran JANG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-11-07.

Methods of forming a semiconductor circuit element and semiconductor circuit element

Номер патента: US09608110B2. Автор: Peter Baars,Carsten Grass. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-28.

Semiconductor devices with memory cells

Номер патента: US20210217859A1. Автор: WEI CHANG,Eng Huat Toh,Shyue Seng Tan. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2021-07-15.

Semiconductor device and method

Номер патента: US20230299136A1. Автор: Tze-Liang Lee,Pei-Yu Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-21.

Semiconductor structure and method of forming the same

Номер патента: US20220005949A1. Автор: Zheng-Long Chen. Владелец: TSMC China Co Ltd. Дата публикации: 2022-01-06.

Method of manufacturing semiconductor device and semiconductor device

Номер патента: NL2018616B1. Автор: OHTANI Kinya. Владелец: Shindengen Electric Mfg. Дата публикации: 2018-02-01.

Method of forming gate dielectric layer for MOS transistor

Номер патента: US09761687B2. Автор: Po-Lun Cheng,Chun-Liang Chen,Meng-Che Yeh,Shih-Jung Tu,Han-Lin Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2017-09-12.

Semiconductor device and method of manufacturing semiconductor device

Номер патента: US20100112770A1. Автор: Yoshitaka Kubota. Владелец: NEC Electronics Corp. Дата публикации: 2010-05-06.

Method for forming semiconductor device

Номер патента: US09780199B2. Автор: Ching-Wen Hung,Chih-Sen Huang,Yi-Wei Chen,Shih-Fang Tzou. Владелец: United Microelectronics Corp. Дата публикации: 2017-10-03.

Dual metal capped via contact structures for semiconductor devices

Номер патента: US12100745B2. Автор: Chung-Liang Cheng,Ziwei Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-24.

Dual metal capped via contact structures for semiconductor devices

Номер патента: US20240363708A1. Автор: Chung-Liang Cheng,Ziwei Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Methods of forming gate dielectric material

Номер патента: US09893160B2. Автор: Clement Hsingjen Wann,Chia-Cheng Chen,Liang-Gi Yao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-13.

Method of manufacturing semiconductor device and semiconductor device

Номер патента: US20190097041A1. Автор: Kinya Ohtani. Владелец: Shindengen Electric Manufacturing Co Ltd. Дата публикации: 2019-03-28.

Semiconductor device and method of manufacturing the same

Номер патента: US20050189590A1. Автор: Tomohiro Okamura. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2005-09-01.

Method of Forming Metal Silicide Regions on a Semiconductor Device

Номер патента: US20130015527A1. Автор: Peter Baars,Hans-Juergen Thees. Владелец: Globalfoundries Inc. Дата публикации: 2013-01-17.

Metal gate scheme for device and methods of forming

Номер патента: US09871114B2. Автор: Hsueh Wen Tsau,Chia-Ching Lee,Da-Yuan Lee,Chung-Chiang WU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-16.

Methods of forming graphene contacts on source/drain regions of FinFET devices

Номер патента: US09972537B2. Автор: Ajey Poovannummoottil Jacob. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-15.

Semiconductor device structures and methods of manufacturing the same

Номер патента: US12068391B2. Автор: King Yuen Wong,Anbang ZHANG. Владелец: Innoscience Suzhou Technology Co Ltd. Дата публикации: 2024-08-20.

Semiconductor Devices and Methods of Forming the Same

Номер патента: US20230282725A1. Автор: Cheng-Lung Hung,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-07.

Semiconductor device structures and methods of manufacturing the same

Номер патента: US20240371969A1. Автор: King Yuen Wong,Anbang ZHANG. Владелец: Innoscience Suzhou Technology Co Ltd. Дата публикации: 2024-11-07.

Method of forming a contact

Номер патента: US09627258B1. Автор: Yu-Lien Huang,Yuan-Hung Chiu,Li-Te Lin,Han-Yu Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-18.

Semiconductor device and manufacturing method of the same

Номер патента: US8614469B2. Автор: Yoshihiko Kusakabe,Toshifumi Iwasaki. Владелец: Renesas Electronics Corp. Дата публикации: 2013-12-24.

Semiconductor devices and methods of forming the same

Номер патента: US12068386B2. Автор: Cheng-Lung Hung,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Method of manufacturing semiconductor device with reduced number of process steps for capacitor formation

Номер патента: US6762109B2. Автор: Naofumi Murata. Владелец: Renesas Technology Corp. Дата публикации: 2004-07-13.

Semiconductor component and method of manufacture

Номер патента: WO2004023533A2. Автор: Karsten Wieczorek,Scott Luning,Thorsten Kammler. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2004-03-18.

Method of manufacturing ferroelectric memory device

Номер патента: US20020098599A1. Автор: Takashi Nakamura,Hidemi Takasu. Владелец: Individual. Дата публикации: 2002-07-25.

Manufacturing method of semiconductor device

Номер патента: US09793382B2. Автор: Kun-Yuan Liao,Feng-Yi Chang,Chun-Hsien Lin,Chun-Lung Chen,Chia-Lin Lu. Владелец: United Microelectronics Corp. Дата публикации: 2017-10-17.

Process integration to reduce contact resistance in semiconductor device

Номер патента: WO2022236026A1. Автор: Pradeep Subrahmanyan,Sankuei Lin. Владелец: Applied Materials, Inc.. Дата публикации: 2022-11-10.

Semiconductor Devices, and Methods of Forming Semiconductor Devices

Номер патента: US20160211324A1. Автор: SHU QIN,Allen Mcteer,Yongjun Jeff Hu. Владелец: US Bank NA. Дата публикации: 2016-07-21.

Semiconductor device structure and methods of forming the same

Номер патента: US20240243015A1. Автор: Cheng-Wei Chang,Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-18.

Method of separating a structure in a semiconductor device

Номер патента: US20070238278A1. Автор: Ramachandran Muralidhar,Leo Mathew,Veeraraghavan Dhandapani. Владелец: Individual. Дата публикации: 2007-10-11.

Method of separating a structure in a semiconductor device

Номер патента: WO2007117774A3. Автор: Ramachandran Muralidhar,Leo Mathew,Veeraraghavan Dhandapani. Владелец: Veeraraghavan Dhandapani. Дата публикации: 2007-12-27.

Method of separating a structure in a semiconductor device

Номер патента: WO2007117774A2. Автор: Ramachandran Muralidhar,Leo Mathew,Veeraraghavan Dhandapani. Владелец: Freescale Semiconductor Inc.. Дата публикации: 2007-10-18.

Gate Structures For Semiconductor Devices

Номер патента: US20240347393A1. Автор: Chung-Liang Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-17.

Gate structures for semiconductor devices

Номер патента: US12131955B2. Автор: Chung-Liang Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-29.

Methods of forming integrated circuit devices

Номер патента: US09780107B2. Автор: Marcello Mariani,Giulio Albini,Paolo Tessariol,Umberto M. Meotto,Paola Bacciaglia. Владелец: Micron Technology Inc. Дата публикации: 2017-10-03.

Semiconductor devices having airgaps and methods of manufacturing the same

Номер патента: US09773795B2. Автор: Jinhyun Shin,Jae-Hwang Sim,Hojun SEONG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-09-26.

Semiconductor element, semiconductor device and methods for manufacturing thereof

Номер патента: US20120238085A1. Автор: Akira Ishikawa. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2012-09-20.

Method of manufacturing semiconductor device and semiconductor device

Номер патента: NL2018614B1. Автор: OHTANI Kinya. Владелец: Shindengen Electric Mfg. Дата публикации: 2018-02-01.

Method of forming metal carbide barrier layers for fluorocarbon films

Номер патента: WO2013043512A1. Автор: Yoshiyuki Kikuchi. Владелец: Tokyo Electron America, Inc. Дата публикации: 2013-03-28.

Stacked semiconductor apparatus, system and method of fabrication

Номер патента: US09754921B2. Автор: Yun-sang Lee,Young-don Choi,Kang-Wook Lee,Ki-tae Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-09-05.

Semiconductor devices

Номер патента: US3709726A. Автор: R Nuttall. Владелец: Ferranti PLC. Дата публикации: 1973-01-09.

A method of forming a metal pattern in the manufacture of a semiconductor device

Номер патента: DE4320033B4. Автор: Hoon Hur. Владелец: Goldstar Electron Co Ltd. Дата публикации: 2005-05-12.

Correction method of photomask pattern

Номер патента: US20200218144A1. Автор: Byung-In Kwon,Siwon YANG,Jiyong YOO. Владелец: Xia Tai Xin Semiconductor Qing Dao Ltd. Дата публикации: 2020-07-09.

Correction method of photomask pattern

Номер патента: US11340523B2. Автор: Byung-In Kwon,Siwon YANG,Jiyong YOO. Владелец: Xia Tai Xin Semiconductor Qing Dao Ltd. Дата публикации: 2022-05-24.

Method of Protecting STI Structures From Erosion During Processing Operations

Номер патента: US20120302037A1. Автор: Stephan Kronholz,Hans-Juergen Thees,Joerg Radecker. Владелец: Globalfoundries Inc. Дата публикации: 2012-11-29.

METHODS OF MODIFYING SURFACES OF STRUCTURES USED IN THE MANUFACTURE OF A SEMICONDUCTOR DEVICE VIA FLUORINATION

Номер патента: US20170137589A1. Автор: GARZA César M.,AHN Sungil. Владелец: . Дата публикации: 2017-05-18.

METHOD OF MANUFACTURING OF A SIDEWALL OPENING OF AN INTERCONNECT OF A SEMICONDUCTOR DEVICE

Номер патента: US20170200645A1. Автор: Barth Hans-Joachim. Владелец: . Дата публикации: 2017-07-13.

Transistor with pi-gate structure and method for producing the same

Номер патента: US20020063293A1. Автор: Yeon-Sik Chae,Jin-Koo Rhee,Hyun-Sik Park,Dan An. Владелец: Individual. Дата публикации: 2002-05-30.

Semiconductor device and manufacturing method thereof

Номер патента: US09660042B1. Автор: Ching-Wen Hung,Chih-Sen Huang,Jia-Rong Wu,Yi-Hui Lee,Ying-Cheng Liu. Владелец: United Microelectronics Corp. Дата публикации: 2017-05-23.

Method of forming semiconductor device

Номер патента: US09590072B1. Автор: Ling-Chun Chou. Владелец: United Microelectronics Corp. Дата публикации: 2017-03-07.

Semiconductor device and method of forming the same

Номер патента: US09748390B2. Автор: Ziwei Fang,Tsan-Chun Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-08-29.

Semiconductor device and method of fabricating the same

Номер патента: US09773890B2. Автор: Kun-Yuan Liao,Feng-Yi Chang,Chun-Lung Chen,Chia-Lin Lu,Wei-Hao Huang. Владелец: United Microelectronics Corp. Дата публикации: 2017-09-26.

Semiconductor device and forming method thereof

Номер патента: US20220384267A1. Автор: Cheng-Lung Hung,Hsin-Yi Lee,Chi-On CHUI,Kuan-Yu Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-12-01.

Semiconductor device and forming method thereof

Номер патента: US12002714B2. Автор: Cheng-Lung Hung,Hsin-Yi Lee,Chi-On CHUI,Kuan-Yu Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-04.

Fabrication method of soi semiconductor devices

Номер патента: EP1371089A1. Автор: Denis Flandre,Jean-Pierre Raskin,Amaury Neve De Mevergnies. Владелец: Universite Catholique de Louvain UCL. Дата публикации: 2003-12-17.

Methods of forming NMOS and PMOS FinFET devices and the resulting product

Номер патента: US09741622B2. Автор: Ajey Poovannummoottil Jacob. Владелец: Globalfoundries Inc. Дата публикации: 2017-08-22.

Fabrication method of soi semiconductor devices

Номер патента: EP1371089B1. Автор: Denis Flandre,Jean-Pierre Raskin,Amaury Neve De Mevergnies. Владелец: Universite Catholique de Louvain UCL. Дата публикации: 2007-12-05.

Method of forming semiconductor device including trench gate structure

Номер патента: US20090130823A1. Автор: Kyoko Miyata,Fumiki Aiso. Владелец: Elpida Memory Inc. Дата публикации: 2009-05-21.

Structure and method of conductive bus bar for resistive seed substrate plating

Номер патента: US09899324B1. Автор: Atsushi Ogino,Shafaat Ahmed,Sadanand Vinayak Despande. Владелец: Globalfoundries Inc. Дата публикации: 2018-02-20.

Transistor with dielectric spacers and method of fabrication therefor

Номер патента: US20230361198A1. Автор: Darrell Glenn Hill. Владелец: NXP USA Inc. Дата публикации: 2023-11-09.

Transistor with dielectric spacers and method of fabrication therefor

Номер патента: EP4273936A1. Автор: Darrell Glenn Hill. Владелец: NXP USA Inc. Дата публикации: 2023-11-08.

Semiconductor devices

Номер патента: US09806204B2. Автор: Hyun-Sung Kim,Bum-soo Kim,Seung-pil Chung,Sung-Soo Ahn,Won-Bong Jung,Kyoung-sub Shin,O Ik Kwon,Min-Kyung YUN. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-10-31.

Method of forming gate structure

Номер патента: US20050085025A1. Автор: Tse-Yao Huang,Yi-Nan Chen,Chung-Peng Hao,Jin-Tau Huang. Владелец: Nanya Technology Corp. Дата публикации: 2005-04-21.

Semiconductor devices and methods of forming the same

Номер патента: US20220068718A1. Автор: Sung-min Kim,Heon-Jong Shin,Dong-Ho Cha,Sunhom Steve Paak. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-03-03.

Semiconductor devices and methods of fabricating the same

Номер патента: US09559112B2. Автор: Youngwoo Park,Jungdal CHOI,Jaegoo Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-01-31.

Semiconductor devices and methods of forming the same

Номер патента: US20180190543A1. Автор: Sung-min Kim,Heon-Jong Shin,Dong-Ho Cha,Sunhom Steve Paak. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-07-05.

Semiconductor devices and methods of forming the same

Номер патента: US20220301939A1. Автор: Sung-min Kim,Heon-Jong Shin,Dong-Ho Cha,Sunhom Steve Paak. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-09-22.

Memory devices, semiconductor devices and related methods

Номер патента: US20190267323A1. Автор: Michael A. Smith,Eric H. Freeman. Владелец: Micron Technology Inc. Дата публикации: 2019-08-29.

Method of Manufacturing a Semiconductor Device

Номер патента: US20130309831A1. Автор: Da YANG,Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-11-21.

Semiconductor devices and methods of forming the same

Номер патента: US09905468B2. Автор: Sung-min Kim,Heon-Jong Shin,Dong-Ho Cha,Sunhom Steve Paak. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-02-27.

Gate Structure and Methods of Forming Metal Gate Isolation

Номер патента: US20190334003A1. Автор: Meng-Fang Hsu,Chun-Sheng Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-10-31.

Methods of forming semiconductor device structures including two-dimensional material structures

Номер патента: US09991122B2. Автор: Roy E. Meade,Sumeet C. Pandey. Владелец: Micron Technology Inc. Дата публикации: 2018-06-05.

Semiconductor device structures with doped elements and methods of formation

Номер патента: US09773677B2. Автор: Shyam Surthi. Владелец: Micron Technology Inc. Дата публикации: 2017-09-26.

Methods of forming semiconductor device

Номер патента: US09704745B2. Автор: Sanghyun Lee,Dohyoung Kim,Doo-Young Lee,Keunhee Bai,Johnsoo KIM,Heungsik Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-07-11.

Methods of forming a tri-gate FinFET device

Номер патента: US09614056B2. Автор: Andreas Knorr,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2017-04-04.

Semiconductor devices and methods of manufacturing the same

Номер патента: US20220130982A1. Автор: Yang Xu,Seung Hun Lee,Hyun Kwan YU. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-04-28.

Semiconductor devices and methods of manufacturing the same

Номер патента: US20200328290A1. Автор: Yang Xu,Seung Hun Lee,Hyun Kwan YU. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-10-15.

Gate spacers in semiconductor devices

Номер патента: US20240297239A1. Автор: Chun-Fu Lu,Hsiang-Pi Chang,Shen-Yang LEE. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-05.

Methods of forming interconnects and semiconductor structures

Номер патента: US09640433B2. Автор: Salman Akram,James M. Wark,William Mark Hiatt. Владелец: Micron Technology Inc. Дата публикации: 2017-05-02.

Semiconductor device and manufacturing method of semiconductor device

Номер патента: US20220415712A1. Автор: Naruhisa Nagata. Владелец: Fuji Electric Co Ltd. Дата публикации: 2022-12-29.

Method of forming a self-aligned contact pad for use in a semiconductor device

Номер патента: US20020155687A1. Автор: Dae-hyuk Chung,In-seak Hwang,Han-Joo Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-10-24.

FINFET semiconductor devices and method of forming the same

Номер патента: US09812559B2. Автор: Kyung-In Choi,Bong-Soo Kim,Hyun-gi Hong,Hyun-seung KIM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-11-07.

Method of forming a metal interconnection line in a semiconductor device using an FSG layer

Номер патента: US7413972B2. Автор: Hee-Dae Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2008-08-19.

Method of forming a metal interconnection line in a semiconductor device using an FSG layer

Номер патента: US20060134900A1. Автор: Hee-Dae Kim. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2006-06-22.

Method of manufacturing semiconductor device and substrate processing apparatus

Номер патента: US09966268B2. Автор: Arito Ogawa,Atsuro Seino. Владелец: HITACHI KOKUSAI ELECTRIC INC. Дата публикации: 2018-05-08.

Manufacturing method of semiconductor device

Номер патента: US09899275B2. Автор: Kenzo Naito. Владелец: Renesas Electronics Corp. Дата публикации: 2018-02-20.

Semiconductor Device and Method

Номер патента: US20240136428A1. Автор: Yung-Cheng Lu,Chi On Chui,Che-Hao Chang,Wen-Kai Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-25.

Semiconductor device and method

Номер патента: US11901439B2. Автор: Yung-Cheng Lu,Chi On Chui,Che-Hao Chang,Wen-Kai Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-13.

Semiconductor device having multiple wells and method of making

Номер патента: US11769812B2. Автор: Chih-Chang CHENG,Fu-Yu Chu,Ruey-Hsin Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-26.

WRAP-AROUND GATE STRUCTURES AND METHODS OF FORMING WRAP-AROUND GATE STRUCTURES

Номер патента: US20180233600A1. Автор: FANELLI Stephen Alan,VEDULA Ravi Pramod Kumar,AZZAZY Farid. Владелец: . Дата публикации: 2018-08-16.

Semiconductor device having multiple wells

Номер патента: US20230378296A1. Автор: Chih-Chang CHENG,Fu-Yu Chu,Ruey-Hsin Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

Making method of tft with trench surrounding gate structure

Номер патента: KR950007358B1. Автор: 하형찬. Владелец: 김주용. Дата публикации: 1995-07-10.

Semiconductor devices and methods of manufacturing the same

Номер патента: US09905676B2. Автор: Yang Xu,Jinbum Kim,Kang Hun MOON,Choeun LEE,Sujin JUNG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-02-27.

Semiconductor device

Номер патента: US20190267373A1. Автор: Chih-Wei Yang,Yu-Cheng Tung,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2019-08-29.

FETs and methods of forming FETs

Номер патента: US09773786B2. Автор: Chi-Wen Liu,Chih-Hao Wang,Kuo-Cheng Ching. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-26.

Semiconductor device and method of forming the same

Номер патента: US09905464B2. Автор: Chao-Hung Lin,Chih-Kai Hsu,Ssu-I Fu,Yu-Hsiang Hung,Jyh-Shyang Jenq. Владелец: United Microelectronics Corp. Дата публикации: 2018-02-27.

Epitaxial structures of semiconductor devices that are independent of local pattern density

Номер патента: US20200328306A1. Автор: Jin Wallner,Judson Robert Holt,Heng Yang. Владелец: Globalfoundries Inc. Дата публикации: 2020-10-15.

Semiconductor structure and methods of forming the same

Номер патента: US11749677B2. Автор: Jhon Jhy Liaw,Ta-Chun Lin,Kuo-Hua Pan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-05.

Method of forming semiconductor device

Номер патента: US11923240B2. Автор: Cheng-Lung Hung,Hsin-Yi Lee,Da-Yuan Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-05.

Methods of forming PMOS and NMOS FinFET devices on CMOS based integrated circuit products

Номер патента: US09799767B2. Автор: Ajey Poovannummoottil Jacob. Владелец: Globalfoundries Inc. Дата публикации: 2017-10-24.

Vertical field-effect transistor devices and methods of forming the same

Номер патента: US20240170338A1. Автор: Min Gyu Kim,Hwi Chan Jun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-05-23.

Vertical field-effect transistor devices and methods of forming the same

Номер патента: US20220165623A1. Автор: Min Gyu Kim,Hwi Chan Jun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-05-26.

Method of utilizing trench silicide in a gate cross-couple construct

Номер патента: US20160293496A1. Автор: Ryan Ryoung-Han Kim. Владелец: Globalfoundries Inc. Дата публикации: 2016-10-06.

Method of utilizing trench silicide in a gate cross-couple construct

Номер патента: US10192792B2. Автор: Ryan Ryoung-Han Kim. Владелец: Globalfoundries Inc. Дата публикации: 2019-01-29.

Method of forming gate structure with undercut region and resulting device

Номер патента: US20200091005A1. Автор: Haiting Wang,Balaji Kannan,Shesh Mani Pandey,Qun Gao. Владелец: Globalfoundries Inc. Дата публикации: 2020-03-19.

Method of utilizing trench silicide in a gate cross-couple construct

Номер патента: US20160111341A1. Автор: Ryan Ryoung-Han Kim. Владелец: Globalfoundries Inc. Дата публикации: 2016-04-21.

Method of utilizing trench silicide in a gate cross-couple construct

Номер патента: US20160293495A1. Автор: Ryan Ryoung-Han Kim. Владелец: Globalfoundries Inc. Дата публикации: 2016-10-06.

Semiconductor devices including gate structure and method of forming the same

Номер патента: US20220375847A1. Автор: Sungmin Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-11-24.

Semiconductor device and formation thereof

Номер патента: US09543410B2. Автор: Wu Meng-Chuan,Yi-Wei Chiu,Tzu-Chan Weng,Li-Te Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-10.

Strained vertical field-effect transistor (FET) and method of forming the same

Номер патента: US09614087B1. Автор: Peng Xu,Kangguo Cheng,Juntao Li. Владелец: International Business Machines Corp. Дата публикации: 2017-04-04.

Method of forming source/drain epitaxial stacks

Номер патента: US12021142B2. Автор: Shih-Chieh Chang,Cheng-Han Lee,Shahaji B. More,Huai-Tei Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-25.

Method of manufacturing semiconductor device

Номер патента: US20170323886A1. Автор: Wei Cheng Wu,Harry Hak-Lay Chuang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-09.

Method of forming source/drain epitaxial stacks

Номер патента: US20240304724A1. Автор: Shih-Chieh Chang,Cheng-Han Lee,Shahaji B. More,Huai-Tei Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-12.

Method of forming semiconductor structure

Номер патента: US20210399101A1. Автор: Sheng-Hwa Lee,Hsiu-Ming Chen. Владелец: Nanya Technology Corp. Дата публикации: 2021-12-23.

Method of forming contact hole and method of fabricating semiconductor device

Номер патента: US20060134910A1. Автор: Pin-Yao Wang,Min-San Huang,Leon Lai. Владелец: Individual. Дата публикации: 2006-06-22.

Semiconductor device, method of manufacturing semiconductor device, and antenna switch module

Номер патента: US20160293759A1. Автор: Hideo Yamagata,Yoshikazu Motoyama,Hiroki Tsunemi. Владелец: Sony Corp. Дата публикации: 2016-10-06.

Semiconductor device, method of manufacturing semiconductor device, and antenna switch module

Номер патента: US20170069586A1. Автор: Hideo Yamagata,Yoshikazu Motoyama,Hiroki Tsunemi. Владелец: Sony Corp. Дата публикации: 2017-03-09.

Semiconductor device and manufacturing method thereof

Номер патента: US12087776B2. Автор: Yu-Lien Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-10.

Semiconductor device, method of manufacturing semiconductor device, and antenna switch module

Номер патента: US09537005B2. Автор: Hideo Yamagata,Yoshikazu Motoyama,Hiroki Tsunemi. Владелец: Sony Corp. Дата публикации: 2017-01-03.

Fin field-effect transistor and method of forming the same

Номер патента: US12046597B2. Автор: Shih-Yao Lin,Chieh-Ning Feng,Shu-Yuan Ku,Shu-Uei JANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-23.

FinFET device and method of forming same

Номер патента: US09812363B1. Автор: Ying Ting Hsia,Yi-Wei Chiu,Chih-Shan Chen,Chih-Teng Liao,Tzu-Chan Weng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-07.

Method of forming vertical channel devices

Номер патента: US20180330988A1. Автор: Juergen Boemmels. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2018-11-15.

Semiconductor device and manufacturing method thereof

Номер патента: US11575045B2. Автор: Yi-Chung Liang. Владелец: Powerchip Semiconductor Manufacturing Corp. Дата публикации: 2023-02-07.

FinFET Device and Method of Forming Same

Номер патента: US20190131176A1. Автор: Ying Ting Hsia,Yi-Wei Chiu,Chih-Shan Chen,Chih-Teng Liao,Tzu-Chan Weng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-05-02.

Semiconductor device and method of fabricating the same

Номер патента: US20220059697A1. Автор: Ching-Chung Yang. Владелец: United Microelectronics Corp. Дата публикации: 2022-02-24.

Semiconductor device and manufacturing method thereof

Номер патента: US20220216337A1. Автор: Yi-Chung Liang. Владелец: Powerchip Semiconductor Manufacturing Corp. Дата публикации: 2022-07-07.

Semiconductor device and manufacturing method thereof

Номер патента: US11335808B1. Автор: Yi-Chung Liang. Владелец: Powerchip Semiconductor Manufacturing Corp. Дата публикации: 2022-05-17.

Semiconductor Device And Method Of Manufacturing The Same

Номер патента: US20070210352A1. Автор: Yasuhiko Akamatsu,Saifon Son,Shinpei Tsujikawa. Владелец: Renesas Technology Corp. Дата публикации: 2007-09-13.

Method of forming a semiconductor device

Номер патента: US09923092B2. Автор: Thierry Coffi Herve Yao,Moshe Agam. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2018-03-20.

Semiconductor device and method for fabricating the same

Номер патента: US09653402B2. Автор: Chen-Ming Huang,I-Ming Tseng,Wen-An Liang. Владелец: United Microelectronics Corp. Дата публикации: 2017-05-16.

Methods of manufacturing semiconductor devices

Номер патента: US20120156855A1. Автор: Jae-Hwang Sim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2012-06-21.

Semiconductor device and method of forming the same

Номер патента: US20230154824A1. Автор: Chia-Che Chung,Ming-Tzong Yang,Hsien-Hsin Lin,Chee-Wee Liu,Wen-Kai Wan. Владелец: Individual. Дата публикации: 2023-05-18.

Semiconductor device and method of forming the same

Номер патента: US20230011222A1. Автор: Keizo Kawakita,Hidenori Yamaguchi,Shigeru Sugioka,Noriaki Fujiki,Raj K. Bansal. Владелец: Micron Technology Inc. Дата публикации: 2023-01-12.

Semiconductor device and method of fabricating the same

Номер патента: US20210217897A1. Автор: Minwoo Song,Minsu Lee,Minhee Cho,Hyunmog Park,Woobin SONG,Hyunsil Oh. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-07-15.

Detection of seed layers on a semiconductor device

Номер патента: US20070087530A1. Автор: Jae Kim,Ji Young Yim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-04-19.

Semiconductor device and method of forming the same

Номер патента: US12125789B2. Автор: Keizo Kawakita,Hidenori Yamaguchi,Shigeru Sugioka,Noriaki Fujiki,Raj K. Bansal. Владелец: Micron Technology Inc. Дата публикации: 2024-10-22.

Method of forming a semiconductor device structure and semiconductor device structure

Номер патента: US09953876B1. Автор: Elliot John Smith,Hans-Juergen Thees. Владелец: Globalfoundries Inc. Дата публикации: 2018-04-24.

Methods of fabricating semiconductor devices

Номер патента: US09893186B2. Автор: Shigenobu Maeda,Sang-Su Kim,Tae-yong Kwon,Jae-Hoo Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-02-13.

Semiconductor device with reduced gate height budget

Номер патента: US20190027575A1. Автор: Hui Zang,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2019-01-24.

Method of fabricating dual trench isolated selective epitaxial diode array

Номер патента: US20150102455A1. Автор: Chao Zhang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2015-04-16.

Semiconductor devices and methods of manufacturing thereof

Номер патента: US20220068720A1. Автор: Shih-Yao Lin,Shu-Yuan Ku,Shu-Uei JANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-03-03.

Semiconductor devices and methods of manufacture

Номер патента: US20240274693A1. Автор: Ming-Hua Yu,Wei-Siang Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-15.

Method of Forming Self-Aligned Contacts for a Semiconductor Device

Номер патента: US20130189833A1. Автор: Peter Baars,Andy Wei,Martin Mazur,Erik Geiss. Владелец: Globalfoundries Inc. Дата публикации: 2013-07-25.

Manufacturing method of semiconductor device

Номер патента: US20210320193A1. Автор: Shunpei Yamazaki,Naoki Okuno,Yuji EGI,Tetsuya Kakehata,Hiroki KOMAGATA. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2021-10-14.

Semiconductor device structure and method for forming same

Номер патента: US20240332395A1. Автор: Zeyong CHEN,Yunbo Chen,Yohtz Julian CHANG,Canyang HUANG. Владелец: Guangzhou Cansemi Technology Inc. Дата публикации: 2024-10-03.

Semiconductor device and method of forming the same

Номер патента: US20240334674A1. Автор: Miao SUN,Feng-Lun Wu,Chung-Ping Hsia,Guangrong WANG. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2024-10-03.

Semiconductor devices and methods of manufacture thereof

Номер патента: US09825043B2. Автор: Jean-Pierre Colinge,Carlos H. Diaz,Ta-Pen Guo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-21.

Method of manufacturing semiconductor device

Номер патента: US20120244680A1. Автор: Satoshi Hatsukawa. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2012-09-27.

Method of manufacturing semiconductor device

Номер патента: US8551864B2. Автор: Satoshi Hatsukawa. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2013-10-08.

Self-aligned thin-film transistor and method of forming same

Номер патента: US20090298240A1. Автор: William S. Wong,Rene Lujan. Владелец: Palo Alto Research Center Inc. Дата публикации: 2009-12-03.

Materials and structures for optical and electrical iii-nitride semiconductor devices and methods

Номер патента: US20210296516A1. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2021-09-23.

Materials and structures for optical and electrical III-nitride semiconductor devices and methods

Номер патента: US11631775B2. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2023-04-18.

Materials, structures, and methods for optical and electrical III-nitride semiconductor devices

Номер патента: US09978894B2. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2018-05-22.

Materials, structures, and methods for optical and electrical III-nitride semiconductor devices

Номер патента: US09608145B2. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2017-03-28.

Package Structure and Methods of Forming Same

Номер патента: US20190219762A1. Автор: Tien-I Bao,Hai-Ching Chen,Ying-hao Kuo,Jui Hsieh Lai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-07-18.

Package Structure and Methods of Forming Same

Номер патента: US20150212270A1. Автор: Tien-I Bao,Hai-Ching Chen,Ying-hao Kuo,Jui Hsieh Lai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-07-30.

(AI,Ga,In)N-Based compound semiconductor and method of fabricating the same

Номер патента: EP1772909A3. Автор: Chung Hoon Lee. Владелец: Seoul Optodevice Co Ltd. Дата публикации: 2009-05-06.

Semiconductor devices, methods of manufacture thereof, and capacitors

Номер патента: US09899467B2. Автор: Wen-Chih Chiou,Shin-puu Jeng,Ebin Liao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-20.

Methods, materials, and structures for optical and electrical iii-nitride semiconductor devices

Номер патента: US20190067499A1. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2019-02-28.

Package Structure and Methods of Forming Same

Номер патента: US20160245998A1. Автор: Tien-I Bao,Hai-Ching Chen,Ying-hao Kuo,Jui Hsieh Lai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-25.

Method of forming semiconductor device

Номер патента: US20120100658A1. Автор: Jong-Won Choi,Jun-Seok Yang,Sung-Hyun Yoon,Keon-Yong Cheon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2012-04-26.

Method of forming metal oxide gate structures and capacitor electrodes

Номер патента: US6897105B1. Автор: Robert M. Wallace,Paul McIntyre,Glen D. Wilk,John M. Anthony. Владелец: Texas Instruments Inc. Дата публикации: 2005-05-24.

METHODS OF FORMING MEMORY CELLS, ARRAYS OF MAGNETIC MEMORY CELLS, AND SEMICONDUCTOR DEVICES

Номер патента: US20160308118A1. Автор: Chen Wei,Kula Witold,Murthy Sunil. Владелец: . Дата публикации: 2016-10-20.

A method of forming pattern for measuring overlay in exposing process of semiconductor device

Номер патента: KR100401523B1. Автор: 김상표. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-10-17.

Semiconductor device structure and methods of forming the same

Номер патента: US20230010934A1. Автор: Tsung-Han Tsai,Gulbagh SINGH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-01-12.

Semiconductor devices, methods of manufacture thereof, and capacitors

Номер патента: US10727294B2. Автор: Wen-Chih Chiou,Shin-puu Jeng,Ebin Liao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-07-28.

SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING THE SAME, AND SIGNAL TRANSMITTING/RECEIVING METHOD USING THE SEMICONDUCTOR DEVICE

Номер патента: US20170256602A1. Автор: NAKASHIBA Yasutaka. Владелец: . Дата публикации: 2017-09-07.

Method of Forming Contacts for Devices with Multiple Stress Liners

Номер патента: US20120299160A1. Автор: Peter Baars,Thilo Scheiper,Marco Lepper. Владелец: Globalfoundries Inc. Дата публикации: 2012-11-29.

Method of manufacturing semiconductor device including isolation process

Номер патента: US20090181510A1. Автор: Yong-Il Kim,Makoto Yoshida,Hyeong-Sun HONG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-07-16.

Method of forming a temporary test structure for device fabrication

Номер патента: US09735071B2. Автор: Charles L. Arvin,Gary W. Maier,Brian Michael Erwin. Владелец: International Business Machines Corp. Дата публикации: 2017-08-15.

Semiconductor devices and methods of forming same

Номер патента: US09576892B2. Автор: Chih-Chien Chi,Huang-Yi Huang,Szu-Ping Tung,Ching-Hua Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-21.

Methods of forming semiconductor memory devices

Номер патента: US20150037949A1. Автор: Jaegoo Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-02-05.

Method of fabricating a semiconductor device with reduced oxide film variation

Номер патента: US7947567B2. Автор: Masanori Terahara,Junji Oh. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2011-05-24.

Method of manufacturing semiconductor device

Номер патента: US20040115924A1. Автор: Min Yong Lee,Yong Seok Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-06-17.

Method of fabricating semiconductor device

Номер патента: US20010003050A1. Автор: Sachiko Onozawa. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2001-06-07.

Semiconductor device structures including buried digit lines and related methods

Номер патента: US20130187279A1. Автор: Suraj Mathew,Shyam Surthi. Владелец: Micron Technology Inc. Дата публикации: 2013-07-25.

Manufacturing method of semiconductor device and semiconductor device

Номер патента: US7867890B2. Автор: Masaru Seto. Владелец: Oki Semiconductor Co Ltd. Дата публикации: 2011-01-11.

Method of forming semiconductor device

Номер патента: US20100203700A1. Автор: Eunkee Hong,Deok-Young Jung,Ju-seon Goo,Kyungmun Byun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2010-08-12.

Trench in semiconductor device and formation method thereof

Номер патента: US20040121532A1. Автор: Young-Hun Seo. Владелец: Anam Semiconductor Inc. Дата публикации: 2004-06-24.

Semiconductor device structures including buried digit lines and related methods

Номер патента: US09947666B2. Автор: Suraj Mathew,Shyam Surthi. Владелец: Micron Technology Inc. Дата публикации: 2018-04-17.

Method of forming a semiconductor package with conductive interconnect frame and structure

Номер патента: US09917039B2. Автор: Marc Alan Mangrum,Thinh Van Pham. Владелец: Amkor Technology Inc. Дата публикации: 2018-03-13.

Metal-insulator-metal capacitor and methods of fabrication

Номер патента: US09818689B1. Автор: Min-Hwa Chi,Hui Zang. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-14.

Method of making a resistive random access memory

Номер патента: US09520562B2. Автор: Qi Xie,Jan Willem Maes,Suvi Haukka,Jacob Woodruff,Tom Blomberg,Marko Tuominen,Robin Roelofs. Владелец: ASM IP Holding BV. Дата публикации: 2016-12-13.

Methods of forming patterns for semiconductor device structures

Номер патента: US20140205952A1. Автор: Yuan He,Scott Light,Michael Hyatt,Michael A. Many. Владелец: Micron Technology Inc. Дата публикации: 2014-07-24.

Method of forming a semiconductor device

Номер патента: US20110294235A1. Автор: Takuro Maede. Владелец: Elpida Memory Inc. Дата публикации: 2011-12-01.

Methods of forming patterns for semiconductor device structures

Номер патента: US20160048074A1. Автор: Scott L. Light,Yuan He,Michael Hyatt,Michael A. Many. Владелец: Micron Technology Inc. Дата публикации: 2016-02-18.

Method of forming interconnectings in semiconductor devices

Номер патента: US20020090810A1. Автор: Mario Napolitano. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2002-07-11.

Manufacturing method of semiconductor device

Номер патента: US20030100167A1. Автор: Shu Shimizu. Владелец: Mitsubishi Electric Corp. Дата публикации: 2003-05-29.

Method of manufacturing semiconductor device and method of forming pattern

Номер патента: US20230307287A1. Автор: Toshiaki Komukai,Motofumi Komori. Владелец: Kioxia Corp. Дата публикации: 2023-09-28.

Method of forming inter-level dielectric structures on semiconductor devices

Номер патента: US20160307791A1. Автор: Mehul D. Shroff,Douglas M. Reber. Владелец: NXP BV. Дата публикации: 2016-10-20.

Method of Forming Patterns of Semiconductor Device

Номер патента: US20100323520A1. Автор: Tae Kyung Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-12-23.

Method of Forming Patterns of Semiconductor Device

Номер патента: US20120086134A1. Автор: Tae Kyung Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-04-12.

Manufacturing method of semiconductor device

Номер патента: US20010053579A1. Автор: TAKESHI Toda,Yoshiro Goto. Владелец: NEC Corp. Дата публикации: 2001-12-20.

Manufacturing method of semiconductor device

Номер патента: US09972508B2. Автор: Kei Taniguchi. Владелец: Renesas Electronics Corp. Дата публикации: 2018-05-15.

Semiconductor device and fabrication method therefor

Номер патента: US09893070B2. Автор: Chin-Shan WANG,Shun-Yi Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-13.

Method of forming inter-level dielectric structures on semiconductor devices

Номер патента: US09818642B2. Автор: Mehul D. Shroff,Douglas M. Reber. Владелец: NXP USA Inc. Дата публикации: 2017-11-14.

Multi-die structure and method of forming same

Номер патента: US09761566B1. Автор: Chen-Hua Yu,Jing-Cheng Lin,Po-Hao Tsai,Li-Hui Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-12.

Method of producing semiconductor device

Номер патента: US09748217B2. Автор: Takayuki Ohba. Владелец: University of Tokyo NUC. Дата публикации: 2017-08-29.

Method of forming gate-all-around (gaa) finfet and gaa finfet formed thereby

Номер патента: US20190123160A1. Автор: Min-Hwa Chi,Hui Zang,Andreas Knorr,Ruilong Xie,Julien Frougier. Владелец: Globalfoundries Inc. Дата публикации: 2019-04-25.

Semiconductor device with gate recess and methods of forming the same

Номер патента: US20240306360A1. Автор: Jhon Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-12.

3D devices with 3D diffusion breaks and method of forming the same

Номер патента: US12040236B2. Автор: Mark I. Gardner,H. Jim Fulford. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-07-16.

Semiconductor device and method

Номер патента: US12051700B2. Автор: Chung-Ting Ko,Tai-Chun Huang,Li-Fong Lin,Wan Chen Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-30.

Method of forming high voltage metal-oxide-semiconductor transistor device

Номер патента: US09825147B2. Автор: Ming-Shun Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2017-11-21.

MISFET Device and Method of Forming the Same

Номер патента: US20150035021A1. Автор: Chia-Shiung Tsai,Ming-Chyi Liu,Chung-Yen Chou,Sheng-De Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-02-05.

Semiconductor device and manufacturing method thereof

Номер патента: US20050085036A1. Автор: Kenichi Yoshimochi. Владелец: Individual. Дата публикации: 2005-04-21.

Semiconductor devices and methods of manufacturing thereof

Номер патента: US12094874B2. Автор: Ru-Shang Hsiao,Jung-Chi Jeng,Sung-Hsin Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-17.

Semiconductor devices with wide gate-to-gate spacing

Номер патента: US20200388540A1. Автор: Haiting Wang,Jiehui SHU,Sipeng Gu. Владелец: GlobalFoundries US Inc. Дата публикации: 2020-12-10.

Method of using semiconductor device and method of making

Номер патента: US20240055500A1. Автор: Jia Liang ZHONG,Cun Cun CHEN,Xin Yong WANG,Ming Jian WANG. Владелец: TSMC China Co Ltd. Дата публикации: 2024-02-15.

Non-planar transistor devices and methods of manufacturing thereof

Номер патента: US12051733B2. Автор: Shih-Yao Lin,Chih-Han Lin,Hsiao Wen Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-30.

Nanowire fet with trapezoid gate structure

Номер патента: US20120305886A1. Автор: Ying Zhang,Jeffrey W. Sleight,Sebastian U. Engelmann,Sarunya Bangsaruntip. Владелец: International Business Machines Corp. Дата публикации: 2012-12-06.

Non-planar transistor devices and methods of manufacturing thereof

Номер патента: US20240347615A1. Автор: Shih-Yao Lin,Chih-Han Lin,Hsiao Wen Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-17.

Semiconductor structure and method of forming the same

Номер патента: US20240355911A1. Автор: Nan Wang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2024-10-24.

Stacked transistors and methods of forming the same

Номер патента: US20240371933A1. Автор: Chee Wee Liu,Hsin-cheng Lin,Ching-Wang YAO,Kung-Ying Chiu. Владелец: National Yang Ming Chiao Tung University NYCU. Дата публикации: 2024-11-07.

Semiconductor device and manufacturing method thereof

Номер патента: US09711608B1. Автор: Georgios Vellianitis,Blandine Duriez. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-18.

Semiconductor device having a trench with a step-free insulation film

Номер патента: US7259424B2. Автор: Kenichi Yoshimochi. Владелец: ROHM CO LTD. Дата публикации: 2007-08-21.

Nanosheet field-effect transistor device and method of forming

Номер патента: US20240282816A1. Автор: Weng Chang,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-22.

Semiconductor device and method of using

Номер патента: US11799008B2. Автор: Jia Liang ZHONG,Cun Cun CHEN,Xin Yong WANG,Ming Jian WANG. Владелец: TSMC China Co Ltd. Дата публикации: 2023-10-24.

Semiconductor device and method of manufacturing thereof

Номер патента: US20240266411A1. Автор: Sheng-Jier Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-08.

Gate Structures For Semiconductor Devices

Номер патента: US20240290836A1. Автор: Chan-Lon Yang,Perng-Fei Yuh,Keh-Jeng Chang,Chansyun David Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-29.

Isolation layers in stacked semiconductor devices

Номер патента: US20230066265A1. Автор: Pinyen Lin,Wei-Lun Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-03-02.

Isolation layers in stacked semiconductor devices

Номер патента: US20240363632A1. Автор: Pinyen Lin,Wei-Lun Chen. Владелец: Taiwan Semiconductor Manufacuring Copany Ltd. Дата публикации: 2024-10-31.

Field effect transistors and methods of forming same

Номер патента: US09679968B2. Автор: Mark Van Dal,Blandine Duriez,Aryan Afzalian. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-06-13.

Semiconductor device and method of using

Номер патента: US20220238670A1. Автор: Jia Liang ZHONG,Cun Cun CHEN,Xin Yong WANG,Ming Jian WANG. Владелец: TSMC China Co Ltd. Дата публикации: 2022-07-28.

Semiconductor device and method of manufacturing the same

Номер патента: US20240334691A1. Автор: Ki Hong Lee. Владелец: SK hynix Inc. Дата публикации: 2024-10-03.

Methods of forming semiconductor devices having recessed channels

Номер патента: US8268690B2. Автор: Joo-young Lee,Dong-gun Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2012-09-18.

Method for forming metal oxide semiconductor device

Номер патента: US09741830B2. Автор: Kung-Hong Lee,Chun-Jung Tang,Te-Chih Chen,Tai-Ju Chen. Владелец: United Microelectronics Corp. Дата публикации: 2017-08-22.

Semiconductor device and method of forming the same

Номер патента: US20240243187A1. Автор: Meng-Han LIN. Владелец: Powerchip Semiconductor Manufacturing Corp. Дата публикации: 2024-07-18.

Vertical-channel type junction SiC power FET and method of manufacturing same

Номер патента: US09691908B2. Автор: Koichi Arai,Kenichi Hisada. Владелец: Renesas Electronics Corp. Дата публикации: 2017-06-27.

Method of making a semiconductor device

Номер патента: US11990474B2. Автор: Chi-Feng Huang,Shu Fang Fu,Chia-Chung Chen,Victor Chiang Liang,Fu-Huan TSAI. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-21.

Method of manufacturing a semiconductor device including a silicon pillar

Номер патента: US8158502B2. Автор: Kazuhiro Nojima. Владелец: Elpida Memory Inc. Дата публикации: 2012-04-17.

Semiconductor device and method of manufacturing the same

Номер патента: US20080044993A1. Автор: Hyun Kim. Владелец: Individual. Дата публикации: 2008-02-21.

Integrated Circuits and Methods of Design and Manufacture Thereof

Номер патента: US20100276759A1. Автор: Henning Haffner,Manfred Eller,Richard Lindsay. Владелец: Individual. Дата публикации: 2010-11-04.

Integrated circuits and methods of design and manufacture thereof

Номер патента: US09767244B2. Автор: Henning Haffner,Manfred Eller,Richard Lindsay. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-09-19.

Non-planar transistor and method of forming the same

Номер патента: US09627541B2. Автор: Shui-Yen Lu,Jhen-Cyuan Li,Nan-Yuan Huang. Владелец: United Microelectronics Corp. Дата публикации: 2017-04-18.

Method of forming a transistor using selective epitaxial growth

Номер патента: US20050176205A1. Автор: Chin-Cheng Chien,Yu-Kun Chen,Ya-Lun Cheng. Владелец: United Microelectronics Corp. Дата публикации: 2005-08-11.

Semiconductor device and method of making

Номер патента: US09653581B2. Автор: Hou-Yu Chen,Yu-Chang Lin,Chun-Feng Nieh,Wen-Tai Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-16.

Integrated Assemblies and Methods of Forming Integrated Assemblies

Номер патента: US20230215947A1. Автор: Marcello Mariani,Giorgio Servalli. Владелец: Micron Technology Inc. Дата публикации: 2023-07-06.

Semiconductor device and manufacturing method thereof

Номер патента: US12068405B2. Автор: Sheng-Kai Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Semiconductor device and manufacturing method thereof

Номер патента: US20240371988A1. Автор: Sheng-Kai Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-07.

Heteroepitaxial semiconductor devices with enhanced thermal dissipation

Номер патента: US20240355918A1. Автор: Matt King,Christer Hallin,Thomas Kuhr. Владелец: Woflspeed Inc. Дата публикации: 2024-10-24.

Fabrication method of semiconductor device and test method of semiconductor device

Номер патента: US20220301948A1. Автор: Atsushi Shoji,Soichi Yoshida. Владелец: Fuji Electric Co Ltd. Дата публикации: 2022-09-22.

Method of manufacturing semiconductor device

Номер патента: US20120302004A1. Автор: Akiharu Miyanaga. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2012-11-29.

Semiconductor device

Номер патента: US09837540B2. Автор: Chien-Hao Chen,En-Chiuan Liou,Tang-Chun Weng. Владелец: United Microelectronics Corp. Дата публикации: 2017-12-05.

Semiconductor device, and method of forming same

Номер патента: US12093627B2. Автор: Chung-Hui Chen,Wan-Te CHEN,Tzu Ching CHANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-17.

Device of active regions and gates and method of forming gate patterns using the same

Номер патента: US20070158693A1. Автор: Hyoung Soon Yune. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-07-12.

Device of active regions and gates and method of forming gate patterns using the same

Номер патента: US7719034B2. Автор: Hyoung Soon Yune. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-05-18.

Semiconductor device structure and method of formation thereof

Номер патента: US5554488A. Автор: Brian A. Rioux. Владелец: Northern Telecom Ltd. Дата публикации: 1996-09-10.

Semiconductor device and manufacturing method of the semiconductor device

Номер патента: US20230301091A1. Автор: SUNG Wook Jung,Ji Hui Baek,Jang Hee Jung. Владелец: SK hynix Inc. Дата публикации: 2023-09-21.

Low resistance ground wiring in a semiconductor device

Номер патента: US6071785A. Автор: Shinichi Horiba. Владелец: NEC Corp. Дата публикации: 2000-06-06.

Resistive random access memory devices, and related semiconductor device structures

Номер патента: US20140145138A1. Автор: Timothy A. Quick. Владелец: Micron Technology Inc. Дата публикации: 2014-05-29.

Method of forming bridging lateral nanowires and device manufactured thereby

Номер патента: WO2005062384A3. Автор: Shashank Sharma,Theodore I Kamins,M Saiful Islam. Владелец: M Saiful Islam. Дата публикации: 2005-09-15.

Manufacturing method of semiconductor device

Номер патента: US20110183470A1. Автор: Ryosuke Watanabe. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2011-07-28.

Manufacturing method of semiconductor device

Номер патента: WO2007043285A9. Автор: Ryosuke Watanabe. Владелец: Ryosuke Watanabe. Дата публикации: 2007-06-07.

Method of fabricating capacitor for semiconductor device

Номер патента: US6190993B1. Автор: Byung Jae Choi,Soo Jin Seo. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2001-02-20.

Semiconductor device and method for manufacturing the same

Номер патента: US20230307361A1. Автор: Shinya Arai,Keisuke Nakatsuka,Hiroaki ASHIDATE,Yasunori Iwashita. Владелец: Kioxia Corp. Дата публикации: 2023-09-28.

Contact pad structure and method of forming the same

Номер патента: US20210384219A1. Автор: HAO Zhang,Zhiliang Xia,Di Wang,Kun Zhang,Wenxi Zhou,Yonggang YANG,Yiming AI. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2021-12-09.

Semiconductor device and method of manufacturing semiconductor device

Номер патента: US20240292615A1. Автор: SUNG Wook Jung,Sang Bum Lee,Jong Hun Kim,Byung Soo Park,Song Hee HAN. Владелец: SK hynix Inc. Дата публикации: 2024-08-29.

Method of fabricating semiconductor device

Номер патента: US20040152339A1. Автор: Masayuki Imai,Yoshihide Tada,Tsukasa Yonekawa,Shin Yokoyama,Genji Nakamura,Anri Nakajima. Владелец: Tokyo Electron Ltd. Дата публикации: 2004-08-05.

Semiconductor device and method of manufacturing the same

Номер патента: US20020102832A1. Автор: Eiji Watanabe,Katsumi Miyata,Hiroyuki Yoda. Владелец: Fujitsu Ltd. Дата публикации: 2002-08-01.

Semiconductor devices and methods of manufacturing the same

Номер патента: US20240268123A1. Автор: Jinwoo Han,Kiseok LEE,Hanjin Lim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-08.

Semiconductor devices and methods of manufacturing the same

Номер патента: EP4412424A1. Автор: Jinwoo Han,Kiseok LEE,Hanjin Lim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-07.

Method of programming eeprom having single gate structure

Номер патента: US20070148851A1. Автор: Ho-Ik Hwang,Hye-Young Park,Sang-bae Yi,Myung-Hee Kim,Geun-Sook Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-06-28.

MEMS device and method of making a MEMS device

Номер патента: US09580299B2. Автор: Alfons Dehe,Martin Wurzer,Christian Herzum. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-02-28.

Method of fabricating a cell contact and a digit line for a semiconductor device

Номер патента: US20120329274A1. Автор: Shyam Surthi,Lars Heineck. Владелец: Nanya Technology Corp. Дата публикации: 2012-12-27.

Method of forming gate of flash memory cell

Номер патента: US20050142726A1. Автор: Chul Yoon. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Double-bit non-volatile memory structure and corresponding method of manufacture

Номер патента: US20020121657A1. Автор: Chin-Yang Chen. Владелец: United Microelectronics Corp. Дата публикации: 2002-09-05.

Double-bit non-volatile memory structure and corresponding method of manufacture

Номер патента: US20030022443A1. Автор: Chin-Yang Chen. Владелец: Individual. Дата публикации: 2003-01-30.

Semiconductor device and fabrication method thereof, memory and memory system

Номер патента: US20240306364A1. Автор: Zhaoyun TANG. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-09-12.

Method of forming gate electrode pattern in semiconductor device

Номер патента: US7544564B2. Автор: Sung Hoon Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-06-09.

Semiconductor structure and method of forming semiconductor structure

Номер патента: US20240224490A1. Автор: BO Su,Yijun Zhang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2024-07-04.

Method of making a semiconductor device

Номер патента: US5459082A. Автор: Jae S. Jeong. Владелец: Gold Star Co Ltd. Дата публикации: 1995-10-17.

Semiconductor device and method of forming same

Номер патента: US12108587B2. Автор: Er Xuan PING,Soon Byung PARK. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-10-01.

Method of forming vertical memory devices with improved dummy channel structures

Номер патента: US12137562B2. Автор: Yuhui HAN. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-11-05.

Methods of manufacturing semiconductor device

Номер патента: US20240381625A1. Автор: Heon Yong Chang. Владелец: SK hynix Inc. Дата публикации: 2024-11-14.

Method of fabricating semiconductor device

Номер патента: US20240196587A1. Автор: Sohee Choi,Sohyang LEE,Jeongmin JIN,JinSeo Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-13.

Memory cell gate structure having multiple portions

Номер патента: WO2024167843A1. Автор: Scott E. Sills,Kamal M. Karda,Pankaj Sharma,Nicholas R. Tapias,Manuj Nahar. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2024-08-15.

Nonvolatile semiconductor memory device and method of reusing same

Номер патента: US20120014178A1. Автор: Yuji Nagashima,Hiroyuki Tanikawa,Bunsho Kuramori. Владелец: Oki Semiconductor Co Ltd. Дата публикации: 2012-01-19.

Method of Manufacturing a Part of a MEMS System

Номер патента: US20130001810A1. Автор: Shintaro Asuke. Владелец: Seiko Epson Corp. Дата публикации: 2013-01-03.

Formation method of shallow junction by trench gate structure

Номер патента: KR0150676B1. Автор: 권성수. Владелец: 김주용. Дата публикации: 1998-10-01.

A kind of preparation method of metal and its alloy micro nano structure or nano-wire array

Номер патента: CN107470427B. Автор: 姚可夫,邵洋,高胜寒,栾楚豪. Владелец: TSINGHUA UNIVERSITY. Дата публикации: 2019-03-01.

Method of forming pattern of semiconductor device

Номер патента: US20080227034A1. Автор: Dae Woo Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-09-18.

Method of forming fine patterns

Номер патента: US20040067452A1. Автор: Yoshiki Sugeta,Toshikazu Tachikawa,Fumitake Kaneko. Владелец: Tokyo Ohka Kogyo Co Ltd. Дата публикации: 2004-04-08.

METHOD OF MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003812A1. Автор: Sasaki Makoto,NISHIGUCHI Taro,HARADA Shin,Okita Kyoko,Namikawa Yasuo. Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE, METHOD OF FABRICATING THE SAME, AND SEMICONDUCTOR MODULE AND ELECTRONIC SYSTEM INCLUDING THE SEMICONDUCTOR DEVICE

Номер патента: US20120001272A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120001169A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120001226A1. Автор: . Владелец: Sanken Electric Co., Ltd.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME

Номер патента: US20120001255A1. Автор: PARK JIN WON. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

Control gate structure and method of forming a control gate structure

Номер патента: US20120112256A1. Автор: Tan Shyue Seng,Quek Elgin,LEUNG Ying Keung. Владелец: Globalfoundries Singapore PTE, LTD.. Дата публикации: 2012-05-10.

Method of forming oxide pattern for forming charge storage electrode of semiconductor device

Номер патента: KR100281546B1. Автор: 박영진,우상호,전하응. Владелец: 현대전자산업주식회사. Дата публикации: 2001-03-02.

METHOD OF FORMING POLYSILICON RESISTOR DURING REPLACEMENT METAL GATE PROCESS AND SEMICONDUCTOR DEVICE HAVING SAME

Номер патента: US20130015530A1. Автор: KIM Ju Youn,Kim Jedon. Владелец: . Дата публикации: 2013-01-17.

METHOD OF FORMING POLYSILICON RESISTOR DURING REPLACEMENT METAL GATE PROCESS AND SEMICONDUCTOR DEVICE HAVING SAME

Номер патента: US20130015531A1. Автор: KIM Ju Youn,Kim Jedon. Владелец: . Дата публикации: 2013-01-17.

METHOD OF FABRICATING A PLURALITY OF GATE STRUCTURES

Номер патента: US20120264281A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-10-18.

Method of manufacturing a solid-state device and solid-state device, particularly semiconductor device

Номер патента: CA2005791A1. Автор: Peter Teleki. Владелец: Peter Teleki. Дата публикации: 1991-06-18.

Method of manufacturing a slope profile for improving step coverage of a semiconductor device.

Номер патента: KR950021132A. Автор: 김근영. Владелец: 현대전자산업 주식회사. Дата публикации: 1995-07-26.

SPACER FORMATION FILM, METHOD OF MANUFACTURING SEMICONDUCTOR WAFER BONDING PRODUCT, SEMICONDUCTOR WAFER BONDING PRODUCT AND SEMICONDUCTOR DEVICE

Номер патента: US20120168970A1. Автор: . Владелец: . Дата публикации: 2012-07-05.

METHOD OF FABRICATING A CELL CONTACT AND A DIGIT LINE FOR A SEMICONDUCTOR DEVICE

Номер патента: US20120329274A1. Автор: . Владелец: . Дата публикации: 2012-12-27.

METHOD OF FABRICATING AN INTEGRATED CIRCUIT DEVICE

Номер патента: US20120003806A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-01-05.

DRIVING METHOD OF LIQUID CRYSTAL DISPLAY DEVICE

Номер патента: US20120001881A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001168A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120001333A1. Автор: HWANG Chang Youn. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

ULTRAHIGH DENSITY VERTICAL NAND MEMORY DEVICE AND METHOD OF MAKING THEREOF

Номер патента: US20120001247A1. Автор: Alsmeier Johann. Владелец: SanDisk Corporation. Дата публикации: 2012-01-05.

ULTRAHIGH DENSITY VERTICAL NAND MEMORY DEVICE & METHOD OF MAKING THEREOF

Номер патента: US20120001249A1. Автор: Alsmeier Johann,Samachisa George. Владелец: SanDisk Corporation. Дата публикации: 2012-01-05.

ULTRAHIGH DENSITY VERTICAL NAND MEMORY DEVICE AND METHOD OF MAKING THEREOF

Номер патента: US20120001250A1. Автор: Alsmeier Johann. Владелец: SanDisk Corporation. Дата публикации: 2012-01-05.

SEMICONDUCTOR MEMORY DEVICE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120003808A1. Автор: Lee Sang-yun. Владелец: BESANG INC.. Дата публикации: 2012-01-05.

Semiconductor Device Comprising Through Hole Vias Having a Stress Relaxation Mechanism

Номер патента: US20120001330A1. Автор: Huisinga Torsten,Grillberger Michael,Hahn Jens. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR PACKAGE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120001329A1. Автор: Kim Young Lyong,Lee Jongho,AHN EUNCHUL,Kim Hyeongseob. Владелец: Samsung Electronics Co., Ltd. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001275A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер патента: US20120000484A1. Автор: . Владелец: Denso Corporation. Дата публикации: 2012-01-05.

METHODS OF FORMING NANOSCALE FLOATING GATE

Номер патента: US20120001248A1. Автор: Sandhu Gurtej S.,Ramaswamy D.V. Nirmal. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2012-01-05.

METHOD OF FORMING STRAINED EPITAXIAL CARBON-DOPED SILICON FILMS

Номер патента: US20120003825A1. Автор: Dip Anthony. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-05.

GATE STRUCTURES AND METHOD OF FABRICATING SAME

Номер патента: US20120001266A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер патента: US20120003795A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

GROUP III NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME, AND LAMP

Номер патента: US20120001220A1. Автор: . Владелец: SHOWA DENKO K.K.. Дата публикации: 2012-01-05.

ETCHANTS AND METHODS OF FABRICATING SEMICONDUCTOR DEVICES USING THE SAME

Номер патента: US20120001264A1. Автор: YANG Jun-Kyu,Kim Hong-Suk,Hwang Ki-Hyun,Ahn Jae-Young,Lim Hun-Hyeong,KIM Jin-Gyun. Владелец: . Дата публикации: 2012-01-05.

Methods of manufacturing semiconductor devices with Si and SiGe epitaxial layers

Номер патента: US20120003799A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE HAVING REDUCED SUB-THRESHOLD LEAKAGE

Номер патента: US20120003810A1. Автор: . Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2012-01-05.

Methods of Forming Nonvolatile Memory Devices Using Nonselective and Selective Etching Techniques to Define Vertically Stacked Word Lines

Номер патента: US20120003831A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING THE SAME, AND SOLID-STATE IMAGE SENSOR

Номер патента: US20120001291A1. Автор: Kokumai Kazuo. Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

Semiconductor Device Including Ultra Low-K (ULK) Metallization Stacks with Reduced Chip-Package Interaction

Номер патента: US20120001323A1. Автор: . Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

METHOD OF PRODUCING SEMICONDUCTOR DEVICE

Номер патента: US20120003841A1. Автор: . Владелец: ULVAC, INC.. Дата публикации: 2012-01-05.

Antireflective Coatings for Via Fill and Photolithography Applications and Methods of Preparation Thereof

Номер патента: US20120001135A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120001257A1. Автор: MURAKAWA Kouichi. Владелец: RENESAS ELECTRONICS CORPORATION. Дата публикации: 2012-01-05.

APPARATUS FOR AND A METHOD OF DETERMINING SURFACE CHARACTERISTICS

Номер патента: US20120004888A1. Автор: . Владелец: Taylor Hobson Limited. Дата публикации: 2012-01-05.

FLEXIBLE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001173A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING OF SAME

Номер патента: US20120001321A1. Автор: IMAMURA Tomomi,Natsuda Tetsuo,Nishijo Yoshinosuke. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

Engine systems and methods of operating an engine

Номер патента: US20120000435A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ELECTRODE STRUCTURE, METHOD OF FABRICATING THE SAME, AND SEMICONDUCTOR DEVICE INCLUDING THE ELECTRODE STRUCTURE

Номер патента: US20120001267A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001331A1. Автор: . Владелец: KABUSHI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE MANUFACTURE METHOD AND SEMICONDUCTOR DEVICE

Номер патента: US20120001344A1. Автор: IDANI Naoki,TAKESAKO Satoshi. Владелец: FUJITSU SEMICONDUCTOR LIMITED. Дата публикации: 2012-01-05.

Antistatic laminate, optical film, polarizing plate, image display device and production method of antistatic laminate

Номер патента: US20120003467A1. Автор: . Владелец: FUJI FILM Corporation. Дата публикации: 2012-01-05.

CANCER BIOMARKERS AND METHODS OF USE THEREOF

Номер патента: US20120003639A1. Автор: KERLIKOWSKE KARLA,TLSTY THEA D.,GAUTHIER MONA L.,BERMAN HAL K.,BREMER TROY,MOLINARO ANNETTE M.. Владелец: . Дата публикации: 2012-01-05.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE AND FABRICATION SYSTEM OF SEMICONDUCTOR DEVICE

Номер патента: US20120003761A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR STRUCTURE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120003815A1. Автор: Lee Sang-yun. Владелец: BESANG INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR MEMORY DEVICE AND METHOD OF FORMING THE SAME

Номер патента: US20120003828A1. Автор: Chang Sung-Il,Choe Byeong-In,KANG Changseok. Владелец: . Дата публикации: 2012-01-05.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20120003829A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Knuckle Formed Through The Use Of Improved External and Internal Sand Cores and Method of Manufacture

Номер патента: US20120000877A1. Автор: Smerecky Jerry R.,Nibouar F. Andrew,SMITH Douglas. Владелец: . Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE WHICH A PLURALITY OF TYPES OF TRANSISTORS ARE MOUNTED

Номер патента: US20120001265A1. Автор: . Владелец: FUJITSU SEMICONDUCTOR LIMITED. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD

Номер патента: US20120001304A1. Автор: . Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-05.

PACKAGE FOR SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING THE SAME AND SEMICONDUCTOR DEVICE

Номер патента: US20120001311A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

WAFER STACKED PACKAGE WAVING BERTICAL HEAT EMISSION PATH AND METHOD OF FABRICATING THE SAME

Номер патента: US20120001348A1. Автор: . Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-01-05.

DRIVING METHOD OF LIQUID CRYSTAL DISPLAY DEVICE

Номер патента: US20120002132A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

ALIGNMENT METHOD OF SEMICONDUCTOR OPTICAL AMPLIFIER AND LIGHT OUTPUT DEVICE

Номер патента: US20120002696A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ISOLATION METHOD IN SEMICONDUCTOR DEVICE

Номер патента: US20120003809A1. Автор: KIM Young Deuk. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

STEERABLE SURGICAL SNARE AND METHOD OF USE

Номер патента: US20120004647A1. Автор: Cowley Collin George. Владелец: The University of Utah. Дата публикации: 2012-01-05.

CMOS Image Sensor Including PNP Triple Layer And Method Of Fabricating The CMOS Image Sensor

Номер патента: US20120001241A1. Автор: Park Won-je. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-01-05.

MEMORY DEVICE AND METHOD OF FABRICATING THEREOF

Номер патента: US20120001246A1. Автор: . Владелец: Micron Technology Inc.. Дата публикации: 2012-01-05.

PACKAGE FOR SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING THE SAME AND SEMICONDUCTOR DEVICE

Номер патента: US20120001310A1. Автор: Horiki Hiroshi,NISHINO MASANORI. Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

SATIATION POUCHES AND METHODS OF USE

Номер патента: US20120004590A1. Автор: Stack Richard S.,Williams Michael S.,Glenn Richard A.,Athas William L.,LUNSFORD John,Balbierz Dan. Владелец: Barosense, Inc. Дата публикации: 2012-01-05.

BATTERY TAB JOINTS AND METHODS OF MAKING

Номер патента: US20120000964A1. Автор: . Владелец: GM GLOBAL TECHNOLOGY OPERATIONS, INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001170A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

CRYSTALLINE CERIUM OXIDE AND PREPARATION METHOD OF THE SAME

Номер патента: US20120000137A1. Автор: CHOI Sang-Soon,CHO Seung-Beom,HA Hyun-Chul,KWAK Ick-Soon,CHO Jun-Yeon. Владелец: LG CHEM, LTD.. Дата публикации: 2012-01-05.

METHOD OF DRIVING A LASER DIODE

Номер патента: US20120002690A1. Автор: Watanabe Hideki,Ikeda Masao,Yokoyama Hiroyuki,Miyajima Takao,Oki Tomoyuki,Kono Shunsuke. Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

METHOD OF DRIVING A LASER DIODE

Номер патента: US20120002695A1. Автор: . Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001315A1. Автор: Kikuchi Hiroshi,MOCHIZUKI Chihiro,SHIMA Yasuo,KOBAYASHI Yoichiro. Владелец: . Дата публикации: 2012-01-05.

METHOD OF PRODUCING ORGANIC LIGHT-EMITTING DEVICE

Номер патента: US20120003764A1. Автор: Koike Atsushi,Kameyama Makoto. Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003801A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

Semiconductor Device

Номер патента: US20120001269A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

Semiconductor Device and Manufacturing Method Thereof

Номер патента: US20120001332A1. Автор: TANAKA Tetsuhiro. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Package and Method of Forming Similar Structure for Top and Bottom Bonding Pads

Номер патента: US20120001326A1. Автор: . Владелец: STATS CHIPPAC, LTD.. Дата публикации: 2012-01-05.

DISPLAY SUBSTRATE, METHOD OF MANUFACTURING THE SAME AND DISPLAY PANEL HAVING THE SAME

Номер патента: US20120003796A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Method for Manufacturing the Same

Номер патента: US20120001180A1. Автор: Yoshizumi Kensuke,YOKOI Tomokazu. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Manufacturing Method Thereof

Номер патента: US20120001335A1. Автор: ENDO Yuta,TANAKA Tetsuhiro. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120003797A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.