Side wall pore sealing for low-k dielectrics

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Side wall pore sealing for low-k dielectrics

Номер патента: WO2006100632A1. Автор: Willem Frederik Adrianus Besling. Владелец: NXP B.V.. Дата публикации: 2006-09-28.

Side wall pore sealing for low-k dielectrics

Номер патента: EP1864322A1. Автор: Willem F.A. Société Civile SPID BESLING. Владелец: NXP BV. Дата публикации: 2007-12-12.

Method for tunably repairing low-k dielectric damage

Номер патента: US20110097821A1. Автор: James DeYoung,Stephen M. Sirard,Odette Turmel. Владелец: Lam Research Corp. Дата публикации: 2011-04-28.

Dual damascene trench formation to avoid low-k dielectric damage

Номер патента: TW200601450A. Автор: Chao-Cheng Chen,Tsiao-Chen Wu,Chen-Nan Yeh. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2006-01-01.

Dual damascene trench formation to avoid low-k dielectric damage

Номер патента: TWI257129B. Автор: Chao-Cheng Chen,Tsiao-Chen Wu,Chen-Nan Yeh. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2006-06-21.

Structural reinforcement of highly porous low k dielectric films by ild posts

Номер патента: EP1405337A2. Автор: Lawrence D. Wong. Владелец: Intel Corp. Дата публикации: 2004-04-07.

Apparatus and Methods for Low K Dielectric Layers

Номер патента: US20130072031A1. Автор: Yu-Yun Peng,Keng-Chu Lin,Joung-Wei Liou,Hui-Chun Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-03-21.

Vapor phase repair and pore sealing of low-k dielectric materials

Номер патента: US20110020955A1. Автор: James DeYoung. Владелец: Individual. Дата публикации: 2011-01-27.

Method for improving adhesion between porous low k dielectric and barrier layer

Номер патента: US09721892B2. Автор: Ming Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-08-01.

Recleaning process for metal plug that minimizes damage to low K dielectric

Номер патента: EP1081750A3. Автор: Kenny King-Tai Ngan,Barney M. Cohen,Suraj Rengarajan. Владелец: Applied Materials Inc. Дата публикации: 2003-02-05.

Low-k dielectric damage prevention

Номер патента: US20220367259A1. Автор: Chia-Lin Hsu,Chen-Yi Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-17.

Low-k dielectric interconnect systems

Номер патента: US09768061B1. Автор: Chung-Chi Ko,Po-Cheng Shih,Chia Cheng Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-19.

Composite manganese nitride/low-K dielectric cap

Номер патента: US09711456B2. Автор: Donald F. Canaperi,Takeshi Nogami,Son V. Nguyen,Deepika Priyadarshini. Владелец: International Business Machines Corp. Дата публикации: 2017-07-18.

Fingered capacitor with low-k and ultra-low-k dielectric layers

Номер патента: US20200357881A1. Автор: YU Chen,Cheong Min Hong,Chunshan YIN. Владелец: NXP BV. Дата публикации: 2020-11-12.

Curing Low-k Dielectrics for Improving Mechanical Strength

Номер патента: US20090250792A1. Автор: Shwang-Ming Jeng,Keng-Chu Lin,Joung-Wei Liou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2009-10-08.

Method for improving adhesion between porous low k dielectric and barrier layer

Номер патента: US20170309513A1. Автор: Ming Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-10-26.

Low-k dielectric damage repair by vapor-phase chemical exposure

Номер патента: US20140004717A1. Автор: Alexandros T. Demos,Kelvin Chan. Владелец: Applied Materials Inc. Дата публикации: 2014-01-02.

Low-k dielectric damage repair by vapor-phase chemical exposure

Номер патента: WO2014007924A1. Автор: Alexandros T. Demos,Kelvin Chan. Владелец: Applied Materials, Inc.. Дата публикации: 2014-01-09.

Method of patterning a low-k dielectric film

Номер патента: WO2014120576A1. Автор: Srinivas D. Nemani,Sean S. Kang,Chia-Ling Kao. Владелец: Applied Materials, Inc.. Дата публикации: 2014-08-07.

Semiconductor processing system with ultra low-k dielectric

Номер патента: SG144033A1. Автор: HSIA Liang Choo,ZHANG Bei Chao,Yasri Yudhistira,Johnny Widodo. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2008-07-29.

Interconnect system with improved low-K dielectrics

Номер патента: US12080547B2. Автор: Yi-Wei Chiu,Yu Lun Ke,Joung-Wei Liou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-03.

Interconnect system with improved low-k dielectrics

Номер патента: US20240363336A1. Автор: Yi-Wei Chiu,Yu Lun Ke,Joung-Wei Liou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Self Forming Metal Fluoride Barriers for Fluorinated Low-K Dielectrics

Номер патента: US20100244252A1. Автор: Florian Gstrein,Christopher J. Jezewski,Daniel J. Zierath. Владелец: Intel Corp. Дата публикации: 2010-09-30.

Sealing pores of low-k dielectrics using CxHy

Номер патента: US7135402B2. Автор: Tien-I Bao,Keng-Chu Lin,Shwang-Ming Cheng,Ming Ling Yeh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2006-11-14.

Repairing and restoring strength of etch-damaged low-k dielectric materials

Номер патента: SG173321A1. Автор: James DeYoung. Владелец: Lam Res Corp. Дата публикации: 2011-08-29.

Low-k dielectric interconnect systems

Номер патента: US20180005882A1. Автор: Chung-Chi Ko,Po-Cheng Shih,Chia Cheng Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-04.

Compositions of low-k dielectric sols containing nonmetallic catalysts

Номер патента: EP2915185A1. Автор: Mark L.F. Phillips,Travis Savage. Владелец: SBA Materials Inc. Дата публикации: 2015-09-09.

Compositions of low-k dielectric sols containing nonmetallic catalysts

Номер патента: US20160111275A1. Автор: Mark L.F. Phillips,Travis Savage. Владелец: SBA Materials Inc. Дата публикации: 2016-04-21.

Repairing damage to low-k dielectric materials using silylating agents

Номер патента: WO2005034194A3. Автор: Anil S Bhanap,Teresa A Ramos,Nancy Iwamoto,Roger Y Leung. Владелец: Honeywell Int Inc. Дата публикации: 2005-09-15.

Dual damascene manufacturing method of porous low-k dielectric material

Номер патента: TW471107B. Автор: Shing-Chuan Tsai,Jr-Hau Jang. Владелец: Nanya Technology Corp. Дата публикации: 2002-01-01.

Method for tunably repairing low-k dielectric damage

Номер патента: TW201133616A. Автор: James DeYoung,Odette Turmel,Stephen M Sirard. Владелец: Lam Res Corp. Дата публикации: 2011-10-01.

Method for repairing low-k dielectric damage

Номер патента: TW201123315A. Автор: Kenji Takeshita,Andrew D Bailey Iii,Stephen M Sirard. Владелец: Lam Res Corp. Дата публикации: 2011-07-01.

Method for repairing low-k dielectric damage

Номер патента: SG10201406202TA. Автор: Kenji Takeshita,Iii Andrew D Bailey,Stephen M Sirard. Владелец: Lam Res Corp. Дата публикации: 2014-11-27.

Embedded memory in back-end-of-line low-k dielectric

Номер патента: US20180286694A1. Автор: Lei Wang,Chim Seng Seet,Kai Hung Alex See. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2018-10-04.

Methods of low-k dielectric and metal process integration

Номер патента: TWI451493B. Автор: Yezdi Dordi,Arthur M Howald. Владелец: Lam Res Corp. Дата публикации: 2014-09-01.

Methods of low-K dielectric and metal process integration

Номер патента: CN101809716A. Автор: 耶迪·N·道尔迪,阿瑟霍·M·霍瓦德. Владелец: Lam Research Corp. Дата публикации: 2010-08-18.

UV treatment for low-k dielectric layer in damascene structure

Номер патента: US7429542B2. Автор: Tien-I Bao,Keng-Chu Lin,Chung-Chi Ko. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2008-09-30.

Patterning Method For Low-K Inter-Metal Dielectrics And Associated Semiconductor Device

Номер патента: US20160307795A1. Автор: Fukuo Noritaka. Владелец: SanDisk Technologies LLC. Дата публикации: 2016-10-20.

Barrier film integrity on porous low k dielectrics by application of a hydrocarbon plasma treatment

Номер патента: US7242098B2. Автор: Thomas Joseph Abell. Владелец: Intel Corp. Дата публикации: 2007-07-10.

LOW-K DIELECTRIC INTERCONNECT SYSTEMS

Номер патента: US20180005882A1. Автор: Ko Chung-Chi,SHIH Po-Cheng,CHOU Chia Cheng. Владелец: . Дата публикации: 2018-01-04.

ANISOTROPIC MATERIAL DAMAGE PROCESS FOR ETCHING LOW-K DIELECTRIC MATERIALS

Номер патента: US20160020140A1. Автор: RYAN Errol Todd. Владелец: . Дата публикации: 2016-01-21.

METHOD FOR PRODUCING AN INTEGRATED CIRCUIT INCLUDING A METALLIZATION LAYER COMPRISING LOW K DIELECTRIC MATERIAL

Номер патента: US20170301583A1. Автор: Zhang Liping,Baklanov Mikhail. Владелец: . Дата публикации: 2017-10-19.

Underlayer liner for copper damascene in low k dielectric

Номер патента: US6417106B1. Автор: Tsu Shih,Ying-Ho Chen,Syun-Ming Jang,Jih-Churng Twu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2002-07-09.

Methods for fabricating interconnect structures having low K dielectric properties

Номер патента: CN100530571C. Автор: Y·戈特基斯,R·基斯特勒,L·罗姆,林得华. Владелец: Lam Research Corp. Дата публикации: 2009-08-19.

Method of plasma etching low-k dielectric materials

Номер патента: CN1860595A. Автор: S·Y·李,H·H·朱,S·M·R·萨德加蒂,J·V·泰茨,B·A·赫尔莫. Владелец: Lam Research Corp. Дата публикации: 2006-11-08.

Method for forming low-k dielectric layer and structure thereof

Номер патента: KR100796506B1. Автор: 황종택. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2008-01-21.

Plasma induced depletion of fluorine from surfaces of fluorinated low-k dielectric materials

Номер патента: US20020063312A1. Автор: Ebrahim Andideh,Steven Towle,Lawrence Wong. Владелец: Steven Towle. Дата публикации: 2002-05-30.

Method of degassing low k dielectric for metal deposition

Номер патента: US6436850B1. Автор: Guarionex Morales. Владелец: Guarionex Morales. Дата публикации: 2002-08-20.

Low-k dielectric process for multilevel interconnection using microcavity engineering during electric circuit manufacture

Номер патента: US20060084262A1. Автор: SHU QIN. Владелец: Individual. Дата публикации: 2006-04-20.

Method of plasma etching low-k dielectric materials

Номер патента: US20030024902A1. Автор: SI Li,James Tietz,Helen Zhu,Bryan Helmer,S. Sadjadi. Владелец: Individual. Дата публикации: 2003-02-06.

Methods for fabricating interconnect structures having low k dielectric properties

Номер патента: EP1459373A1. Автор: Yehiel Gotkis,Rodney Kistler,Leonid Romm,Te Hua Lin. Владелец: Lam Research Corp. Дата публикации: 2004-09-22.

Chemical treatment of low-k dielectric films

Номер патента: EP1445797B1. Автор: Andrew J. McKerrow,Changming Jin,Patricia B. Smith,Heungsoo Park,Phillip D. Matz. Владелец: Texas Instruments Inc. Дата публикации: 2013-05-29.

Methods for fabricating interconnect structures having low k dielectric properties

Номер патента: AU2002360756A1. Автор: Yehiel Gotkis,Rodney Kistler,Leonid Romm,Te Hua Lin. Владелец: Lam Research Corp. Дата публикации: 2003-07-24.

Method of etching a low-k dielectric layer

Номер патента: US20040121604A1. Автор: Chun-Feng Nieh,Ching-Fan Wang,Fung-Hsu Cheng,Zhen-Long Chen. Владелец: SILICON INTEGRATED SYSTEMS CORP. Дата публикации: 2004-06-24.

Low damage photoresist strip method for low-k dielectrics

Номер патента: WO2011072042A2. Автор: David Cheung,Ted Li,Kirk Ostrowski,Anirban Guha. Владелец: NOVELLUS SYSTEMS, INC.. Дата публикации: 2011-06-16.

Mechanically robust interconnect for low-k dielectric material using post treatment

Номер патента: US20060073416A1. Автор: Jun He,Jihperng Leu. Владелец: Individual. Дата публикации: 2006-04-06.

Low damage photoresist strip method for low-k dielectrics

Номер патента: TWI562225B. Автор: David Cheung,Ted Li,Kirk Ostrowski,Anirban Guha. Владелец: Novellus Systems Inc. Дата публикации: 2016-12-11.

A trench etch process for low-k dielectrics

Номер патента: TW569380B. Автор: S M Reza Sadjadi,Stephan Lassig,David R Pirkle,Sean Kang,SiYi Li. Владелец: Lam Res Corp. Дата публикации: 2004-01-01.

Automatically Adjusting Baking Process for Low-k Dielectric Material

Номер патента: US20160086865A1. Автор: Lin Keng-Chu,Chou Chia-Cheng,Ko Chung-Chi,Jeng Shwang-Ming. Владелец: . Дата публикации: 2016-03-24.

Mechanically robust interconnect for low-k dielectric material using post treatment

Номер патента: US20040058277A1. Автор: Jun He,Jihperng Leu. Владелец: Intel Corp. Дата публикации: 2004-03-25.

Semiconductor chip including low-k dielectric layer

Номер патента: US11776894B2. Автор: Junghoon Han,Junyong NOH,Yeonjin Lee,Minjung Choi,Yunrae Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-10-03.

Bi-layer capping of low-k dielectric films

Номер патента: WO2008036810A2. Автор: Christopher Dennis Bencher,Ping Xu. Владелец: Applied Materials, Inc.. Дата публикации: 2008-03-27.

Semiconductor chip including low-k dielectric layer

Номер патента: US20230230915A1. Автор: Junghoon Han,Junyong NOH,Yeonjin Lee,Minjung Choi,Yunrae Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-07-20.

Methods of forming semiconductor devices including low-k dielectric layer

Номер патента: US20140370704A1. Автор: Kyu-hee Han,Seung-Hyuk Choi,Sang-hoon Ahn. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2014-12-18.

Low k dielectric deposition via UV driven photopolymerization

Номер патента: US09916977B2. Автор: Patrick A. Van Cleemput,Jonathan D. Mohn,Nicholas Muga Ndiege. Владелец: Lam Research Corp. Дата публикации: 2018-03-13.

Ultraviolet assisted pore sealing of porous low k dielectric films

Номер патента: CN100530564C. Автор: I·贝里,C·沃尔弗里德,O·埃斯科尔恰. Владелец: Axcelis Technologies Inc. Дата публикации: 2009-08-19.

Vapor phase repair and pore sealing of low-k dielectric materials

Номер патента: WO2009085098A1. Автор: James DeYoung. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2009-07-09.

Vapor phase repair and pore sealing of low-k dielectrics

Номер патента: JP5449189B2. Автор: デヨン・ジェイムズ. Владелец: Lam Research Corp. Дата публикации: 2014-03-19.

Anisotropic material damage process for etching low-k dielectric materials

Номер патента: US20170125288A1. Автор: Errol Todd Ryan. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-04.

Interconnect with high quality ultra-low-k dielectric

Номер патента: US20200258776A1. Автор: Kangguo Cheng. Владелец: International Business Machines Corp. Дата публикации: 2020-08-13.

Low-k dielectric functional imprinting materials

Номер патента: EP1805559A2. Автор: JUN Sung Chun,Frank Y. Xu,Michael P. C. Watts. Владелец: Molecular Imprints Inc. Дата публикации: 2007-07-11.

METHOD AND STRUCTURE FOR LOW-K FACE-TO-FACE BONDED WAFER DICING

Номер патента: US20170062399A1. Автор: ENGLAND Luke,Alapati Ramakanth. Владелец: . Дата публикации: 2017-03-02.

Patterning Method For Low-K Inter-Metal Dielectrics And Associated Semiconductor Device

Номер патента: US20150311112A1. Автор: Fukuo Noritaka. Владелец: SANDISK TECHNOLOGIES INC.. Дата публикации: 2015-10-29.

Atomic Layer Deposition For Low-K Trench Protection During Etch

Номер патента: US20190355617A1. Автор: SUN Xinghua,"OMeara David",Raley Angelique,Lu Yen-Tien. Владелец: . Дата публикации: 2019-11-21.

Patterning method for low-k inter-metal dielectrics and associated semiconductor device

Номер патента: US9666479B2. Автор: Noritaka Fukuo. Владелец: SanDisk Technologies LLC. Дата публикации: 2017-05-30.

Germanium-containing dielectric barrier for low-k process

Номер патента: US7816789B2. Автор: Chen-Hua Yu,Chung-Shi Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2010-10-19.

Hard mask for low-k interlayer dielectric patterning

Номер патента: US7649264B2. Автор: Magdy S. Abdelrahman,Tony V. Mule. Владелец: Intel Corp. Дата публикации: 2010-01-19.

Reduction of shrinkage of poly(arylene ether) for low-K IMD

Номер патента: US6495478B1. Автор: Syun-Ming Jang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2002-12-17.

Structure and Method for a Low-K Dielectric With Pillar-Type Air-Gaps

Номер патента: US20230369228A1. Автор: Tien-I Bao,Chung-Ju Lee,Chih Wei Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-16.

SELECTIVE DEPOSITION OF DIELECTRICS ON ULTRA-LOW K DIELECTRICS

Номер патента: US20200135544A1. Автор: Shobha Hosadurga,Wojtecki Rudy J.,De Silva Ekmini A.,Arellano Noel. Владелец: . Дата публикации: 2020-04-30.

Zeolite—sol gel nano-composite low k dielectric

Номер патента: US7674390B2. Автор: Hai Deng. Владелец: Intel Corp. Дата публикации: 2010-03-09.

Method of manufacturing a low k dielectric film and manufacturing air-gap using the low k dielectric film

Номер патента: KR101015534B1. Автор: 양재영. Владелец: 주식회사 동부하이텍. Дата публикации: 2011-02-16.

Precleaning process for metal plug that minimizes damage to low-K dielectric

Номер патента: TW473846B. Автор: Kenny King-Tai Ngan,Suraj Rengarajan,Barney M Cohen. Владелец: Applied Materials Inc. Дата публикации: 2002-01-21.

Structure and method for isolating porous low-k dielectric films

Номер патента: TW200305221A. Автор: Steve Lytle,Kurt G Steiner,Scott Jessen,Gerald Gibson,Susan Vitkavage. Владелец: AGERE SYSTEMS INC. Дата публикации: 2003-10-16.

Dual damascene structure formed of low-k dielectric materials

Номер патента: TWI253713B. Автор: Jyu-Horng Shieh,Yi-Nien Su. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2006-04-21.

Dual in-laid integrated circuit structure with selectively positioned low-k dielectric isolation and method of formation

Номер патента: TW386292B. Автор: Thomas Wezel Jeffrey. Владелец: Motorola Inc. Дата публикации: 2000-04-01.

Thermal low k dielectrics

Номер патента: US20030170973A1. Автор: Charles May,Derryl Allman. Владелец: Individual. Дата публикации: 2003-09-11.

Method and apparatus for treating low k dielectric layers to reduce diffusion

Номер патента: TW521304B. Автор: Ping Xu,Kegang Huang,Judy L Huang. Владелец: Applied Materials Inc. Дата публикации: 2003-02-21.

Integrated circuit system with ultra-low k dielectric and method of manufacture thereof

Номер патента: US20120168203A1. Автор: Ravi Prakash Srivastava. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2012-07-05.

Method of fabricating an ultra low-k dielectric self-aligned via

Номер патента: US20140024220A1. Автор: Nikolaos Bekiaris,Sean S. Kang,Chih-Yang Chang,Chia-Ling Kao. Владелец: Individual. Дата публикации: 2014-01-23.

Low-k Dielectric and Processes for Forming Same

Номер патента: US20200006059A1. Автор: Li Chun Te,Tien-I Bao,Po-Cheng Shih,Chia Cheng Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-02.

INTERCONNECT SYSTEM WITH IMPROVED LOW-K DIELECTRICS

Номер патента: US20200043721A1. Автор: Liou Joung-Wei,Chiu Yi-Wei,Ke Yu Lun. Владелец: . Дата публикации: 2020-02-06.

METHOD FOR IMPROVING ADHESION BETWEEN POROUS LOW K DIELECTRIC AND BARRIER LAYER

Номер патента: US20160049328A1. Автор: ZHOU MING. Владелец: . Дата публикации: 2016-02-18.

Magnetic random access memory with permanent photo-patternable low-k dielectric

Номер патента: US20200066792A1. Автор: Qinghuang Lin. Владелец: International Business Machines Corp. Дата публикации: 2020-02-27.

MAGNETIC RANDOM ACCESS MEMORY WITH PERMANENT PHOTO-PATTERNABLE LOW-K DIELECTRIC

Номер патента: US20200066793A1. Автор: Lin Qinghuang. Владелец: . Дата публикации: 2020-02-27.

FLOWABLE LOW-K DIELECTRIC GAPFILL TREATMENT

Номер патента: US20160093488A1. Автор: Mallick Abhijit Basu,Kamath Sanjay,Thadani Kiran V.. Владелец: Applied Materials, Inc.. Дата публикации: 2016-03-31.

Low-k dielectric damage repair by vapor-phase chemical exposure

Номер патента: US20150111396A1. Автор: Alexandros T. Demos,Kelvin Chan. Владелец: Applied Materials Inc. Дата публикации: 2015-04-23.

Compositions of low-k dielectric sols containing nonmetallic catalysts

Номер патента: US20160111275A1. Автор: Mark L.F. Phillips,Travis Savage. Владелец: SBA Materials Inc. Дата публикации: 2016-04-21.

Anisotropic material damage process for etching low-k dielectric materials

Номер патента: US20170125288A1. Автор: Errol Todd Ryan. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-04.

MAGNETIC RANDOM ACCESS MEMORY WITH PERMANENT PHOTO-PATTERNABLE LOW-K DIELECTRIC

Номер патента: US20190131346A1. Автор: Lin Qinghuang. Владелец: . Дата публикации: 2019-05-02.

Low-K Dielectric Layer and Porogen

Номер патента: US20170148676A1. Автор: Liou Joung-Wei,Yang Hui-Chun,Peng Yu-Yun,Lin Keng-Chu. Владелец: . Дата публикации: 2017-05-25.

Low-k Dielectric and Processes for Forming Same

Номер патента: US20210183646A1. Автор: BAO Tien-I,SHIH Po-Cheng,CHOU Chia Cheng,Te Li Chun. Владелец: . Дата публикации: 2021-06-17.

Low-k Dielectric and Processes for Forming Same

Номер патента: US20190164748A1. Автор: BAO Tien-I,SHIH Po-Cheng,CHOU Chia Cheng,Te Li Chun. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2019-05-30.

Structure and Method for a Low-K Dielectric with Pillar-Type Air-Gaps

Номер патента: US20140264896A1. Автор: Tien-I Bao,Chung-Ju Lee,Chih Wei Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-09-18.

LOW DAMAGE LOW-K DIELECTRIC ETCH

Номер патента: US20170178955A1. Автор: Jiang Ping,Farber David Gerald. Владелец: . Дата публикации: 2017-06-22.

COMPOSITE MANGANESE NITRIDE / LOW-K DIELECTRIC CAP

Номер патента: US20170179034A1. Автор: Nogami Takeshi,Nguyen Son V.,Canaperi Donald F.,Priyadarshini Deepika. Владелец: . Дата публикации: 2017-06-22.

LOW-K DIELECTRIC DAMAGE PREVENTION

Номер патента: US20210233809A1. Автор: Hsu Chia-Lin,Lee Chen-Yi. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2021-07-29.

Structure and Method for a Low-K Dielectric with Pillar-Type Air-Gaps

Номер патента: US20160225716A1. Автор: Tien-I Bao,Chung-Ju Lee,Chih Wei Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-04.

Interconnect with high quality ultra-low-k dielectric

Номер патента: US20200258776A1. Автор: Kangguo Cheng. Владелец: International Business Machines Corp. Дата публикации: 2020-08-13.

Through-Silicon Via With Low-K Dielectric Liner

Номер патента: US20150287664A1. Автор: Chen Ming-Fa. Владелец: . Дата публикации: 2015-10-08.

METHODS OF FORMING SEMICONDUCTOR DEVICES INCLUDING LOW-K DIELECTRIC LAYER

Номер патента: US20140370704A1. Автор: Han Kyu-hee,Ahn Sang-hoon,CHOI Seung-hyuk. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2014-12-18.

Method for improving adhesion between porous low k dielectric and barrier layer

Номер патента: US20170309513A1. Автор: Ming Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-10-26.

SEMICONDUCTOR DEVICE HAVING AN EXTRA LOW-K DIELECTRIC LAYER AND METHOD OF FORMING THE SAME

Номер патента: US20180308801A1. Автор: SHIH Po-Cheng,CHOU Chia Cheng,Te Li Chun. Владелец: . Дата публикации: 2018-10-25.

COMPOSITE MANGANESE NITRIDE / LOW-K DIELECTRIC CAP

Номер патента: US20170317032A1. Автор: Nogami Takeshi,Nguyen Son V.,Canaperi Donald F.,Priyadarshini Deepika. Владелец: . Дата публикации: 2017-11-02.

Through-Silicon Via With Low-K Dielectric Liner

Номер патента: US20200335428A1. Автор: Chen Ming-Fa. Владелец: . Дата публикации: 2020-10-22.

SEMICONDUCTOR DEVICE HAVING AN EXTRA LOW-K DIELECTRIC LAYER AND METHOD OF FORMING THE SAME

Номер патента: US20200335449A1. Автор: SHIH Po-Cheng,CHOU Chia Cheng,Te Li Chun. Владелец: . Дата публикации: 2020-10-22.

REPLACEMENT CONTACT CUTS WITH AN ENCAPSULATED LOW-K DIELECTRIC

Номер патента: US20180366324A1. Автор: Huang Haigou,CAO Huy,Gao Jinsheng,Chao Tai Fong. Владелец: . Дата публикации: 2018-12-20.

Fingered capacitor with low-k and ultra-low-k dielectric layers

Номер патента: US20200357881A1. Автор: YU Chen,Cheong Min Hong,Chunshan YIN. Владелец: NXP BV. Дата публикации: 2020-11-12.

Low-K Dielectric With Self-Forming Barrier Layer

Номер патента: US20200388532A1. Автор: Yi Ding,Deenesh Padhi,Kang Sub Yim,Bo Xie,Shaunak Mukherjee. Владелец: Applied Materials Inc. Дата публикации: 2020-12-10.

Structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures

Номер патента: US7115995B2. Автор: Lawrence D. Wong. Владелец: Intel Corp. Дата публикации: 2006-10-03.

Integrating metal with ultra low-k dielectrics

Номер патента: EP1325516A2. Автор: HUI Wang. Владелец: ACM Research Inc. Дата публикации: 2003-07-09.

Integrating metal with ultra low-k dielectrics

Номер патента: EP1325516A4. Автор: HUI Wang. Владелец: ACM Research Inc. Дата публикации: 2007-06-06.

Method of forming dual-damascene interconnect structures employing low-k dielectric materials

Номер патента: US6627539B1. Автор: Bin Zhao,Maureen R. Brongo. Владелец: Newport Fab LLC. Дата публикации: 2003-09-30.

Silica zeolite low-k dielectric thin films

Номер патента: WO2002007191A2. Автор: Yushan Yan,Huanting Wang,Zhengbao Wang. Владелец: The Regents Of The Universty Of California. Дата публикации: 2002-01-24.

Method of depositing a low K dielectric with organo silane

Номер патента: US6511909B1. Автор: Wai-Fan Yau,David Cheung,Shin-puu Jeng,Kuowei Liu,Yung-Cheng Yu. Владелец: Applied Materials Inc. Дата публикации: 2003-01-28.

Method of depositing a low k dielectric with organo silane

Номер патента: US6054379A. Автор: Wai-Fan Yau,David Cheung,Shin-puu Jeng,Kuowei Liu,Yung-Cheng Yu. Владелец: Applied Materials Inc. Дата публикации: 2000-04-25.

SEMICONDUCTOR DEVICE HAVING AN EXTRA LOW-K DIELECTRIC LAYER AND METHOD OF FORMING THE SAME

Номер патента: US20220359412A1. Автор: SHIH Po-Cheng,CHOU Chia Cheng,Te Li Chun. Владелец: . Дата публикации: 2022-11-10.

Integrating metal with ultra low-k dielectrics

Номер патента: KR101031682B1. Автор: 후이 왕. Владелец: 에이씨엠 리서치, 인코포레이티드. Дата публикации: 2011-04-29.

Repair of carbon depletion in low-k dielectric films

Номер патента: CN1741254A. Автор: F·韦伯. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2006-03-01.

Method of low-k dielectric film repair

Номер патента: KR101461175B1. Автор: 라리오스 존 엠 데,석민 윤,마크 윌콕슨. Владелец: 램 리써치 코포레이션. Дата публикации: 2014-11-18.

Integrated circuit system with ultra-low K dielectric and method of manufacture thereof

Номер патента: CN102569174B. Автор: R·P·斯里瓦斯塔瓦. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2015-06-10.

Structural reinforcement of highly porous low k dielectric films by cu diffusion barrier structures

Номер патента: WO2002050894A2. Автор: Lawrence D. Wong. Владелец: Intel Corporation. Дата публикации: 2002-06-27.

Dual-damascene interconnect structures employing low-k dielectric materials

Номер патента: EP1080495A1. Автор: Bin Zhao,Maureen R. Brongo. Владелец: Conexant Systems LLC. Дата публикации: 2001-03-07.

Structural reinforcement of highly porous low k dielectric films by cu diffusion barrier structures

Номер патента: CN1276499C. Автор: L·D·王. Владелец: Intel Corp. Дата публикации: 2006-09-20.

Curing low-k dielectrics for improving mechanical strength

Номер патента: US8853858B2. Автор: Shwang-Ming Jeng,Keng-Chu Lin,Joung-Wei Liou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-10-07.

Method of making a semiconductor device having a low k dielectric

Номер патента: US20050130405A1. Автор: Michael Turner,Gregory Spencer. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2005-06-16.

Surface modification of low-k dielectric materials

Номер патента: WO2009012184A1. Автор: Nitin Kumar,Jinhong Tong,Chi-I Lang,Tony Chiang,Zachary M. Fresco,Anh Duong,Anna Tsimelzon. Владелец: INTERMOLECULAR, INC.. Дата публикации: 2009-01-22.

Method of protecting a low-K dielectric material

Номер патента: US6268294B1. Автор: Weng Chang,Syun-Ming Jang,Shwangming Jeng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2001-07-31.

Preparation of low-K dielectric interlayer films using Si-containing resists

Номер патента: DE10314152B4. Автор: Zhijian Lu. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2006-04-13.

Structural reinforcement of highly porous low k dielectric films by cu diffusion barrier structures

Номер патента: EP1356509B1. Автор: Lawrence D. Wong. Владелец: Intel Corp. Дата публикации: 2012-10-24.

Method of depositing a low K dielectric with organo silane

Номер патента: US6730593B2. Автор: Wai-Fan Yau,David Cheung,Shin-puu Jeng,Kuowei Liu,Yung-Cheng Yu. Владелец: Applied Materials Inc. Дата публикации: 2004-05-04.

Magnetic random access memory with permanent photo-patternable low-K dielectric

Номер патента: US10665636B2. Автор: Qinghuang Lin. Владелец: International Business Machines Corp. Дата публикации: 2020-05-26.

Through-Silicon Via With Low-K Dielectric Liner

Номер патента: KR101074762B1. Автор: 밍-파 첸. Владелец: 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드. Дата публикации: 2011-10-19.

Semiconductor devices having low-k dielectric

Номер патента: KR20050030330A. Автор: 김희석,이성해,김진균,안재영,임주완. Владелец: 삼성전자주식회사. Дата публикации: 2005-03-30.

Method for forming openings in low-k dielectric layers

Номер патента: US20040063308A1. Автор: Syun-Ming Jang,Tien-I Bao,Lih-Ping Li. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2004-04-01.

Method of forming a low k dielectric in a semiconductor manufacturing process

Номер патента: WO2005045914A1. Автор: James N. Dougan,Lesley A. Smith. Владелец: Freescale Semiconductor, Inc.. Дата публикации: 2005-05-19.

Repairing and restoring strength of etch-damaged low-k dielectric materials

Номер патента: US7807219B2. Автор: James DeYoung. Владелец: Lam Research Corp. Дата публикации: 2010-10-05.

Integrated circuit system with ultra-low k dielectric and method of manufacture thereof

Номер патента: TWI458044B. Автор: Ravi Prakash Srivastava. Владелец: Globalfoundries Sg Pte Ltd. Дата публикации: 2014-10-21.

Low-k dielectric with self-forming barrier layer

Номер патента: WO2020251880A1. Автор: Yi Ding,Deenesh Padhi,Kang Sub Yim,Bo Xie,Shaunak Mukherjee. Владелец: Applied Materials, Inc.. Дата публикации: 2020-12-17.

Silica zeolite low-k dielectric thin films and methods for their production

Номер патента: US6573131B2. Автор: Yushan Yan,Huanting Wang,Zhengbao Wang. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2003-06-03.

Vapor treatment for repairing damage of low-k dielectric

Номер патента: US6713382B1. Автор: Suzette K. Pangrle,Lynne A. Okada,Calvin Gabriel,Ecran Adem. Владелец: Advanced Micro Devices Inc. Дата публикации: 2004-03-30.

Replacement contact cuts with an encapsulated low-K dielectric

Номер патента: US10256089B2. Автор: Huy Cao,Haigou Huang,Jinsheng Gao,Tai Fong Chao. Владелец: Globalfoundries Inc. Дата публикации: 2019-04-09.

Low-k dielectric layer with overlying adhesion layer

Номер патента: US6939792B1. Автор: Mira Ben-Tzur,Maryam Jahangiri. Владелец: Cypress Semiconductor Corp. Дата публикации: 2005-09-06.

Integrating metal with ultra low-k dielectrics

Номер патента: CA2421799A1. Автор: HUI Wang. Владелец: Individual. Дата публикации: 2002-03-21.

Low-K dielectric layer and porogen

Номер патента: US9564383B2. Автор: Yu-Yun Peng,Keng-Chu Lin,Joung-Wei Liou,Hui-Chun Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-07.

Use of ta/tan for preventing copper contamination of low-k dielectric layers

Номер патента: US6663787B1. Автор: LU You,Christy Woo,Pin Chin Connie Wang. Владелец: Advanced Micro Devices Inc. Дата публикации: 2003-12-16.

Interconnect System with Improved Low-K Dielectrics

Номер патента: US20210313174A1. Автор: Yi-Wei Chiu,Yu Lun Ke,Joung-Wei Liou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-10-07.

Low-K dielectric with self-forming barrier layer

Номер патента: CN113939896A. Автор: 丁祎,K·S·伊姆,S·慕克吉,B·谢,D·帕德希. Владелец: Applied Materials Inc. Дата публикации: 2022-01-14.

Low-K dielectric functional imprinting materials

Номер патента: US8889332B2. Автор: JUN Sung Chun,Frank Y. Xu,Michael P. C. Watts. Владелец: Canon Nanotechnologies Inc. Дата публикации: 2014-11-18.

Low-k dielectric and processes of its production

Номер патента: DE102018108893A1. Автор: Li Chun Te,Tien-I Bao,Po-Cheng Shih,Chia Cheng Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-05-29.

Low-k dielectric functional imprinting materials

Номер патента: WO2006044690A3. Автор: JUN Sung Chun,Michael P C Watts,Frank Y Xu. Владелец: Molecular Imprints Inc. Дата публикации: 2006-08-10.

Nitrogen-free hard mask over low K dielectric

Номер патента: US20040185674A1. Автор: Hichem M'Saad,Sang Ahn. Владелец: Applied Materials Inc. Дата публикации: 2004-09-23.

Method for depositing a low k dielectric film (k<3.5) for hard mask application

Номер патента: US20030113995A1. Автор: Wen Zhu,Li-Qun Xia,Ping Xu,Tzu-Fang Huang,Louis Yang. Владелец: Applied Materials Inc. Дата публикации: 2003-06-19.

Interconnect structures incorporating low-k dielectric barrier films

Номер патента: TWI265577B. Автор: Stephen M Gates,Jeffrey C Hedrick,Dirk Pfeiffer,Elbert E Huang,Stephan A Cohen. Владелец: Ibm. Дата публикации: 2006-11-01.

Repairing damage to low-k dielectric materials using silylating agents

Номер патента: TW200531183A. Автор: Ananth Naman,Anil S Bhanap,Teresa A Ramos,Nancy Iwamoto,Roger Y Leung. Владелец: Honeywell Int Inc. Дата публикации: 2005-09-16.

Low-k dielectric layer formed from aluminosilicate precursors

Номер патента: TW200629414A. Автор: Michael Goodner. Владелец: Intel Corp. Дата публикации: 2006-08-16.

Interconnect structures with patternable low-k dielectrics and method of fabricating same

Номер патента: TW200926292A. Автор: Shyng-Tsong Chen,qing-huang Lin. Владелец: Ibm. Дата публикации: 2009-06-16.

Porous low-k dielectric interconnect structures

Номер патента: AU2002361679A8. Автор: Kelly Malone,Jeffrey C Hedrick,Kang-Wook Lee,Christy S Tyberg,Ann R Fornof. Владелец: International Business Machines Corp. Дата публикации: 2003-07-09.

Dual damascene structure formed of low-k dielectric materials

Номер патента: TW200534429A. Автор: Jyu-Horng Shieh,Yi-Nien Su. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2005-10-16.

Method of forming chip type low-k dielectric layer

Номер патента: TW200631129A. Автор: Kuan-Jui Huang,Shu-Hua Hu,Shih-Min Huang,Chin-Chang Pan. Владелец: Touch Micro System Tech. Дата публикации: 2006-09-01.

Methods of processing low k dielectric films

Номер патента: TW201232660A. Автор: Mehul Naik,Annamalai Lakshmanan,See-Eng Phan,Paul F Ma,Jennifer Shan,zhen-jiang Cui. Владелец: Applied Materials Inc. Дата публикации: 2012-08-01.

Semiconductor device having a side wall insulating film and a manufacturing method thereof

Номер патента: US20050230749A1. Автор: Amane Oishi. Владелец: Toshiba Corp. Дата публикации: 2005-10-20.

Semiconductor device having trench positioned in a substrate and aligned with a side wall of a bit line contact plug

Номер патента: US11882692B2. Автор: Jae Houb CHUN. Владелец: SK hynix Inc. Дата публикации: 2024-01-23.

Methods of pore sealing and metal encapsulation in porous low k interconnect

Номер патента: WO2004105124A1. Автор: Dung-Ching Perng. Владелец: Jsr Micro, Inc.. Дата публикации: 2004-12-02.

Method of isolating transistors using LOW-K dielectrics and resultant semiconductor device

Номер патента: TW395012B. Автор: Howard L Tigelaar. Владелец: Texas Instruments Inc. Дата публикации: 2000-06-21.

AIR-GAP STRUCTURE FORMATION WITH ULTRA LOW-K DIELECTRIC LAYER ON PECVD LOW-K CHAMBER

Номер патента: US20160099167A1. Автор: Demos Alexandros T.,Yim Kang Sub,KIM Taewan. Владелец: . Дата публикации: 2016-04-07.

Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers

Номер патента: IL225744B. Автор: . Владелец: BASF SE. Дата публикации: 2018-01-31.

Non-volatile memory devices including low-K dielectric gaps in substrates

Номер патента: US8536652B2. Автор: Myoung-Bum Lee,Bo-Young Lee,Jong-Wan Choi,Jin-gi Hong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2013-09-17.

Die edge seal employing low-K dielectric material

Номер патента: US9406625B2. Автор: Zhijie Wang,Zhigang Bai,Dehong Ye,Huchang Zhang,Jiyong Niu. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2016-08-02.

Die edge seal employing low-k dielectric material

Номер патента: US20150371957A1. Автор: Zhijie Wang,Zhigang Bai,Dehong Ye,Huchang Zhang,Jiyong Niu. Владелец: Individual. Дата публикации: 2015-12-24.

Method and solution for preparing SEM samples for low-K materials

Номер патента: US20030157802A1. Автор: Jane-Bai Lai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2003-08-21.

Ultra-low k dielectric layer and manufacturing method thereof

Номер патента: US20200357632A1. Автор: Yiqi GONG. Владелец: Shanghai Huali Integrated Circuit Manufacturing Co Ltd. Дата публикации: 2020-11-12.

Ultra-low k dielectric layer and manufacturing method thereof

Номер патента: US11309180B2. Автор: Yiqi GONG. Владелец: Shanghai Huali Integrated Circuit Manufacturing Co Ltd. Дата публикации: 2022-04-19.

Method of patterning a low-k dielectric film

Номер патента: WO2014011382A1. Автор: Srinivas D. Nemani,Dmitry Lubomirsky,Qingjun Zhou,Sergey G. BELOSTOTSKIY,Jeremiah T. Pender. Владелец: Applied Materials, Inc.. Дата публикации: 2014-01-16.

Field effect transistor with a hybrid gate spacer including a low-k dielectric material

Номер патента: US11908940B2. Автор: Szuya S. LIAO,Pratik A. Patel. Владелец: Intel Corp. Дата публикации: 2024-02-20.

Method For Reducing Reactive Ion Etch Lag in Low K Dielectric Etching

Номер патента: US20180358227A1. Автор: Christopher Cole,Angelique D. Raley,Andrew W. METZ. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-12-13.

Low-k dielectric films

Номер патента: WO2021030309A1. Автор: Kang Sub Yim,Mark Saly,Lakmal C. Kalutarage,Shaunak Mukherjee,William J. Durand. Владелец: Applied Materials, Inc.. Дата публикации: 2021-02-18.

Process for producing low-k dielectric films

Номер патента: EP1642329B1. Автор: Adolf KÜHNLE,Carsten Jost,Hartwig Rauleder,Hartmut Fischer,Klaas Timmer,Corne Rentrop,Roelant Van Dam. Владелец: EVONIK DEGUSSA GmbH. Дата публикации: 2011-10-05.

Method for etching low k dielectrics

Номер патента: WO1999052135A1. Автор: YAN Ye,Chun Yan,Diana Xiaobing Ma,Gary C. Hsueh. Владелец: Applied Materials, Inc.. Дата публикации: 1999-10-14.

Laser processing of workpieces containing low-k dielectric material

Номер патента: EP2030224A1. Автор: Brian W. Baird. Владелец: Electro Scientific Industries Inc. Дата публикации: 2009-03-04.

Crackstop and oxygen barrier for low-k dielectric integrated circuits

Номер патента: TW506052B. Автор: I I I Henry A Nye,Vincent J Mcgahay,Kurt A Tallman. Владелец: Ibm. Дата публикации: 2002-10-11.

Compliant passivated edge seal for low-k interconnect structures

Номер патента: WO2005067598A2. Автор: Daniel Edelstein,Lee M. Nicholson. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2005-07-28.

Low-k dielectric aerogel and preparation method therefor

Номер патента: US20230098015A1. Автор: Jean-Hong CHEN,Shiu-Shiu Chen. Владелец: Taiwan Aerogel Technology Material Co Ltd. Дата публикации: 2023-03-30.

Low-k dielectric aerogel and preparation method therefor

Номер патента: US12054585B2. Автор: Jean-Hong CHEN,Shiu-Shiu Chen. Владелец: Taiwan Aerogel Technology Material Co Ltd. Дата публикации: 2024-08-06.

Semiconductor element with bonding layer having low-k dielectric material

Номер патента: US20240332227A1. Автор: Cyprian Emeka Uzoh,Oliver Zhao. Владелец: Adeia Semiconductor Bonding Technologies Inc. Дата публикации: 2024-10-03.

Semiconductor element with bonding layer having low-k dielectric material

Номер патента: WO2024206337A1. Автор: Cyprian Emeka Uzoh,Oliver Zhao. Владелец: Adeia Semiconductor Bonding Technologies Inc.. Дата публикации: 2024-10-03.

Wafer-level-package device with peripheral side wall protection

Номер патента: US20240274484A1. Автор: Wen-Liang Huang,Chung-Hsiung Ho,Jeng-Sian Wu. Владелец: PanJit International Inc. Дата публикации: 2024-08-15.

Plasma display panel with a low k dielectric layer

Номер патента: US20020190651A1. Автор: Dan Maydan,Quanyuan Shang,Takako Takehara,Kam Law,William Harshbarger,Taekyung Won. Владелец: Applied Materials Inc. Дата публикации: 2002-12-19.

Plasma display panel with a low k dielectric layer

Номер патента: EP1415318A2. Автор: Dan Maydan,Quanyuan Shang,Takako Takehara,William R. Harshbarger,Kam S. Law,Taekyung Won. Владелец: Applied Materials Inc. Дата публикации: 2004-05-06.

LOW DAMAGE PHOTORESIST STRIP METHOD FOR LOW-K DIELECTRICS

Номер патента: US20140120733A1. Автор: Ostrowski Kirk,Cheung David,Li Ted,Guha Anirban. Владелец: NOVELLUS SYSTEMS, INC.. Дата публикации: 2014-05-01.

HIGH UV CURING EFFICIENCY FOR LOW-K DIELECTRICS

Номер патента: US20150104953A1. Автор: Yang Hui-Chun,Ko Chung-Chi,Hsu Kuang-Yuan,SHIH Po-Cheng. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2015-04-16.

Barrier slurry for low-k dielectrics.

Номер патента: MY150487A. Автор: Shoutian Li,SINGH,Grumbine,Jeffrey Dysard. Владелец: Cabot Microelectronics Corp. Дата публикации: 2014-01-30.

Barrier slurry for low-k dielectrics

Номер патента: WO2010033156A2. Автор: Shoutian Li,Steven Grumbine,Jeffrey Dysard,Pankaj Singh. Владелец: Cabot Microelectronics Corporation. Дата публикации: 2010-03-25.

Barrier slurry for low-k dielectrics

Номер патента: EP2356192A4. Автор: Shoutian Li,Steven Grumbine,Jeffrey Dysard,Pankaj Singh. Владелец: Cabot Microelectronics Corp. Дата публикации: 2013-05-22.

Barrier slurry for low-k dielectrics

Номер патента: EP2356192A2. Автор: Shoutian Li,Steven Grumbine,Jeffrey Dysard,Pankaj Singh. Владелец: Cabot Microelectronics Corp. Дата публикации: 2011-08-17.

Barrier slurry for low-k dielectrics

Номер патента: EP2356192B1. Автор: Shoutian Li,Steven Grumbine,Jeffrey Dysard,Pankaj Singh. Владелец: Cabot Microelectronics Corp. Дата публикации: 2020-01-15.

Adamantyl monomers and polymers for low-k-dielectric applications

Номер патента: KR101219548B1. Автор: 주하 란타라,지리 파울라사아리. Владелец: 질렉스 오와이. Дата публикации: 2013-01-21.

Barrier slurry for low-k dielectrics

Номер патента: US8252687B2. Автор: Shoutian Li,Steven Grumbine,Jeffrey Dysard,Pankaj Singh. Владелец: Cabot Microelectronics Corp. Дата публикации: 2012-08-28.

Drying process for low-k dielectric films

Номер патента: WO2004049073A3. Автор: Carlo Waldfried,Orlando Escorcia,Qingyuan Han,Ivan Berry,John Hallock,Ari Margolis. Владелец: Axcelis Tech Inc. Дата публикации: 2004-11-18.

Barrier slurry for low-k dielectrics

Номер патента: CN102159662A. Автор: 杰弗里·戴萨德,李守田,史蒂文·格伦比恩,潘卡杰·辛格. Владелец: Cabot Corp. Дата публикации: 2011-08-17.

Adamantyl monomers and polymers for low-k-dielectric applications

Номер патента: WO2005061587A1. Автор: Juha Rantala,Jyri Paulasaari. Владелец: SILECS OY. Дата публикации: 2005-07-07.

Process for low k dielectric plasma etching with high selectivity to deep uv photoresist

Номер патента: US7112534B2. Автор: Qiang Fu,James Jeong. Владелец: Intel Corp. Дата публикации: 2006-09-26.

High uv curing efficiency for low-k dielectrics

Номер патента: US20150104953A1. Автор: Kuang-Yuan Hsu,Chung-Chi Ko,Po-Cheng Shih,Hui-Chun Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-04-16.

Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics

Номер патента: WO2002065530B1. Автор: Chok W Ho. Владелец: Chok W Ho. Дата публикации: 2003-07-24.

UV cure process for low k film formation

Номер патента: EP1122333B1. Автор: Kurt H. Junker,Nicole R. Grove,Marijean E. Azrak. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2006-12-06.

Low k dielectric

Номер патента: EP2164648A1. Автор: Mark L.F. Phillips,Travis P.S. Thoms. Владелец: SBA Materials Inc. Дата публикации: 2010-03-24.

UV cure process and tool for low k film formation

Номер патента: TW515033B. Автор: Kurt H Junker,Nicole R Grove,Marijean E Azrak. Владелец: Motorola Inc. Дата публикации: 2002-12-21.

Systems and methods for depositing low-k dielectric films

Номер патента: US20240290611A1. Автор: Yijun Liu,Li-Qun Xia,Bo Xie,Ruitong Xiong,Kang S. Yim. Владелец: Applied Materials Inc. Дата публикации: 2024-08-29.

Doped And Undoped Vanadium Oxides For Low-K Spacer Applications

Номер патента: US20200035486A1. Автор: Chan Kelvin,Gandikota Srinivas,Mallick Abhijit Basu,Basu Atashi,Venkatasubramanian Eswaranand. Владелец: . Дата публикации: 2020-01-30.

SUPERCRITICAL CARBON DIOXIDE PROCESS FOR LOW-K THIN FILMS

Номер патента: US20170148624A1. Автор: Gouk Roman,Verhaverbeke Steven,Chen Han-Wen,Leschkies Kurtis. Владелец: . Дата публикации: 2017-05-25.

Doped And Undoped Vanadium Oxides For Low-K Spacer Applications

Номер патента: US20170309476A1. Автор: Chan Kelvin,Gandikota Srinivas,Mallick Abhijit Basu,Basu Atashi,Venkatasubramanian Eswaranand. Владелец: . Дата публикации: 2017-10-26.

Interlayer adhesion promoter for low K material

Номер патента: CN1669130A. Автор: V·卢,R·Y·梁,W·范,A·娜曼,D·-L·周. Владелец: Honeywell International Inc. Дата публикации: 2005-09-14.

Interlayer adhesion promoter for low k materials

Номер патента: AU2002357645A1. Автор: Wenya Fan,Victor Lu,De-Ling Zhou,Ananth Naman,Roger Y. Leung. Владелец: Honeywell International Inc. Дата публикации: 2004-04-08.

Low-k dielectric films

Номер патента: US11393678B2. Автор: Kang Sub Yim,Mark Saly,Lakmal C. Kalutarage,Shaunak Mukherjee,William J. Durand. Владелец: Applied Materials Inc. Дата публикации: 2022-07-19.

Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics

Номер патента: WO2002065530A2. Автор: Chok W. Ho. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2002-08-22.

LOW DIELECTRIC CONSTANT (LOW-K) DIELECTRIC AND METHOD OF FORMING THE SAME

Номер патента: US20180068848A1. Автор: Li Weiyi,Shohet J. Leon,Zheng Huifeng,Guo Xiangyu,Blatz Joshua,Pei Dongfei. Владелец: . Дата публикации: 2018-03-08.

Systems and methods for depositing low-k dielectric films

Номер патента: US11967498B2. Автор: Yijun Liu,Li-Qun Xia,Bo Xie,Ruitong Xiong,Kang S. Yim. Владелец: Applied Materials Inc. Дата публикации: 2024-04-23.

Laser processing of workpieces containing low-k dielectric material

Номер патента: EP2030224A4. Автор: Brian W Baird. Владелец: Electro Scientific Industries Inc. Дата публикации: 2011-10-19.

Composition and chemical vapor deposition method for forming organic low k dielectric films

Номер патента: AU2003275278A1. Автор: Neil H. Hendricks. Владелец: Advanced Technology Materials Inc. Дата публикации: 2004-04-23.

Method of patterning a low-k dielectric film

Номер патента: US20130023124A1. Автор: Ellie Yieh,Srinivas D. Nemani,Dmitry Lubomirsky,Yifeng Zhou. Владелец: Individual. Дата публикации: 2013-01-24.

LOW-K DIELECTRIC DAMAGE REPAIR BY VAPOR-PHASE CHEMICAL EXPOSURE

Номер патента: US20140004717A1. Автор: Chan Kelvin,Demos Alexandros T.. Владелец: Applied Materials, Inc.. Дата публикации: 2014-01-02.

METHOD OF PATTERNING A LOW-K DIELECTRIC FILM

Номер патента: US20140017898A1. Автор: Nemani Srinivas D.,LUBOMIRSKY DMITRY,Pender Jeremiah T.,Zhou Qingjun,BELOSTOTSKIY Sergey G.. Владелец: . Дата публикации: 2014-01-16.

LOW-K DIELECTRIC GAPFILL BY FLOWABLE DEPOSITION

Номер патента: US20160020089A1. Автор: Lee Young S.,Liang Jingmei,SRINIVASAN Mukund,Thadani Kiran V.. Владелец: . Дата публикации: 2016-01-21.

ENHANCEMENT OF MODULUS AND HARDNESS FOR UV-CURED ULTRA LOW-K DIELECTRIC FILMS

Номер патента: US20160020090A1. Автор: Chan Kelvin,Demos Alexandros T.,Yim Kang Sub,CHHABRA Mahendra,DASH Priyanka. Владелец: . Дата публикации: 2016-01-21.

METHOD OF PATTERNING A LOW-K DIELECTRIC FILM

Номер патента: US20140120726A1. Автор: Nemani Srinivas D.,LUBOMIRSKY DMITRY,Pender Jeremiah T.,Zhou Qingjun,BELOSTOTSKIY Sergey G.. Владелец: . Дата публикации: 2014-05-01.

COMPOSITIONS OF LOW-K DIELECTRIC SOLS CONTAINING NONMETALLIC CATALYSTS

Номер патента: US20140120739A1. Автор: Phillips Mark L.F.,Savage Travis. Владелец: . Дата публикации: 2014-05-01.

LOW-K DIELECTRIC FILM FORMATION

Номер патента: US20160042943A1. Автор: ANTONELLI George Andrew,Ribaudo Troy Daniel. Владелец: . Дата публикации: 2016-02-11.

Low-K Dielectric Films

Номер патента: US20210050212A1. Автор: Yim Kang Sub,Durand William J.,Saly Mark,Kalutarage Lakmal C.,MUKHERJEE Shaunak. Владелец: Applied Materials, Inc.. Дата публикации: 2021-02-18.

METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE INCLUDING A LOW-K DIELECTRIC MATERIAL LAYER

Номер патента: US20210050520A1. Автор: JUNG Jaeho,KO Youngmin,KIM Jonguk,CHOI Dongsung. Владелец: . Дата публикации: 2021-02-18.

SYSTEMS AND METHODS FOR DEPOSITING LOW-K DIELECTRIC FILMS

Номер патента: US20220084815A1. Автор: Xia Li-Qun,Yim Kang Sub,Xie Bo,LIU Yijun,NGO Sure,Xiong Ruitong. Владелец: Applied Materials, Inc.. Дата публикации: 2022-03-17.

Method of Low-K Dielectric Film Repair

Номер патента: US20140170780A1. Автор: Yun Seokmin,Wilcoxson Mark,deLarios John M.. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2014-06-19.

FINGERED CAPACITOR WITH LOW-K AND ULTRA-LOW-K DIELECTRIC LAYERS

Номер патента: US20200098850A1. Автор: Chen Yu,HONG CHEONG Min,Yin Chunshan. Владелец: . Дата публикации: 2020-03-26.

SELF-ALIGNED PLANARIZATION OF LOW-K DIELECTRICS AND METHOD FOR PRODUCING THE SAME

Номер патента: US20190115223A1. Автор: Jiang Yi,TAN Juan Boon,YI Wanbing,Hsieh Curtis Chun-I,WANG Zhehui. Владелец: . Дата публикации: 2019-04-18.

METHOD OF PATTERNING A LOW-K DIELECTRIC FILM

Номер патента: US20140213060A1. Автор: Nemani Srinivas D.,Kang Sean S.,Kao Chia-Ling. Владелец: . Дата публикации: 2014-07-31.

Low-k dielectric sidewall spacer treatment

Номер патента: US20150145073A1. Автор: Wei-Yang Lee,Chia-Chun Lan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-05-28.

LOW K DIELECTRIC DEPOSITION VIA UV DRIVEN PHOTOPOLYMERIZATION

Номер патента: US20170140931A1. Автор: van Cleemput Patrick A.,Ndiege Nicholas Muga. Владелец: . Дата публикации: 2017-05-18.

SELF-ALIGNED PLANARIZATION OF LOW-K DIELECTRICS AND METHOD FOR PRODUCING THE SAME

Номер патента: US20190198343A1. Автор: Jiang Yi,TAN Juan Boon,YI Wanbing,Hsieh Curtis Chun-I,WANG Zhehui. Владелец: . Дата публикации: 2019-06-27.

POROUS LOW-K DIELECTRIC ETCH

Номер патента: US20180350618A1. Автор: Hudson Eric,GOPALADASU Prabhakara,Wang Chia-Chun,Ouyang Zihao,DESHMUKH Shashank,LI Sonny. Владелец: . Дата публикации: 2018-12-06.

Method For Reducing Reactive Ion Etch Lag in Low K Dielectric Etching

Номер патента: US20180358227A1. Автор: METZ Andrew W.,Raley Angelique D.,COLE Christopher. Владелец: . Дата публикации: 2018-12-13.

Ultra-low k dielectric layer and manufacturing method thereof

Номер патента: US20200357632A1. Автор: Yiqi GONG. Владелец: Shanghai Huali Integrated Circuit Manufacturing Co Ltd. Дата публикации: 2020-11-12.

Refreshing wafers having low-k dielectric materials

Номер патента: US7208325B2. Автор: Hong Wang,Krishna Vepa,Paul V. Miller. Владелец: Applied Materials Inc. Дата публикации: 2007-04-24.

Refreshing wafers having low-k dielectric materials

Номер патента: US20060160364A1. Автор: Hong Wang,Krishna Vepa,Paul Miller. Владелец: Applied Materials Inc. Дата публикации: 2006-07-20.

Refreshing wafers having low-k dielectric materials

Номер патента: TW200703488A. Автор: Hong Wang,Krishna Vepa,Paul V Miller. Владелец: Applied Materials Inc. Дата публикации: 2007-01-16.

Removing a low-k dielectric layer from a wafer

Номер патента: US20070190798A1. Автор: Hong Wang,Krishna Vepa,Paul Miller. Владелец: Applied Materials Inc. Дата публикации: 2007-08-16.

Refurbishing a wafer having a low-k dielectric layer

Номер патента: US7695982B2. Автор: Hong Wang,Krishna Vepa,Paul V. Miller. Владелец: Applied Materials Inc. Дата публикации: 2010-04-13.

Removing a low-k dielectric layer from a wafer by chemical mechanical polishing

Номер патента: US20070190791A1. Автор: Hong Wang,Krishna Vepa,Paul Miller. Владелец: Applied Materials Inc. Дата публикации: 2007-08-16.

Fine grinding a low-k dielectric layer off a wafer

Номер патента: US20070190790A1. Автор: Hong Wang,Krishna Vepa,Paul Miller. Владелец: Applied Materials Inc. Дата публикации: 2007-08-16.

Method for etching low k dielectric layers

Номер патента: WO1999056310A2. Автор: Min Yu. Владелец: Applied Materials, Inc.. Дата публикации: 1999-11-04.

Selective etching of low-k dielectrics

Номер патента: US20040137748A1. Автор: Alok Jain,Phui Chong. Владелец: Applied Materials Inc. Дата публикации: 2004-07-15.

Refurbishing a wafer having a low-k dielectric layer

Номер патента: US20070190799A1. Автор: Hong Wang,Krishna Vepa,Paul Miller. Владелец: Applied Materials Inc. Дата публикации: 2007-08-16.

Method for resist strip in presence of regular low k and/or porous low k dielectric materials

Номер патента: US20060258148A1. Автор: Helen Zhu,Reza Sadjadi. Владелец: Lam Research Corp. Дата публикации: 2006-11-16.

Low-K dielectric thin films and chemical vapor deposition method of making same

Номер патента: US20030064154A1. Автор: Thomas Baum,Chongying Xu,Ravi Laxman. Владелец: Advanced Technology Materials Inc. Дата публикации: 2003-04-03.

Method for forming ultra-low K dielectric layer

Номер патента: CN108389782B. Автор: 崔金益. Владелец: JIANGSU OUTE ELECTRONIC TECHNOLOGY Co Ltd. Дата публикации: 2020-02-25.

A method of forming ultra low k dielectric layer

Номер патента: CN108389782A. Автор: 崔金益. Владелец: 崔金益. Дата публикации: 2018-08-10.

Photoresist process for stripping when having conventional low k and/or porous low k dielectric material

Номер патента: CN100568472C. Автор: 海伦·朱,R·萨亚迪. Владелец: Lam Research Corp. Дата публикации: 2009-12-09.

Systems and methods for forming uv-cured low-k dielectric films

Номер патента: US20220108884A1. Автор: Yijun Liu,Li-Qun Xia,Kang Sub Yim,Bo Xie,Ruitong Xiong,Sure Ngo. Владелец: Applied Materials Inc. Дата публикации: 2022-04-07.

Zeolite-carbon doped oxide composite low k dielectric

Номер патента: US20050107242A1. Автор: Hai Deng,Huey-Chiang Liou. Владелец: Intel Corp. Дата публикации: 2005-05-19.

Low-k dielectric etch

Номер патента: WO2006019849A1. Автор: Sean S. Kang,Zhisong Huang,S. M. Reza Sadjadi. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2006-02-23.

Selective etching of carbon-doped low-k dielectrics

Номер патента: EP1503405A2. Автор: Fang Tian,YAN Ye,Yunsang Kim,Xiaoye Zhao,Heeyeop Chae,Neungho Shin,Joey Chiu. Владелец: Applied Materials Inc. Дата публикации: 2005-02-02.

Zeolite - carbon doped oxide composite low k dielectric

Номер патента: EP1685589A1. Автор: Hai Deng,Huey-Chiang Liou. Владелец: Intel Corp. Дата публикации: 2006-08-02.

Method for resist strip in presence of regular low k and/or porous low k dielectric materials

Номер патента: US7288488B2. Автор: Helen Zhu,Reza Sadjadi. Владелец: Lam Research Corp. Дата публикации: 2007-10-30.

Heterogeneous low k dielectric

Номер патента: TW200522257A. Автор: Syun-Ming Jang,Yung-Cheng Lu,Pi-Tsung Chen,Lih-Ping Li. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2005-07-01.

Low-K dielectric spacer for a gate cut

Номер патента: US9972495B1. Автор: Xusheng Wu. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-15.

Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-k dielectric etch applications

Номер патента: TW574603B. Автор: Rao V Annapragada,Ian J Morey,Chok W Ho. Владелец: Lam Res Corp. Дата публикации: 2004-02-01.

Use of ammonia for etching organic low-k dielectrics

Номер патента: US20020108929A1. Автор: Chung-Ju Lee,Chok Ho,Kuo-Lung Tang. Владелец: Lam Research Corp. Дата публикации: 2002-08-15.

Convertible hot edge ring to improve low-K dielectric etch

Номер патента: US6383931B1. Автор: John Lang,Janet M. Flanner,Susan Ellingboe,Christine Janowiak,Ian J. Morey. Владелец: Lam Research Corp. Дата публикации: 2002-05-07.

The formation of low K dielectrics film

Номер патента: CN105374676B. Автор: 乔治·安德鲁·安东内利,特洛伊·丹尼尔·里包多. Владелец: Lam Research Corp. Дата публикации: 2019-01-18.

Use of ammonia for etching organic low-k dielectrics

Номер патента: TWI297179B. Автор: Chok W Ho,Kuo Lung Tang,Chung Ju Lee. Владелец: Lam Res Corp. Дата публикации: 2008-05-21.

Zeolite-carbon doped oxide composite low k dielectric

Номер патента: US7303985B2. Автор: Hai Deng,Huey-Chiang Liou. Владелец: Intel Corp. Дата публикации: 2007-12-04.

Method of patterning a low-k dielectric film

Номер патента: US11302519B2. Автор: Srinivas D. Nemani,Dmitry Lubomirsky,Qingjun Zhou,Sergey G. BELOSTOTSKIY,Jeremiah T. Pender. Владелец: Applied Materials Inc. Дата публикации: 2022-04-12.

Method and apparatus for cleaning low k dielectric and metal wafer surfaces

Номер патента: AU5588599A. Автор: Linda Jiang,Diane J. Hymes. Владелец: Lam Research Corp. Дата публикации: 2000-04-17.

Method for resist strip in presence of regular low k and/or porous low k dielectric materials

Номер патента: IL186729A. Автор: Helen Zhu,Reza Sadjadi. Владелец: Reza Sadjadi. Дата публикации: 2012-06-28.

Field effect transistor with a hybrid gate spacer including a low-K dielectric material

Номер патента: TW201813100A. Автор: 廖思雅,普拉提克 A. 帕特爾. Владелец: 英特爾公司. Дата публикации: 2018-04-01.

Use of ammonia for etching organic low-k dielectrics

Номер патента: US6893969B2. Автор: Chung-Ju Lee,Kuo-Lung Tang,Chok W. Ho. Владелец: Lam Research Corp. Дата публикации: 2005-05-17.

Low-k dielectric etch

Номер патента: TW200616063A. Автор: S M Reza Sadjadi,Sean S Kang,Zhi-Song Huang. Владелец: Lam Res Corp. Дата публикации: 2006-05-16.

Bonding a metal component to a low-K dielectric material

Номер патента: US20050095743A1. Автор: Jihperng Leu,Grant Kloster. Владелец: Jihperng Leu. Дата публикации: 2005-05-05.

Organo-silsesquioxane polymers for forming low-k dielectrics

Номер патента: WO2004090019A1. Автор: Juha Rantala,Janne KYLMÄ,Jyri Paulasaari. Владелец: SILECS OY. Дата публикации: 2004-10-21.

Self-siphoning cmp tool design for application such as copper cmp and low-k dielectric cmp

Номер патента: SG104983A1. Автор: Roy Sudipto. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2004-07-30.

Method for resist strip in presence of regular low k and/or porous low k dielectric materials

Номер патента: WO2006122119A2. Автор: Helen Zhu,Reza Sadjadi. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2006-11-16.

Deposition apparatus for providing uniform low-k dielectric

Номер патента: US20050284371A1. Автор: Mandyam Sriram,Robert Mcfadden,Shurong Liang,Vitaly Kasperovich. Владелец: Individual. Дата публикации: 2005-12-29.

Method and apparatus for cleaning low K dielectric and metal wafer surfaces

Номер патента: US6319330B1. Автор: Diane J. Hymes,Linda (Tong) Jiang. Владелец: Lam Research Corp. Дата публикации: 2001-11-20.

Low k dielectric materials with inherent copper ion migration barrier

Номер патента: TW493261B. Автор: Claudius Feger,Stephan Alan Cohen,Jane Margaret Shaw,Jeffrey Curtis Hedrick. Владелец: Ibm. Дата публикации: 2002-07-01.

Method for integrating low-k dielectrics

Номер патента: TW201203362A. Автор: Jacques Faguet,Dorel I Toma,Jun-Jun Liu,Hong-Yu Yue. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-01-16.

High voltage transistor having side-wall width different from side-wall width of a low voltage transistor

Номер патента: US7148552B2. Автор: Masayuki Fujio,Motoharu Arimura. Владелец: Sharp Corp. Дата публикации: 2006-12-12.

Apparatus and Methods for Low K Dielectric Layers

Номер патента: US20150041964A1. Автор: Liou Joung-Wei,Yang Hui-Chun,Peng Yu-Yun,Lin Keng-Chu. Владелец: . Дата публикации: 2015-02-12.

CMP compositions for low-k dielectric materials

Номер патента: US20030228762A1. Автор: Kevin Moeggenborg,Homer Chou,Jeffrey Chamberlain,Joseph Hawkins. Владелец: Cabot Microelectronics Corp. Дата публикации: 2003-12-11.

CMP compositions for low-k dielectric materials

Номер патента: US6974777B2. Автор: Jeffrey P. Chamberlain,Kevin J. Moeggenborg,Homer Chou,Joseph D. Hawkins. Владелец: Cabot Microelectronics Corp. Дата публикации: 2005-12-13.

Ultraviolet curing process for low k dielectric films

Номер патента: US20060274405A1. Автор: Carlo Waldfried,Orlando Escorcia,Francesca Iacopi,Gerald Beyer. Владелец: Axcelis Technologies Inc. Дата публикации: 2006-12-07.

Removal of residues for low-k dielectric materials in wafer processing

Номер патента: WO2007005197A2. Автор: Gunilla Jacobsen,Subramanyam A. Iyer. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2007-01-11.

Removal of residues for low-k dielectric materials in wafer processing

Номер патента: WO2007005197A3. Автор: Gunilla Jacobsen,Subramanyam A Iyer. Владелец: Subramanyam A Iyer. Дата публикации: 2007-04-12.

Compliant passivated edge seal for low-k interconnect structures

Номер патента: TW200534494A. Автор: Daniel C Edelstein,Lee M Nicholson. Владелец: Ibm. Дата публикации: 2005-10-16.

Compliant passivated edge seal for low-k interconnect structures

Номер патента: TWI339901B. Автор: Daniel C Edelstein,Lee M Nicholson. Владелец: Ibm. Дата публикации: 2011-04-01.

Side-wall spacer for vertical chromium gate lines

Номер патента: WO1998006129A1. Автор: Michael Hall,Chengbin Qiu. Владелец: Litton Systems Canada Limited. Дата публикации: 1998-02-12.

Buried low-k dielectric to protect source/drain to gate connection

Номер патента: EP4440275A1. Автор: Chung-Hsun Lin,Brian Greene,Chiao-Ti HUANG,Akitomo Matsubayashi. Владелец: Intel Corp. Дата публикации: 2024-10-02.

Buried low-k dielectric to protect source/drain to gate connection

Номер патента: US20240334669A1. Автор: Chung-Hsun Lin,Brian Greene,Chiao-Ti HUANG,Akitomo Matsubayashi. Владелец: Intel Corp. Дата публикации: 2024-10-03.

An SBGA design for low-k integrated circuits (IC)

Номер патента: TWI251318B. Автор: Yung-Sheng Huang,Yu-Ting Lin,Yian-Liang Kuo. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2006-03-11.

An SBGA design for low-k integrated circuits(IC)

Номер патента: TW200511536A. Автор: Yung-Sheng Huang,Yu-Ting Lin,Yian-Liang Kuo. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2005-03-16.

Semiconductor package having molding layer with inclined side wall

Номер патента: US11869821B2. Автор: Yeongkwon Ko,Seunghun SHIN,Junyeong HEO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-01-09.

Partial metal fill for preventing extreme-low-k dielectric delamination

Номер патента: US20180082776A1. Автор: Guoqing Chen,Zhongze Wang. Владелец: Qualcomm Inc. Дата публикации: 2018-03-22.

Partial metal fill for preventing extreme-low-k dielectric delamination

Номер патента: EP3513430A1. Автор: Guoqing Chen,Zhongze Wang. Владелец: Qualcomm Inc. Дата публикации: 2019-07-24.

Partial metal fill for preventing extreme-low-k dielectric delamination

Номер патента: WO2018052579A1. Автор: Guoqing Chen,Zhongze Wang. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2018-03-22.

Integral seal for container

Номер патента: US20230377923A1. Автор: Matthew A. Fuller,Michael C. Zabka,William J. Shaner,Alissa WILD. Владелец: Entegris Inc. Дата публикации: 2023-11-23.

Device with low-k dielectric material in close proximity thereto and its method of fabrication

Номер патента: TWI323036B. Автор: Hu Chenming,Denny Tang,Horng Huei Tseng. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2010-04-01.

Mask reduction of LTPS-TFT array by use of photo-sensitive low-k dielectrics

Номер патента: TW200606487A. Автор: Chih-Chiang Chen,Yung-Fu Wu,Chen-Ming Chen. Владелец: Ind Tech Res Inst. Дата публикации: 2006-02-16.

Method of manufacturing semiconductor device having side wall spacers

Номер патента: US20060157752A1. Автор: Tamito Suzuki. Владелец: Yamaha Corp. Дата публикации: 2006-07-20.

PHASE CHANGE MEMORY STRUCTURE HAVING LOW-K DIELECTRIC HEAT-INSULATING MATERIAL AND FABRICATION METHOD THEREOF

Номер патента: US20130175493A1. Автор: Song Zhitang,Feng Songlin,Wu Liangcai. Владелец: . Дата публикации: 2013-07-11.

FIELD EFFECT TRANSISTOR WITH A HYBRID GATE SPACER INCLUDING A LOW-K DIELECTRIC MATERIAL

Номер патента: US20210036143A1. Автор: PATEL PRATIK A.,LIAO Sauya S.. Владелец: Intel Corporation. Дата публикации: 2021-02-04.

SEMICONDUCTOR CHIP INCLUDING LOW-K DIELECTRIC LAYER

Номер патента: US20210057328A1. Автор: HAN Junghoon,Lee Yeonjin,Noh Junyong,Choi Minjung,Cho Yunrae. Владелец: . Дата публикации: 2021-02-25.

FIELD EFFECT TRANSISTOR WITH A HYBRID GATE SPACER INCLUDING A LOW-K DIELECTRIC MATERIAL

Номер патента: US20220077311A1. Автор: LIAO Szuya S.,PATEL PRATIK A.. Владелец: Intel Corporation. Дата публикации: 2022-03-10.

Low-K Dielectric Layer and Porogen

Номер патента: US20150270189A1. Автор: Liou Joung-Wei,Yang Hui-Chun,Peng Yu-Yun,Lin Keng-Chu. Владелец: . Дата публикации: 2015-09-24.

DIE EDGE SEAL EMPLOYING LOW-K DIELECTRIC MATERIAL

Номер патента: US20150371957A1. Автор: Bai Zhigang,Wang Zhijie,Ye Dehong,Zhang Huchang,NIU JIYONG. Владелец: . Дата публикации: 2015-12-24.

Compositions for dissolution of low-k dielectric films, and methods of use

Номер патента: US20020139387A1. Автор: Donald Yates. Владелец: Micron Technology Inc. Дата публикации: 2002-10-03.

Compositions for dissolution of low-K dielectric films, and methods of use

Номер патента: US6762132B1. Автор: Donald L. Yates. Владелец: Micron Technology Inc. Дата публикации: 2004-07-13.

POWER SEMICONDUCTOR DEVICE HAVING LOW-K DIELECTRIC GAPS BETWEEN ADJACENT METAL CONTACTS

Номер патента: US20220406930A1. Автор: Haase Robert,Leomant Sylvain,Naik Harsh,Brazzale Anita. Владелец: . Дата публикации: 2022-12-22.

Multiple patterning using improved patternable low-k dielectric materials

Номер патента: US8163658B2. Автор: Qinghuang Lin. Владелец: International Business Machines Corp. Дата публикации: 2012-04-24.

Methods for forming low-K dielectric films

Номер патента: EP1271634A2. Автор: Ce Ma,Richard Allen Hogle,Patrick Joseph Helly,Laura Joy Miller. Владелец: Boc Group Inc. Дата публикации: 2003-01-02.

Compositions for dissolution of low-k dielectric film, and methods of use

Номер патента: US7432214B2. Автор: Donald L Yates. Владелец: Micron Technology Inc. Дата публикации: 2008-10-07.

Semiconductor device having bonding pad above low-k dielectric film and manufacturing method therefor

Номер патента: TWI315090B. Автор: MATSUBARA Yoshihisa. Владелец: Nec Electronics Corporatio. Дата публикации: 2009-09-21.

Low K dielectric inorganic/organic hybrid films and method of making

Номер патента: CN1142059C. Автор: ,P,P·罗斯,E·洛帕塔,J·费尔茨. Владелец: SILICON VALLY GROUP HEAT SYSTEM Inc. Дата публикации: 2004-03-17.

Test patterns for measurement of low-k dielectric cracking thresholds

Номер патента: US6787803B1. Автор: Tai-Chun Huang,Chih-Hsiang Yao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2004-09-07.

Method of forming semiconductor device including low-k dielectric material layer

Номер патента: KR20210021420A. Автор: 김종욱,정재호,고영민,최동성. Владелец: 삼성전자주식회사. Дата публикации: 2021-02-26.

Anti-reflective coating compositions for use with low k dielectric materials

Номер патента: TW200419304A. Автор: Rama Puligadda,Tony D Flaim,James E Lamb Iii. Владелец: Brewer Science Inc. Дата публикации: 2004-10-01.

ANTI-REFLECTIVE COATING COMPOSITIONS FOR USE WITH LOW k DIELECTRIC MATERIALS

Номер патента: EP1567288A2. Автор: Tony D. Flaim,Rama Puligadda,James E. Lamb. Владелец: Brewer Science Inc. Дата публикации: 2005-08-31.

Anti-reflective coating compositions for use with low k dielectric materials

Номер патента: AU2003294563A8. Автор: Rama Puligadda,Tony D Flaim,James E Lamb. Владелец: Brewer Science Inc. Дата публикации: 2004-06-23.

ANTI-REFLECTIVE COATING COMPOSITIONS FOR USE WITH LOW k DIELECTRIC MATERIALS

Номер патента: EP1567288A4. Автор: Rama Puligadda,Tony D Flaim,James E Lamb. Владелец: Brewer Science Inc. Дата публикации: 2006-06-07.

Circuit panel using side wall wiring and method of forming side wall wiring

Номер патента: US20240304773A1. Автор: Byung Du Oh. Владелец: Apiotech Co Ltd. Дата публикации: 2024-09-12.

Side wall active pin memory and manufacturing method

Номер патента: US20060110878A1. Автор: Yi-Chou Chen,Shih-Hung Chen,Hsiang Lung. Владелец: Macronix International Co Ltd. Дата публикации: 2006-05-25.

Enclosed heat sink with side wall structure

Номер патента: US20220074682A1. Автор: Ching-Ming Yang,Tze-Yang Yeh,Reui-Jen Yang. Владелец: Amulaire Thermal Tech Inc. Дата публикации: 2022-03-10.

Enclosed heat sink with side wall structure

Номер патента: US11506458B2. Автор: Ching-Ming Yang,Tze-Yang Yeh,Reui-Jen Yang. Владелец: Amulaire Thermal Tech Inc. Дата публикации: 2022-11-22.

Low-k dielectrics obtainable by twin polymerization

Номер патента: IL208534A. Автор: . Владелец: BASF SE. Дата публикации: 2013-09-30.

PARTIAL METAL FILL FOR PREVENTING EXTREME-LOW-K DIELECTRIC DELAMINATION

Номер патента: US20180082776A1. Автор: Wang Zhongze,CHEN Guoqing. Владелец: . Дата публикации: 2018-03-22.

MULTI-FREQUENCY INDUCTORS WITH LOW-K DIELECTRIC AREA

Номер патента: US20170140865A1. Автор: Stamper Anthony K.,Vanukuru Venkata Narayana Rao. Владелец: . Дата публикации: 2017-05-18.

METHOD OF PATTERNING A LOW-K DIELECTRIC FILM

Номер патента: US20150380215A1. Автор: Nemani Srinivas D.,LUBOMIRSKY DMITRY,Pender Jeremiah T.,Zhou Qingjun,BELOSTOTSKIY Sergey G.. Владелец: . Дата публикации: 2015-12-31.

Low-k dielectrics obtainable by twin polymerization

Номер патента: EP2272068B1. Автор: Andreas Klipp,Hans-Joachim HÄHNLE,Arno Lange. Владелец: BASF SE. Дата публикации: 2018-07-04.

Low-K dielectrics obtainable by twin polymerization

Номер патента: CN102017015A. Автор: A·克里普,A·郎格,H-J·黑内尔. Владелец: BASF SE. Дата публикации: 2011-04-13.

Low-k dielectrics obtainable by twin polymerization

Номер патента: WO2009133082A1. Автор: Andreas Klipp,Hans-Joachim HÄHNLE,Arno Lange. Владелец: BASF SE. Дата публикации: 2009-11-05.

Plasma display panel with a low k dielectric layer

Номер патента: TWI277118B. Автор: Quanyuan Shang,Takako Takehara,William R Harshbarger,Kam S Law,Taekyung Won. Владелец: Applied Materials Inc. Дата публикации: 2007-03-21.

Power connector with spring-biased elastomeric conductor seal for submersible pump

Номер патента: EP4136313A1. Автор: James Christopher Clingman. Владелец: Baker Hughes Oilfield Operations LLC. Дата публикации: 2023-02-22.

Low cost, compact, side entry cable sealing for ip66 led outdoor modules

Номер патента: WO2018234140A1. Автор: Chrysoula Sismanidou. Владелец: PHILIPS LIGHTING HOLDING B.V.. Дата публикации: 2018-12-27.

Low cost, compact, side entry cable sealing for ip66 led outdoor modules

Номер патента: US20200132290A1. Автор: Chrysoula Sismanidou. Владелец: Signify Holding BV. Дата публикации: 2020-04-30.

Low cost, compact, side entry cable sealing for ip66 led outdoor modules

Номер патента: EP3642536A1. Автор: Chrysoula Sismanidou. Владелец: Signify Holding BV. Дата публикации: 2020-04-29.

Generally U-shaped electrical connector with reinforced side walls

Номер патента: US5997331A. Автор: Ching-Liang Tu. Владелец: Leoco Corp. Дата публикации: 1999-12-07.

Connector and connector set including a terminal abutting a side wall member

Номер патента: US11990699B2. Автор: Yoshiro Maeda,Daisuke OKUBO,Minoru Mamuro. Владелец: Murata Manufacturing Co Ltd. Дата публикации: 2024-05-21.

Electrical connector having moveable side walls for accommodating a second electrical connector

Номер патента: US6585526B2. Автор: Johan Vanbesien,Eric Gillenberg. Владелец: Tyco Electronics AMP GMBH. Дата публикации: 2003-07-01.

Hermetic seal for an electrochemical cell

Номер патента: US5776632A. Автор: Allen Honegger. Владелец: Greatbatch Ltd. Дата публикации: 1998-07-07.

Image reading device having antenna including communication surface disposed along side wall

Номер патента: US9686427B2. Автор: Yuji Hori,Yasuhiro Asai,Masatsugu Mizuno. Владелец: Brother Industries Ltd. Дата публикации: 2017-06-20.

Image reading device having antenna including communication surface disposed along side wall

Номер патента: US9407781B2. Автор: Yuji Hori,Yasuhiro Asai,Masatsugu Mizuno. Владелец: Brother Industries Ltd. Дата публикации: 2016-08-02.

Image reading device having antenna including communication surface disposed along side wall

Номер патента: US9100522B2. Автор: Yuji Hori,Yasuhiro Asai,Masatsugu Mizuno. Владелец: Brother Industries Ltd. Дата публикации: 2015-08-04.

Transformer with offset side wall-mounted on-load tap changer

Номер патента: US4234864A. Автор: Birger Johansson. Владелец: ASEA AB. Дата публикации: 1980-11-18.

Seal for push-button switches

Номер патента: US5413358A. Автор: George K. Austin, Jr.,Pierre M. LaPlante,William F. Fisher,Raymond G. Reed. Владелец: A Dec Inc. Дата публикации: 1995-05-09.

Printed Circuit Board Module and Module Side Wall

Номер патента: US20140110157A1. Автор: Tian Zhang. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2014-04-24.

Side wall bracket for cable tray

Номер патента: EP2954602A1. Автор: Michael Mayo. Владелец: Panduit Corp. Дата публикации: 2015-12-16.

Display device including cover with aperture in side wall thereof

Номер патента: US10371994B2. Автор: Yong Jun Lee,Yong Kwon Soh,Hyun Jin MAENG. Владелец: Samsung Display Co Ltd. Дата публикации: 2019-08-06.

Display device including cover with aperture in side wall thereof

Номер патента: US20180173043A1. Автор: Yong Jun Lee,Yong Kwon Soh,Hyun Jin MAENG. Владелец: Samsung Display Co Ltd. Дата публикации: 2018-06-21.

Seal for cable or pipe

Номер патента: RU2270392C2. Автор: Йоаким ХЕЛЛЬКВИСТ. Владелец: Рокстек АБ. Дата публикации: 2006-02-20.

Seal for use in electrically heated vessel

Номер патента: RU2593108C2. Автор: Алекс Рейнир НЕЙХОФФ. Владелец: КОНИНКЛЕЙКЕ ФИЛИПС Н.В.. Дата публикации: 2016-07-27.

High internal free volume compositions for low-k dielectric and other applications

Номер патента: US7671166B2. Автор: Timothy M. Swager,Jean Bouffard. Владелец: Massachusetts Institute of Technology. Дата публикации: 2010-03-02.

HIGH MOLECULAR WEIGHT POLYVINYL PYRROLIDONE FOR LOW-K REMOVAL RATE SUPPRESION

Номер патента: US20210079263A1. Автор: Granstrom Jimmy Erik. Владелец: FUJIMI INCORPORATED. Дата публикации: 2021-03-18.

LOW-K DIELECTRIC LAYER WITH REDUCED DIELECTRIC CONSTANT AND STRENGTHENED MECHANICAL PROPERTIES

Номер патента: US20150232992A1. Автор: Demos Alexandros T.,Yim Kang Sub,KIM Taewan. Владелец: . Дата публикации: 2015-08-20.

Plasma chamber system and method of ashing photoresist pattern formed on substrate having low-k dielectric layer using the same

Номер патента: TWI262557B. Автор: Chang-Weon Lee. Владелец: PSK Inc. Дата публикации: 2006-09-21.

HYBRID POLYMER NETWORKS AS ULTRA LOW 'K' DIELECTRIC LAYERS

Номер патента: US20130317183A1. Автор: WORSLEY Marcus A.,Lewicki James. Владелец: Lawrence Livermore National Security, LLC. Дата публикации: 2013-11-28.

UV CURING PROCESS TO IMPROVE MECHANICAL STRENGTH AND THROUGHPUT ON LOW-K DIELECTRIC FILMS

Номер патента: US20150368803A1. Автор: Demos Alexandros T.,Yim Kang Sub,CHHABRA Mahendra. Владелец: . Дата публикации: 2015-12-24.

Plasma chamber system and method of ashing photoresist pattern formed on substrate having low-k dielectric layer using the same

Номер патента: SG122873A1. Автор: Chang-Weon Lee. Владелец: PSK Inc. Дата публикации: 2006-06-29.

Side wall seal for piping

Номер патента: EP4409184A1. Автор: JR. Jack F. KING. Владелец: Roof Goose Vent LLC. Дата публикации: 2024-08-07.

Side wall seal for piping

Номер патента: CA3233123A1. Автор: JR. Jack F. KING. Владелец: Roof Goose Vent LLC. Дата публикации: 2023-04-06.

Tapered seal for engines or the like

Номер патента: WO1979000919A1. Автор: A Goloff. Владелец: Caterpillar Tractor Co. Дата публикации: 1979-11-15.

Device for unblocking folding side walls of boxes or containers

Номер патента: RU2516015C2. Автор: Фолькер ПИЛЬС,Дитхельм ХИРЦ. Владелец: Фритц Шефер Гмбх. Дата публикации: 2014-05-20.

Device for unlocking folding side walls of boxes or containers

Номер патента: RU2463224C2. Автор: Фолькер ПИЛЬС. Владелец: Фритц Шефер Гмбх. Дата публикации: 2012-10-10.

Furniture with locked in its side wall

Номер патента: RU2401036C2. Автор: Мартин МОЛЛЬ,Ханс ЛООЗЕР. Владелец: МОЛЛЬ ФУНКЦИОНСМЕБЕЛЬ ГМБХ. Дата публикации: 2010-10-10.

Side wall structure of freight car and railway freight car

Номер патента: CA3128707A1. Автор: Jian He,Junfeng Han,Heping Zheng. Владелец: CRRC Qiqihar Rolling Stock Co Ltd. Дата публикации: 2021-09-20.

Side wall structure of freight car and railway freight car

Номер патента: CA3128707C. Автор: Jian He,Junfeng Han,Heping Zheng. Владелец: CRRC Qiqihar Rolling Stock Co Ltd. Дата публикации: 2024-01-09.

Asymmetric radial spline seal for a gas turbine engine

Номер патента: US09810086B2. Автор: Victor Hugo Silva Correia,Christopher Michael CEGLIO,David Scott Stapleton. Владелец: General Electric Co. Дата публикации: 2017-11-07.

Driver's cab side wall with storage box

Номер патента: RU2675395C2. Автор: Андреас ПОЛЬЦЕР. Владелец: Ман Трак Унд Бас Аг. Дата публикации: 2018-12-19.

Side wall apparatus

Номер патента: CA3043080A1. Автор: Kaywood J. Elliott. Владелец: Individual. Дата публикации: 2020-11-13.

Clamping seal for container closure units

Номер патента: RU2416556C2. Автор: Роджер Милнер КИНГ. Владелец: Бисан Энд Санз Лтд.. Дата публикации: 2011-04-20.

Side wall structure of freight car and railway freight car

Номер патента: AU2020436790A1. Автор: Jian He,Junfeng Han,Heping Zheng. Владелец: CRRC Qiqihar Rolling Stock Co Ltd. Дата публикации: 2022-02-17.

Rail train and side wall thereof

Номер патента: EP3636509A1. Автор: Jinlong Zhao,Changsheng Li,Yanhua Cao,Xianwei CAO. Владелец: CRRC Changchun Railway Vehicles Co Ltd. Дата публикации: 2020-04-15.

Coffin side wall unit and lid unit

Номер патента: RU2422124C2. Автор: Экхард КЕММЕРЕР. Владелец: Эпоуджи Интернэшнл Пти Лтд. Дата публикации: 2011-06-27.

Transparent bottles including faceted side walls

Номер патента: WO2021146745A3. Автор: Dennis Lee Maclam,Elliott Michael Van Skaik,Luis Peraza. Владелец: The Procter & Gamble Company. Дата публикации: 2021-08-19.

Transparent bottles including faceted side walls

Номер патента: AU2021208656A1. Автор: Dennis Lee Maclam,Elliott Michael Van Skaik,Luis Peraza. Владелец: Procter and Gamble Co. Дата публикации: 2022-07-28.

Transparent bottles including faceted side walls

Номер патента: AU2021208656B2. Автор: Dennis Lee Maclam,Elliott Michael Van Skaik,Luis Peraza. Владелец: Procter and Gamble Co. Дата публикации: 2023-12-07.

Transparent bottles including faceted side walls

Номер патента: WO2021146745A2. Автор: Dennis Lee Maclam,Elliott Michael Van Skaik,Luis Peraza. Владелец: The Procter & Gamble Company. Дата публикации: 2021-07-22.

Transparent bottles including faceted side walls

Номер патента: US12102603B2. Автор: Dennis Lee Maclam,Elliott Michael Van Skaik,Luis Peraza. Владелец: Procter and Gamble Co. Дата публикации: 2024-10-01.

Portable shelters having a hinged side wall

Номер патента: US09777506B2. Автор: John Matthew LaHood. Владелец: Clam Corp. Дата публикации: 2017-10-03.

Micromechanical pressure sensor structure having a side wall layer

Номер патента: US09829405B2. Автор: Koichi Yoshida,Heikki Kuisma. Владелец: Murata Manufacturing Co Ltd. Дата публикации: 2017-11-28.

Pneumatic tire with annular concavity of side wall

Номер патента: RU2742440C2. Автор: Авишай НОВОПЛАНСКИ,Люси ЭДЕРИ АЗУЛАЙ. Владелец: Галилео Вил Лтд.. Дата публикации: 2021-02-05.

Side wall of ink-feeding machine of typographic printing press

Номер патента: RU2225293C2. Автор: Раффаэле ФИНА. Владелец: Де ла рю Жиори С.А.. Дата публикации: 2004-03-10.

Tote with side wall drain holes

Номер патента: US12054313B2. Автор: Jason R. Frankenberg. Владелец: Orbis Corp. Дата публикации: 2024-08-06.

Guide wire seal for reamer irrigator aspirator system

Номер патента: US20190125363A1. Автор: Jeff Kiersh,Sean Kerr,Christopher SHANE,Timothy P. MOSES. Владелец: DePuy Synthes Products Inc. Дата публикации: 2019-05-02.

Wraparound carton with upwardly extending side walls

Номер патента: US20030085144A1. Автор: Martinus Bakx. Владелец: Meadwestvaco Packaging Systems LLC. Дата публикации: 2003-05-08.

Side Wall for a Rail Vehicle

Номер патента: US20240317278A1. Автор: Michael Weiss,Marcus Worsch,Marcin Krupa,Florian Moser,Karl Heinz KARNER. Владелец: Siemens Mobility Austria GmbH. Дата публикации: 2024-09-26.

Method for inspecting the side wall of an object

Номер патента: US20240338805A1. Автор: Marco Casadio,Andrea SAIANI. Владелец: Sacmi Imola Sc. Дата публикации: 2024-10-10.

Device to create a hermetic seal for large openings in equipment

Номер патента: US09976651B2. Автор: Klaus Stanke,Jörg ZIELKE,Paul B. Kroehl. Владелец: ANDRITZ TECHNOLOGY AND ASSET MANAGEMENT GMBH. Дата публикации: 2018-05-22.

Blister with tilting side-walls

Номер патента: MY161018A. Автор: Gerard Stevens,Ian Stevens. Владелец: Manrex Pty Ltd. Дата публикации: 2017-03-31.

Machine for constructing the side wall of a cylindrical tank

Номер патента: US7086576B2. Автор: Alex J. Morrison. Владелец: John Horton Mobile Tank Fabricators Ltd. Дата публикации: 2006-08-08.

Modular crypt and modular crypt system with niche side wall

Номер патента: US12129677B2. Автор: Charles T. Young,Kenneth B. Bridgeman. Владелец: Matthews International Corp. Дата публикации: 2024-10-29.

Side wall for a movable part of an excavator bucket

Номер патента: US09995015B2. Автор: Detlef Beckmann,Elvis Tormen. Владелец: Caterpillar Global Mining LLC. Дата публикации: 2018-06-12.

Railcar Deck Channel Pivoting Side Wall Apparatus and Methods of Making and Using the Same

Номер патента: US20150344043A1. Автор: John B. Brewster. Владелец: Holland LP. Дата публикации: 2015-12-03.

Railcar deck channel pivoting side wall apparatus and methods of making and using the same

Номер патента: US09944301B2. Автор: John B. Brewster. Владелец: Holland LP. Дата публикации: 2018-04-17.

Pneumatic tire with side wall having circumferential rows of dimples

Номер патента: US09849732B2. Автор: Naoki Yukawa. Владелец: Sumitomo Rubber Industries Ltd. Дата публикации: 2017-12-26.

Molded rubber seal for bearing and stamping assembly

Номер патента: CA1287863C. Автор: Thorn W. Dickinson,David H. Morse. Владелец: Torrington Co. Дата публикации: 1991-08-20.

Side Wall Component of Railway Vehicle, and Railway Vehicle

Номер патента: US20190168781A1. Автор: Bo Song,Haiyang Yu,Honglei Tian,Longxi LIU,Renyuan LV. Владелец: CRRC Qingdao Sifang Co Ltd. Дата публикации: 2019-06-06.

Assembly for a modular side wall of a car body and associated side wall

Номер патента: EP3632765A1. Автор: Ben BOESE,Carsten MEHLER. Владелец: Alstom Transport Technologies SAS. Дата публикации: 2020-04-08.

Collapsible box with side wall article supports

Номер патента: US09850030B2. Автор: David M. F. Chan,Michael L. F. Chan. Владелец: Individual. Дата публикации: 2017-12-26.

Continuous casting mold side wall adjustment system

Номер патента: CA1151263A. Автор: Kirk M. Gladwin. Владелец: Individual. Дата публикации: 1983-08-02.

Bed liner with side wall load restraining and support structure

Номер патента: CA2128400C. Автор: Phillip L. Emery. Владелец: Penda Acquisition, Inc.. Дата публикации: 2005-04-05.

Side wall structure of open wagon

Номер патента: CA2966034C. Автор: Yan He,Liangcai Lin,Chuqiang Tang,Huiping Wu,Yaoming HU,Huarui ZHAO. Владелец: CRRC Yangtze Co Ltd. Дата публикации: 2018-11-20.

Cover with a seal for closing a can, and assembly comprising a can and such a cover

Номер патента: US09764591B2. Автор: Patrick Saint. Владелец: Fillon Technologies SAS. Дата публикации: 2017-09-19.

Compression seal for variably spaced joints

Номер патента: CA1045174A. Автор: Richard D. Hein. Владелец: General Tire and Rubber Co. Дата публикации: 1978-12-26.

Grain cart with container having a pivotable side wall extension panel

Номер патента: CA2753647C. Автор: James E. Wood. Владелец: J&M Manufacturing Co Inc. Дата публикации: 2015-12-08.

Precast concrete stone with angled side wall section

Номер патента: CA2459509A1. Автор: Charles Ciccarello,Serge Chretien. Владелец: Techo Bloc Inc. Дата публикации: 2005-09-04.

Utility Tote Container with Unfastenable and Refastenable Side Walls and End Walls

Номер патента: CA2048919A1. Автор: Leroy Miller,Mark S. Stoll. Владелец: Liberty Diversified Industries Inc. Дата публикации: 1992-07-23.

Utility tote container with unfastenable and refastenable side walls and end walls

Номер патента: CA2048919C. Автор: Leroy Miller,Mark S. Stoll. Владелец: Liberty Diversified Industries Inc. Дата публикации: 1995-05-09.

Load handling system with containers with vertically movable side walls

Номер патента: EP4237351A1. Автор: Matthew Whelan. Владелец: Ocado Innovation Ltd. Дата публикации: 2023-09-06.

Side wall structure of freight car and railway freight car

Номер патента: AU2020436790B2. Автор: Jian He,Junfeng Han,Heping Zheng. Владелец: CRRC Qiqihar Rolling Stock Co Ltd. Дата публикации: 2024-03-21.

Drawer side wall

Номер патента: US20200315346A1. Автор: Markus Kampl. Владелец: JULIUS BLUM GMBH. Дата публикации: 2020-10-08.

Load handling system with containers with vertically movable side walls

Номер патента: AU2021367818B2. Автор: Matthew Whelan. Владелец: Ocado Innovation Ltd. Дата публикации: 2024-06-20.

Container, for transporting fruits and vegetables, comprising side walls hinged to the base

Номер патента: NZ337406A. Автор: Hans Umiker. Владелец: SCHOELLER PLAST AG. Дата публикации: 2001-06-29.

Assembly device for a side wall cladding element of a rail vehicle

Номер патента: US20160031455A1. Автор: Tobias Kehl. Владелец: SIEMENS AG. Дата публикации: 2016-02-04.

Assembly device for a side wall cladding element of a rail vehicle

Номер патента: US09950714B2. Автор: Tobias Kehl. Владелец: SIEMENS AG. Дата публикации: 2018-04-24.

Assembly device for a side wall cladding element of a rail vehicle

Номер патента: US09908537B2. Автор: Tobias Kehl. Владелец: SIEMENS AG. Дата публикации: 2018-03-06.

Plug seals for convenient in use closure assemblies

Номер патента: RU2279381C2. Автор: Роджер Милнер КИНГ. Владелец: Бисан Энд Санз Лимитед. Дата публикации: 2006-07-10.

Sandal having side wall for preventing pronation

Номер патента: WO1985005540A1. Автор: Marion Marsh. Владелец: Scholl, Inc.. Дата публикации: 1985-12-19.

Drawer with metal side walls

Номер патента: CA1300674C. Автор: Erich RÖCK,Josef Brunner. Владелец: JULIUS BLUM GMBH. Дата публикации: 1992-05-12.

Torsionally stiffened in-line roller skate frame having dual side walls

Номер патента: CA2063535A1. Автор: Andrzej M. Malewicz. Владелец: Rollerblade Inc. Дата публикации: 1992-12-14.

Apparatus for unlatching foldable side walls of boxes and containers

Номер патента: CA2714636C. Автор: Volker Pils. Владелец: Fritz Schaefer GmbH. Дата публикации: 2014-04-08.

Tray-style carton having reinforced side walls

Номер патента: US5697548A. Автор: II H. Lee Halsell. Владелец: Mead Corp. Дата публикации: 1997-12-16.

Flexible side wall construction for cargo vehicles

Номер патента: US5176388A. Автор: Terry F. Horton. Владелец: Utility Trailer Manufacturing Co. Дата публикации: 1993-01-05.

Drawer side wall

Номер патента: US11246411B2. Автор: Markus Kampl. Владелец: JULIUS BLUM GMBH. Дата публикации: 2022-02-15.

Refuse container having modular side walls

Номер патента: CA3204004A1. Автор: Samuel Sedory,Nicholas Franiak,Joshua Stidham. Владелец: Wastequip LLC. Дата публикации: 2023-12-20.

Refuse container having modular side walls

Номер патента: US20230406612A1. Автор: Samuel Sedory,Nicholas Franiak,Joshua Stidham. Владелец: Wastequip LLC. Дата публикации: 2023-12-21.

Ergonomic four handle beverage case with strengthened side wall

Номер патента: WO2003068610A9. Автор: Roy Hammett,Basil Thompson Martheenal,Walter Raghunathan. Владелец: Walter Raghunathan. Дата публикации: 2005-01-20.

Turbine blade cooling hole for side wall

Номер патента: US20210317746A1. Автор: Pedro David Laureano,John A. Lucena. Владелец: Raytheon Technologies Corp. Дата публикации: 2021-10-14.

Playpen with air permeable side wall fabric

Номер патента: CA1227602A. Автор: Leo Schwartz. Владелец: DOREL CO Ltd. Дата публикации: 1987-10-06.

Motor vehicle body side wall

Номер патента: US4682812A. Автор: Hans Vogt,Paul Stutenkemper,Oskar Hurten. Владелец: Ford Motor Co. Дата публикации: 1987-07-28.

Asymmetric radial spline seal for a gas turbine engine

Номер патента: CA2853622C. Автор: Victor Hugo Silva Correia,Christopher Michael CEGLIO,David Scott Stapleton. Владелец: General Electric Co. Дата публикации: 2016-12-13.

Valve spool seal with one-piece housing ring having an arcuate side wall and a stepped side wall

Номер патента: US5711535A. Автор: Kurt Stoll,Thomas Müller. Владелец: Festo SE and Co KG. Дата публикации: 1998-01-27.

Machine for grinding or honing the side walls of split piston rings

Номер патента: GB686617A. Автор: . Владелец: WELLWORTHY PISTON RINGS Ltd. Дата публикации: 1953-01-28.

Crate with side walls comprising inlet for a cooling liquid

Номер патента: NZ608398A. Автор: Wolfgang Orgeldinger. Владелец: IFCO SYSTEMS GMBH. Дата публикации: 2014-05-30.

Side wall to floor joint for transportation type vehicle

Номер патента: US3815306A. Автор: K Tantlinger. Владелец: Rohr Industries Inc. Дата публикации: 1974-06-11.

Box with collapsible side walls having a stable side wall structure

Номер патента: RS52890B. Автор: Wolfgang Orgeldinger. Владелец: IFCO SYSTEMS GMBH. Дата публикации: 2014-02-28.

Rail vehicle with oscillation damping side wall construction

Номер патента: US5799583A. Автор: Guido Bieker. Владелец: ABB Henschel AG. Дата публикации: 1998-09-01.

Shield-shaped side-wall protection element and protective device for a vehicle tire

Номер патента: CA2917175C. Автор: Daniel Urban,Johannes Werner Rieger. Владелец: ERLAU AG. Дата публикации: 2018-05-22.

Side wall for a vehicle body

Номер патента: CA2242613C. Автор: Johann Strasser. Владелец: Individual. Дата публикации: 2002-07-16.

Suitcase comprising a lid that overlaps a side wall

Номер патента: US20180360182A1. Автор: Karim Chaouch. Владелец: Stratic Lederwaren Jacob Bonifer GmbH. Дата публикации: 2018-12-20.

Collapsible containers with transparent side walls

Номер патента: US20220205265A1. Автор: Victor Hugo Ocegueda Gallaga,May Kong Wong. Владелец: Polygroup Services NA Inc. Дата публикации: 2022-06-30.

Collapsible containers with transparent side walls

Номер патента: WO2020219529A1. Автор: Victor Hugo Ocegueda Gallaga,May Kong Wong. Владелец: Polygroup Services N.A. Inc.. Дата публикации: 2020-10-29.

Side wall for rotary engine housing

Номер патента: CA3153194A1. Автор: David Gagnon-Martin,Johnny Vinski,Sebastien Bolduc,Vincent Savaria. Владелец: Pratt and Whitney Canada Corp. Дата публикации: 2022-09-23.

Movable side wall group for a ceramic products press

Номер патента: WO2017158571A1. Автор: Alessandro Bianchini. Владелец: SITI - B&T GROUP S.P.A.. Дата публикации: 2017-09-21.

Anti-falling fence hung externally on side wall of wind vane tower barrel

Номер патента: ZA202306285B. Автор: Xiao Han,Hongxin ZHANG,Jinfu Geng,Yaliang Dai. Владелец: Huaneng Tongliao Wind Power Co Ltd. Дата публикации: 2023-11-29.

Bulk bin side wall retention

Номер патента: US20160264289A1. Автор: Joachim Banik. Владелец: Orbis Corp. Дата публикации: 2016-09-15.

Seal for damper blades

Номер патента: CA1108918A. Автор: Louis Josephson. Владелец: AMERICAN HARDWARE AND PAINT CO Inc. Дата публикации: 1981-09-15.

A mould with flexible side walls and bracing

Номер патента: GB2397270A. Автор: Brian Jones. Владелец: NUMOLD. Дата публикации: 2004-07-21.

Floor slab to side wall joint structure for transit vehicle

Номер патента: US4031677A. Автор: Keith W. Tantlinger. Владелец: Rohr Industries Inc. Дата публикации: 1977-06-28.

Light weight pneumatic tire having a thin side wall rubber

Номер патента: CA1047906A. Автор: Takeshi Sato,Hisao Tsuji,Yoichi Kitazawa. Владелец: Bridgestone Corp. Дата публикации: 1979-02-06.

Spun V-grooved sheet metal pulley with thick hub wall integral with thin side wall

Номер патента: US4109542A. Автор: Derald H. Kraft. Владелец: Dyneer Corp. Дата публикации: 1978-08-29.

Industrial furnace with side wall ceramic insulating modules

Номер патента: CA1130558A. Автор: Ewald R. Werych. Владелец: General Signal Corp. Дата публикации: 1982-08-31.

CMT automatic overlaying method for opening in side wall of bimetallic composite pipe

Номер патента: US11926002B1. Автор: Lei Zhao,Yongdian Han,Lianyong Xu,Kangda Hao,Zhaowei Xue. Владелец: TIANJIN UNIVERSITY. Дата публикации: 2024-03-12.

Refuse container having modular side walls

Номер патента: US20230406615A1. Автор: Samuel Sedory,Nicholas Franiak,Joshua Stidham. Владелец: Wastequip LLC. Дата публикации: 2023-12-21.

Tote with side wall drain holes

Номер патента: CA3183952A1. Автор: Jason R. Frankenberg. Владелец: Orbis Corp. Дата публикации: 2023-06-15.

Tote with side wall drain holes

Номер патента: US20230182963A1. Автор: Jason R. Frankenberg. Владелец: Orbis Corp. Дата публикации: 2023-06-15.

Method for inspecting the side wall of an object

Номер патента: EP4127682A1. Автор: Marco Casadio,Andrea SAIANI. Владелец: Sacmi Imola Sc. Дата публикации: 2023-02-08.

Method for inspecting the side wall of an object

Номер патента: WO2021191769A1. Автор: Marco Casadio,Andrea SAIANI. Владелец: Sacmi Cooperativa Meccanici Imola Societa&#39; Cooperativa. Дата публикации: 2021-09-30.

Industrial furnace with side wall ceramic insulating modules

Номер патента: US4300882A. Автор: Ewald R. Werych. Владелец: General Signal Corp. Дата публикации: 1981-11-17.

Side wall structure for an animal stall

Номер патента: CA1132409A. Автор: Martin Gloggler. Владелец: Individual. Дата публикации: 1982-09-28.

Apex seals for slant axis rotary mechanisms

Номер патента: US4056336A. Автор: James A. Ritchie. Владелец: Caterpillar Tractor Co. Дата публикации: 1977-11-01.

Retracting system for flexible side walls for cargo vehicles

Номер патента: US5282663A. Автор: Terry F. Horton. Владелец: Utility Trailer Manufacturing Co. Дата публикации: 1994-02-01.

Engine with side wall mounted vibration isolated manifold

Номер патента: CA1207202A. Автор: Richard B. Wallace,Nasir M. Malik,Carl A. Yarkosky. Владелец: Motors Liquidation Co. Дата публикации: 1986-07-08.

Modular crypt and modular crypt system with niche side wall

Номер патента: US20240068260A1. Автор: Charles T. Young,Kenneth B. Bridgeman. Владелец: Matthews International Corp. Дата публикации: 2024-02-29.

Blister with tilting side-walls

Номер патента: EP2435333A1. Автор: Gerard Stevens,Ian Stevens. Владелец: Manrex Pty Ltd. Дата публикации: 2012-04-04.

Device for applying injection lances or burners to the side walls of electric furnaces for steelmaking

Номер патента: EP1170385A3. Автор: Renato Bianchi,Federico Macario. Владелец: Elti Srl. Дата публикации: 2003-01-15.

Tactile ground surface indicator with sloped side wall and upwardly projecting lip

Номер патента: NZ572592A. Автор: Daniel Dedomenico. Владелец: CTA Australia Pty Ltd. Дата публикации: 2009-09-25.

Trampoline Retrofit with Side Walls and Canopy

Номер патента: US20210093939A1. Автор: Alex Shirley-Smith. Владелец: Tentsile Ltd. Дата публикации: 2021-04-01.

Golf club shaft adaptor with side wall openings

Номер патента: US20040077433A1. Автор: William Blankenship. Владелец: Individual. Дата публикации: 2004-04-22.

Sleeping bag having waterproof bottom and side walls and enclosure for a sleeping pad

Номер патента: US09848689B2. Автор: Cam Brensinger,Kurtis Schnackenberg,Thomas Bath. Владелец: Nemo Equipment Inc. Дата публикации: 2017-12-26.

Railway hopper car side wall construction

Номер патента: US3789773A. Автор: S Lee,G Mcnally,F Brinks. Владелец: Pullman Inc. Дата публикации: 1974-02-05.

Method and apparatus for forming opposing holes in a side wall of a tubular workpiece

Номер патента: US6067830A. Автор: Gerrald A. Klages,Blair J. Longhouse. Владелец: TI Corporate Services Ltd. Дата публикации: 2000-05-30.

Air seal for humidifier water bottle

Номер патента: US5746359A. Автор: Mark J. Tomasiak,Terrence L. Stanek. Владелец: EMERSON ELECTRIC CO. Дата публикации: 1998-05-05.

Air seal for humidifier water bottle

Номер патента: CA2184501C. Автор: Mark J. Tomasiak,Terrence L. Stanek. Владелец: EMERSON ELECTRIC CO. Дата публикации: 2000-01-25.

Method and apparatus for forming opposing holes in a side wall of a tubular workpiece

Номер патента: CA2373998C. Автор: Gerrald A. Klages,Blair J. Longhouse. Владелец: Vari Form Inc Canada. Дата публикации: 2009-01-27.

Pallet system including side wall latch assembly

Номер патента: US5722328A. Автор: Robert J. Darby. Владелец: T H E M International Inc. Дата публикации: 1998-03-03.

Absorbent article with coiled side walls

Номер патента: CA2233365C. Автор: Raymond J. Hull, Jr.,Robert Cole. Владелец: McNeil PPC Inc. Дата публикации: 2007-05-22.

Bulk container with center support between drop door and side wall

Номер патента: WO2014070725A1. Автор: Robert Petersen,Scott Krebs. Владелец: ORBIS CORPORATION. Дата публикации: 2014-05-08.

Modular crypt and modular crypt system with niche side wall

Номер патента: US20170089091A1. Автор: Charles T. Young,Kenneth B. Bridgeman. Владелец: Matthews Resources Inc. Дата публикации: 2017-03-30.

Polymerase chain reaction well including a side wall with a fluoropolymer

Номер патента: EP4355899A1. Автор: Rajesh Kelekar. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2024-04-24.

Trailer with side wall having laminate panel

Номер патента: CA2403743C. Автор: John M. Jones,Mark A. Buchholz,John R. Palm,Charles R. Fetz. Владелец: Great Dane LLC. Дата публикации: 2004-12-28.

Container with expandable side walls and method

Номер патента: CA2150606C. Автор: David K. Evink,Jerome R. Lesmeister,Larry A. Krenz,James E. Lesmeister,Shawn L. Kellen. Владелец: Brothers Industries Inc. Дата публикации: 2003-12-30.

Method and apparatus for taking core samples from a subterranean well side wall

Номер патента: US4629011A. Автор: Paul A. Reinhardt. Владелец: Baker Oil Tools Inc. Дата публикации: 1986-12-16.

Cartridge having a skewed side wall for containing photosensitive material

Номер патента: US4212389A. Автор: Daniel H. Robbins. Владелец: Itek Corp. Дата публикации: 1980-07-15.

Cup with thermally insulated side wall

Номер патента: US5628453A. Автор: Donald N. MacLaughlin. Владелец: Packaging Resources Inc. Дата публикации: 1997-05-13.

Illumination means for vehicle side walls

Номер патента: US4839776A. Автор: Paul G. Grossnickle. Владелец: Individual. Дата публикации: 1989-06-13.

Rubber composition for side wall

Номер патента: US20070049670A1. Автор: Mamoru Uchida,Takao Wada,Tomoaki Hirayama. Владелец: Sumitomo Rubber Industries Ltd. Дата публикации: 2007-03-01.

Improvements in or relating to seals for floating roofs for tanks

Номер патента: GB543884A. Автор: . Владелец: Individual. Дата публикации: 1942-03-18.

Tire side wall cleaner

Номер патента: US4551952A. Автор: James C. West. Владелец: Individual. Дата публикации: 1985-11-12.

Blow molded container having paneled side wall

Номер патента: CA2238094C. Автор: Richard C. Darr. Владелец: Plastipak Packaging Inc. Дата публикации: 2004-05-18.

Conveyor side wall deflector

Номер патента: GB2507228A. Автор: Edward Toye. Владелец: Conveyor Units Ltd. Дата публикации: 2014-04-23.

Side wall for an ink fountain of a printing machine

Номер патента: CA2296177C. Автор: Raffaele Fina. Владелец: De La Rue Giori Sa. Дата публикации: 2008-09-09.

Method of controlling wastegate flow using port side wall contour

Номер патента: EP3055529A1. Автор: Robert Lotz,Brian HANDLON,Jason Chekansky. Владелец: BorgWarner Inc. Дата публикации: 2016-08-17.

Guide wire seal for reamer irrigator aspirator system

Номер патента: AU2018354348A1. Автор: Jeff Kiersh,Sean Kerr,Christopher SHANE,Timothy P. MOSES. Владелец: DePuy Synthes Products Inc. Дата публикации: 2020-03-19.

Guide wire seal for reamer irrigator aspirator system

Номер патента: EP3700438A2. Автор: Jeff Kiersh,Sean Kerr,Christopher SHANE,Timothy P. MOSES. Владелец: DePuy Synthes Products Inc. Дата публикации: 2020-09-02.

Side wall outer plate and vehicle

Номер патента: EP4269215A1. Автор: Qiang Fu,Xiaolei CHEN,Hailin Jiang,Yongle SONG. Владелец: Zhejiang Zhima Intelligent Technology Co Ltd. Дата публикации: 2023-11-01.

Dental Instrument for Measuring a Degree of Tapering of a Side Wall of a Tooth

Номер патента: US20190104983A1. Автор: Hind Sattar Hussein. Владелец: University of Florida Research Foundation Inc. Дата публикации: 2019-04-11.

Clamp device for side wall beams

Номер патента: NZ527933A. Автор: Kari Vappula. Владелец: Consolis Technology Oy Ab. Дата публикации: 2004-02-27.

Cam operated door seal for refrigerator

Номер патента: US5694789A. Автор: Gab Bu Do. Владелец: LG ELECTRONICS INC. Дата публикации: 1997-12-09.

Side wall construction of vehicle body with door reinforced longitudinally

Номер патента: US6450565B2. Автор: Ryouichi Yamamoto. Владелец: Toyota Motor Corp. Дата публикации: 2002-09-17.

A capping board including side wall portions for preventing metal dust release during electrorefining

Номер патента: WO2024000065A1. Автор: Robert Dufresne. Владелец: PULTRUSION TECHNIQUE INC.. Дата публикации: 2024-01-04.

Guide wire seal for reamer irrigator aspirator system

Номер патента: CA3078532A1. Автор: Jeff Kiersh,Sean Kerr,Christopher SHANE,Timothy P MOSES. Владелец: DePuy Synthes Products Inc. Дата публикации: 2019-05-02.

Guide wire seal for reamer irrigator aspirator system

Номер патента: WO2019084352A2. Автор: Jeff Kiersh,Sean Kerr,Christopher SHANE,Timothy P MOSES. Владелец: DePuy Synthes Products, Inc.. Дата публикации: 2019-05-02.

Drawer metal side wall with hooks for connection to drawer bottom plate

Номер патента: US4842351A. Автор: Erich RÖCK,Helmut Hollenstein. Владелец: JULIUS BLUM GMBH. Дата публикации: 1989-06-27.

End component and side wall for a container

Номер патента: CA1259933A. Автор: Malcolm G. Collins. Владелец: Mb Group Plc. Дата публикации: 1989-09-26.

Drawer side wall

Номер патента: US3722974A. Автор: D Hartman. Владелец: Individual. Дата публикации: 1973-03-27.

Airbag module with collapsible side wall

Номер патента: US5533747A. Автор: Larry D. Rose. Владелец: Morton International LLC. Дата публикации: 1996-07-09.

Silicone elastomer seals for molded plastic parts

Номер патента: WO1996019297A1. Автор: Ray Conrad Hetherington. Владелец: New Southland, Inc.. Дата публикации: 1996-06-27.

Sports helmet with transparent windows in the side walls

Номер патента: US5101517A. Автор: Willie Douglas. Владелец: Individual. Дата публикации: 1992-04-07.

Drag reducing add-on device for side walls of large commercial vehicles

Номер патента: WO2020013773A1. Автор: Canberk INSEL,Ahmet Ozan CELIK. Владелец: ANADOLU UNIVERSITESI. Дата публикации: 2020-01-16.

Seal for a container lid

Номер патента: AU5182890A. Автор: Manfred Löffler. Владелец: Alcoa Deutschland GmbH. Дата публикации: 1990-10-22.

Metal mold for molding hollow article having inwardly tapered side wall

Номер патента: US4362291A. Автор: RYOICHI Watanabe,Yasukiyo Fuke. Владелец: Toshiba Machine Co Ltd. Дата публикации: 1982-12-07.

Building side wall construction and panel therefor

Номер патента: US4633634A. Автор: Albert E. Nemmer,Albert W. Nemmer,Joseph E. Nemmer. Владелец: Individual. Дата публикации: 1987-01-06.

Side wall for a rail vehicle

Номер патента: US11708096B2. Автор: Martin Bigras,Danny Higgins,Gaston Morneau,Yvan Gosselin,Stephane Goulet,Nancy Lessard. Владелец: BOMBARDIER TRANSPORTATION GMBH. Дата публикации: 2023-07-25.

Catheter assembly with side wall exit lumen and method therefor

Номер патента: AU2003245646A1. Автор: Brian Honebrink. Владелец: MedAmicus Inc. Дата публикации: 2004-01-06.

Container rotator with improved side wall support

Номер патента: AU2022206697A1. Автор: Murray Robert BRIDLE. Владелец: Container Rotation Systems Pty Ltd. Дата публикации: 2023-02-23.

Cutting unit with movable side walls

Номер патента: CA3154439A1. Автор: Michael Pokriefke,Steffen Sudhues. Владелец: Carl Geringhoff GmbH and Co KG. Дата публикации: 2021-04-15.

Heat resistant conveyor belt with side walls

Номер патента: EP1930257B1. Автор: Dun Zhang,Chengcai Sun. Владелец: Qingdao Rubber Six Group Co Ltd. Дата публикации: 2012-03-07.

Side wall for a rail vehicle body

Номер патента: EP3786024A1. Автор: Martin Bigras,Danny Higgins,Gaston Morneau,Yvan Gosselin,Stephane Goulet,Nancy Lessard. Владелец: BOMBARDIER TRANSPORTATION GMBH. Дата публикации: 2021-03-03.

Apex seal for a rotary internal combustion engine

Номер патента: US3857656A. Автор: Y Ishikawa. Владелец: Nissan Motor Co Ltd. Дата публикации: 1974-12-31.

O-ring/back-up ring seal for high pressure transducers

Номер патента: US4903164A. Автор: Herman Purut,Robert P. Bishop. Владелец: Texas Instruments Inc. Дата публикации: 1990-02-20.

Universal seal for trocar assembly

Номер патента: US5197955A. Автор: Robert Farra,Dean Pichon,Randy R. Stephens,John M. Collins. Владелец: Ethicon Inc. Дата публикации: 1993-03-30.

A membrane seal for use between high pressure and low pressure regioens of a gas turbine engine

Номер патента: GB2385642A. Автор: Robert Hirst. Владелец: Alstom SA. Дата публикации: 2003-08-27.

High-temperature seals for use in rotary valves

Номер патента: RU2659429C2. Автор: Трентон Фрэнк ДЖЕКСОН. Владелец: ФИШЕР КОНТРОЛЗ ИНТЕРНЕШНЕЛ ЛЛС. Дата публикации: 2018-07-02.

Metal seal for ball valves and ball valve with mentioned seal

Номер патента: RU2552654C2. Автор: Вальтер РИККАРДИ. Владелец: ЧЕЗАРЕ БОНЕТТИ С.П.А.. Дата публикации: 2015-06-10.

Permeable pressure-resistant sealing for container

Номер патента: RU2273857C2. Автор: Йохан УЛИН,Йохан УЛИН (SE). Владелец: Персонал Кемистри И Уппсала Аб. Дата публикации: 2006-04-10.

Plate and seal for plate-type heat exchanger

Номер патента: RU2478892C2. Автор: Йоаким КРАНТЗ. Владелец: Альфа Лаваль Корпорейт Аб. Дата публикации: 2013-04-10.

Annular sealing for insert-type coupling joint

Номер патента: RU2244196C1. Автор: Альвин ЛАМПИНГ. Владелец: М.О.Л. Гуммиферарбайтунг Гмбх Унд Ко.Кг. Дата публикации: 2005-01-10.

Low k dielectric gapfill

Номер патента: WO2024129962A1. Автор: Dennis M. Hausmann,Bart J. van Schravendijk,Joel David Smith,Michael David GALLUZZO. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2024-06-20.

Apparatus and Methods for Low K Dielectric Layers

Номер патента: US20130072031A1. Автор: Liou Joung-Wei,Yang Hui-Chun,Peng Yu-Yun,Lin Keng-Chu. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2013-03-21.

Mechanical polishing liquid for low-k dielectric material

Номер патента: CN104194644A. Автор: 李松. Владелец: QINGDAO HUA CHENG TIAN MACHINERY MANUFACTURING Co Ltd. Дата публикации: 2014-12-10.

Processing method for low-k thin film

Номер патента: TWI240356B. Автор: Yung-Chen Lu,Chung-Chi Ko,Lih-Ping Li. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2005-09-21.

Improve the method for low-k material Kink defect

Номер патента: CN105225941A. Автор: 吴敏,王一,傅海林. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2016-01-06.

Chemical mechanical polishing slurry for low-k material

Номер патента: TW200923055A. Автор: Jery Guo-Dong Chen,Peter Wei-Hong Song,Judy Jian-Fen Jing,Daisy Ying Yao. Владелец: Anji Microelectronics Co Ltd. Дата публикации: 2009-06-01.

Porous low-k dielectric film and fabrication method thereof

Номер патента: TW200729395A. Автор: Mei-Ling Chen,Su-Jen Sung,Jei-Ming Chen,Kuo-Chih Lai. Владелец: United Microelectronics Corp. Дата публикации: 2007-08-01.

Method of etching a low-k dielectric layer

Номер патента: TW200415723A. Автор: Chun-Feng Nieh,Ching-Fan Wang,Fung-Hsu Cheng,Zhen-Long Chen. Владелец: Silicon Integrated Sys Corp. Дата публикации: 2004-08-16.

Porous low-k dielectric film and fabrication method thereof

Номер патента: TWI263301B. Автор: Mei-Ling Chen,Su-Jen Sung,Jei-Ming Chen,Kuo-Chih Lai. Владелец: United Microelectronics Corp. Дата публикации: 2006-10-01.

Organo-silsesquioxane polymers for forming low-k dielectrics

Номер патента: TWI367909B. Автор: Juha Rantala,Jyri Paulasaari. Владелец: SILECS OY. Дата публикации: 2012-07-11.

Method of etching a low-k dielectric layer

Номер патента: TW580738B. Автор: Chun-Feng Nieh,Ching-Fan Wang,Fung-Hsu Cheng,Zhen-Long Chen. Владелец: Silicon Integrated Sys Corp. Дата публикации: 2004-03-21.

METHODS OF FORMING NON-VOLATILE MEMORY DEVICES INCLUDING LOW-K DIELECTRIC GAPS IN SUBSTRATES AND DEVICES SO FORMED

Номер патента: US20120061763A1. Автор: . Владелец: . Дата публикации: 2012-03-15.

METHOD OF PATTERNING A LOW-K DIELECTRIC FILM

Номер патента: US20120077344A1. Автор: Nemani Srinivas D.,Zhou Yifeng,Doan Khoi,Pender Jeremiah T. P.. Владелец: . Дата публикации: 2012-03-29.

Method Of Processing Low K Dielectric Films

Номер патента: US20120122320A1. Автор: Naik Mehul,Lakshmanan Annamalai,Ma Paul F.,Shan Jennifer,Cui Zhenjiang,Phan See-Eng. Владелец: Applied Materials, Inc.. Дата публикации: 2012-05-17.

MULTIPLE PATTERNING USING IMPROVED PATTERNABLE LOW-k DIELECTRIC MATERIALS

Номер патента: US20120161296A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-06-28.

Method of Manufacturing a Semiconductor Device Having a Porous, Low-K Dielectric Layer

Номер патента: US20120178253A1. Автор: . Владелец: . Дата публикации: 2012-07-12.

SELF FORMING METAL FLUORIDE BARRIERS FOR FLUORINATED LOW-K DIELECTRICS

Номер патента: US20120258588A1. Автор: Jezewski Christopher J.,Zierath Daniel J.,Gstrein Florian. Владелец: . Дата публикации: 2012-10-11.

Curing Low-k Dielectrics for Improving Mechanical Strength

Номер патента: US20120306098A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-12-06.

METHODS FOR REPAIRING LOW-K DIELECTRICS USING CARBON PLASMA IMMERSION

Номер патента: US20120309114A1. Автор: YAO DAPING,PORSHNEV PETER I.. Владелец: Applied Materials, Inc.. Дата публикации: 2012-12-06.

METHOD OF MULTIPLE PATTERNING OF A LOW-K DIELECTRIC FILM

Номер патента: US20130023122A1. Автор: Nemani Srinivas D.,Zhou Yifeng,Yieh Ellie,LUBOMIRSKY DMITRY. Владелец: . Дата публикации: 2013-01-24.

METHOD OF REMOVING A PHOTORESIST FROM A LOW-K DIELECTRIC FILM

Номер патента: US20130023123A1. Автор: Nemani Srinivas D.,Zhou Yifeng,Doan Khoi,Pender Jeremiah T. P.. Владелец: . Дата публикации: 2013-01-24.

Low-K Dielectric Layer and Porogen

Номер патента: US20130032955A1. Автор: Liou Joung-Wei,Yang Hui-Chun,Peng Yu-Yun,Lin Keng-Chu. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2013-02-07.

METHOD OF PATTERNING A LOW-K DIELECTRIC FILM

Номер патента: US20130040464A1. Автор: Nemani Srinivas D.,Zhou Yifeng,Doan Khoi,Pender Jeremiah T.. Владелец: . Дата публикации: 2013-02-14.

POST ETCH TREATMENT (PET) OF A LOW-K DIELECTRIC FILM

Номер патента: US20130109187A1. Автор: Nemani Srinivas D.,Bright Nicolas J.,Lill Thorsten B.,Zhou Yifeng,Saephan Jamie,Yieh Ellie. Владелец: . Дата публикации: 2013-05-02.

Through-Silicon Via With Low-K Dielectric Liner

Номер патента: US20130119521A1. Автор: Chen Ming-Fa. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2013-05-16.

METHODS FOR FABRICATING INTEGRATED CIRCUITS INCLUDING IN-LINE DIAGNOSTICS PERFORMED ON LOW-K DIELECTRIC LAYERS

Номер патента: US20130177999A1. Автор: Shamiryan Denis. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-07-11.

Hybrid interconnect scheme including aluminum metal line in low-k dielectric

Номер патента: US20140021614A1. Автор: Yu Chen-Hua,BAO Tien-I. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2014-01-23.

SEMICONDUCTOR DEVICE INCLUDING LOW-K DIELECTRIC CAP LAYER FOR GATE ELECTRODES AND RELATED METHODS

Номер патента: US20140124865A1. Автор: Zhang John H.. Владелец: STMicroelectronics, Inc.. Дата публикации: 2014-05-08.

The forming method of ultra-low K dielectric layer

Номер патента: CN105720005B. Автор: 邓浩. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-04-26.

Formation of copper interconnects by using low-k dielectric material

Номер патента: TW466691B. Автор: Ming-Sheng Yang,Jeng-Yuan Tsai,Jr-Jian Liou. Владелец: United Microelectronics Corp. Дата публикации: 2001-12-01.

POWER SEMICONDUCTOR MODULE HAVING LAYERED INSULATING SIDE WALLS

Номер патента: US20120001317A1. Автор: . Владелец: SIEMENS AKTIENGESELLSCHAFT. Дата публикации: 2012-01-05.

Sleeper side wall of a truck vehicle

Номер патента: CA113455S. Автор: . Владелец: International Truck Intellectual Property Co LLC. Дата публикации: 2007-03-05.

Mattress side wall

Номер патента: AU201614750S. Автор: . Владелец: Madad Pty Ltd. Дата публикации: 2016-09-12.

Tool for Atraumatic Osteotomy of Nose Bones Side Walls

Номер патента: GEP19980544B. Автор: Omar Nemsadze,Guram Gigineishvili. Владелец: Tbilisi Medical Acad. Дата публикации: 1998-01-29.

Modular crypt and modular crypt system with niche side wall

Номер патента: CA2762740C. Автор: Charles T. Young,Kenneth B. Bridgeman. Владелец: Matthews Resources Inc. Дата публикации: 2019-01-29.

Modular crypt and modular crypt system with niche side wall

Номер патента: CA3028096C. Автор: Charles T. Young,Kenneth B. Bridgeman. Владелец: Matthews International Corp. Дата публикации: 2021-11-09.

Paver with recess in a side wall to define a liquid drainage cavity

Номер патента: NZ331005A. Автор: Glenn Whiteford,Marc Mearing,Glen Scott Fuller. Владелец: Rocla Ltd. Дата публикации: 2001-12-21.

Silicone elastomer seals for molded plastic parts

Номер патента: WO1996019297A9. Автор: . Владелец: . Дата публикации: 1996-09-06.

A cover for a winch with a removable side wall

Номер патента: AU320100S. Автор: . Владелец: Dalext Products Pty Ltd. Дата публикации: 2008-07-01.

DRIVESHAFT SEALING FOR A MARINE PROPULSION SYSTEM

Номер патента: US20120003886A1. Автор: . Владелец: BOMBARDIER RECREATIONAL PRODUCTS INC.. Дата публикации: 2012-01-05.

Cooled gland seal for vessels with explosive mixture

Номер патента: RU2424459C1. Автор: Олег Савельевич Кочетов. Владелец: Олег Савельевич Кочетов. Дата публикации: 2011-07-20.

Wet seal for butt joints

Номер патента: RU2188912C1. Автор: В.А. Устюгов. Владелец: Устюгов Владимир Аркадьевич. Дата публикации: 2002-09-10.

Radial seal for wankel engine

Номер патента: RU2395693C2. Автор: . Владелец: Ямилев Марат Мунаварович. Дата публикации: 2010-07-27.