• Главная
  • Method for partially removing tungsten in semiconductor manufacturing process

Method for partially removing tungsten in semiconductor manufacturing process

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method for manufacturing thin film transistor and pixel unit thereof

Номер патента: US09679995B2. Автор: Peng Wei,Xiaojun Yu,Zihong Liu. Владелец: Shenzhen Royole Technologies Co Ltd. Дата публикации: 2017-06-13.

Laser annealing method, laser annealing apparatus, and manufacturing process for thin film transistor

Номер патента: US20190245063A1. Автор: Michinobu Mizumura. Владелец: V Technology Co Ltd. Дата публикации: 2019-08-08.

Laser annealing method, laser annealing apparatus, and manufacturing process for thin film transistor

Номер патента: US20180040718A1. Автор: Michinobu Mizumura. Владелец: V Technology Co Ltd. Дата публикации: 2018-02-08.

Method for forming semiconductor device structure

Номер патента: US09786754B1. Автор: Chih-Ping Lin,Chung-Yeh Lee. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2017-10-10.

Method to prevent lateral epitaxial growth in semiconductor devices

Номер патента: US09590074B1. Автор: Hui Zang,BALASUBRAMANIAN Pranatharthiharan. Владелец: International Business Machines Corp. Дата публикации: 2017-03-07.

Method for forming semiconductor structure

Номер патента: US12034061B2. Автор: Yee-Chia Yeo,Chien-Wei Lee,Hsueh-Chang Sung,Yen-Ru LEE. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Method to prevent lateral epitaxial growth in semiconductor devices

Номер патента: US09825044B2. Автор: Hui Zang,BALASUBRAMANIAN Pranatharthiharan. Владелец: International Business Machines Corp. Дата публикации: 2017-11-21.

Method to prevent lateral epitaxial growth in semiconductor devices

Номер патента: US09812368B2. Автор: Hui Zang,BALASUBRAMANIAN Pranatharthiharan. Владелец: International Business Machines Corp. Дата публикации: 2017-11-07.

FDSOI semiconductor structure and method for manufacturing the same

Номер патента: US09548317B2. Автор: Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2017-01-17.

Method for fabricating multiple layers of ultra narrow silicon wires

Номер патента: US09425060B2. Автор: HAO Zhang,Ming Li,Ru Huang,Yuancheng YANG,Jiewen Fan,Haoran Xuan. Владелец: PEKING UNIVERSITY. Дата публикации: 2016-08-23.

Method for forming semiconductor device structure

Номер патента: US09799665B2. Автор: Shih-Chang Liu,Chang-Ming Wu,Tsung-Hsueh Yang,Chung-Chiang Min. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-24.

Method for producing a field-effect transistor

Номер патента: US20240234547A1. Автор: Klaus Heyers,Jens Baringhaus. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2024-07-11.

Method to prevent lateral epitaxial growth in semiconductor devices

Номер патента: US09425108B1. Автор: Hui Zang,BALASUBRAMANIAN Pranatharthiharan. Владелец: International Business Machines Corp. Дата публикации: 2016-08-23.

Contact Isolation in Semiconductor Devices

Номер патента: US20210066116A1. Автор: Zheng Tao,Boon Teik CHAN,Waikin Li. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2021-03-04.

Contact isolation in semiconductor devices

Номер патента: US11862452B2. Автор: Zheng Tao,Boon Teik CHAN,Waikin Li. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-01-02.

Method for manufacturing semiconductor device

Номер патента: US20150179528A1. Автор: Shukun Yu,Qingsong WEI. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2015-06-25.

Tft array substrate and method for manufacturing the same

Номер патента: US20150311233A1. Автор: Lung Pao Hsin,Tianwang HUANG. Владелец: EverDisplay Optronics Shanghai Co Ltd. Дата публикации: 2015-10-29.

Method for the surface modification of thin flim active layer in semiconductor based biosensor

Номер патента: KR102089396B1. Автор: 정영도,신항범. Владелец: 주식회사 엘지화학. Дата публикации: 2020-03-16.

Method for making aluminum nitride wafer and aluminum nitride wafer made by the same

Номер патента: US20210287996A1. Автор: Yan-Kai Zeng,Bai-Xuan Jiang. Владелец: Hong Chuang Applied Technology Co Ltd. Дата публикации: 2021-09-16.

Method for making aluminum nitride wafer and aluminum nitride wafer made by the same

Номер патента: US11355448B2. Автор: Yan-Kai Zeng,Bai-Xuan Jiang. Владелец: Hong Chuang Applied Technology Co Ltd. Дата публикации: 2022-06-07.

Method for manufacturing semiconductor device

Номер патента: US20090146302A1. Автор: In-Cheol Baek. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-06-11.

Method for forming semiconductor structure

Номер патента: US09666687B1. Автор: Sheng-Hao Lin,Huai-Tzu Chiang,Hao-Ming Lee. Владелец: United Microelectronics Corp. Дата публикации: 2017-05-30.

Semiconductor structure and method for manufacturing the same

Номер патента: US20130134516A1. Автор: Qiuxia Xu,Huajie Zhou. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-05-30.

Super Junction Device and Method for Making the Same

Номер патента: US20230006036A1. Автор: Shengan Xiao,Dajie Zeng. Владелец: Shenzhen Sanrise Tech Co ltd. Дата публикации: 2023-01-05.

Semiconductor structure and method for manufacturing the same

Номер патента: US8932927B2. Автор: Qiuxia Xu,Huajie Zhou. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2015-01-13.

Super junction device and method for making the same

Номер патента: US12136648B2. Автор: Shengan Xiao,Dajie Zeng. Владелец: Shenzhen Sanrise Tech Co ltd. Дата публикации: 2024-11-05.

Array substrate used in liquid crystal panel and manufacturing method for the same

Номер патента: US09759941B2. Автор: Zuyou YANG. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2017-09-12.

Method for manufacturing gate in semiconductor device

Номер патента: US20020001934A1. Автор: Hai Won Kim,Kwang Joo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-01-03.

METHOD FOR FORMING AND USING STRESS-TUNED SILICON OXIDE FILMS IN SEMICONDUCTOR DEVICE PATTERNING

Номер патента: US20200152473A1. Автор: deVilliers Anton,Leusink Gerrit J.,Tapily Kandabara N.. Владелец: . Дата публикации: 2020-05-14.

Method for forming a inter layer dielectric of metal line in semiconductor device

Номер патента: KR100326814B1. Автор: 구자춘. Владелец: 박종섭. Дата публикации: 2002-03-04.

Method for inducing stress in semiconductor devices

Номер патента: US11757039B2. Автор: Gaspard Hiblot,Geert Van Der Plas. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-09-12.

Methods for normalizing strain in a semiconductor device

Номер патента: SG155836A1. Автор: Tan Chung Foong,TEO Lee Wee,Quek Kiok Boone Elgin,Alain Chan. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2009-10-29.

Method for producing a self aligned type epitaxial co silicide in semiconductor device

Номер патента: KR100289394B1. Автор: 손동균,변정수. Владелец: 현대반도체 주식회사. Дата публикации: 2001-07-12.

Method for forming a contact using a dual damascene process in semiconductor fabrication

Номер патента: US7166532B2. Автор: In Kyu Chun. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-01-23.

Method for forming self-aligned double pattern and semiconductor structures

Номер патента: US12100593B2. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Method for manufacturing non-volatile memory

Номер патента: US20200243653A1. Автор: Dan Ning,Tengfeng Wang. Владелец: Chengdu Analog Circuit Technology Inc. Дата публикации: 2020-07-30.

Method for manufacturing non-volatile memory

Номер патента: US11296194B2. Автор: Dan Ning,Tengfeng Wang. Владелец: Chengdu Analog Circuit Technology Inc. Дата публикации: 2022-04-05.

Displacement measurements in semiconductor wafer processing

Номер патента: WO2022251266A1. Автор: Ehud Chatow,Justin Wong. Владелец: Applied Materials, Inc.. Дата публикации: 2022-12-01.

Semiconductor dielectric structure and method for making the same

Номер патента: US20010023989A1. Автор: Milind Weling,Rao Annapragada. Владелец: Philips Electronics North America Corp. Дата публикации: 2001-09-27.

Method and apparatus for manufacturing semiconductor manufacturing parts by using jig

Номер патента: US20200111661A1. Автор: Ki Won Kim. Владелец: Tokai Carbon Korea Co Ltd. Дата публикации: 2020-04-09.

Method for forming gate oxide layer in semiconductor device

Номер патента: US20050142770A1. Автор: Yong Choi. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Method for forming a plane structure

Номер патента: US20040033628A1. Автор: Jui-Tsen Huang,Kuei-Chun Hung. Владелец: United Microelectronics Corp. Дата публикации: 2004-02-19.

Method for fabricating an inter dielectric layer in semiconductor device

Номер патента: US09437423B2. Автор: Byung Soo Eun. Владелец: SK hynix Inc. Дата публикации: 2016-09-06.

Method for processing a carrier

Номер патента: US20160307804A1. Автор: Marko Lemke,Stefan Tegen. Владелец: Infineon Technologies Dresden GmbH and Co KG. Дата публикации: 2016-10-20.

Method for processing a carrier

Номер патента: US09984930B2. Автор: Marko Lemke,Stefan Tegen. Владелец: Infineon Technologies Dresden GmbH and Co KG. Дата публикации: 2018-05-29.

Method for patterning active areas in semiconductor structure

Номер патента: US20240243005A1. Автор: Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-18.

Method for patterning active areas in semiconductor structure

Номер патента: US20240243003A1. Автор: Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-18.

Methods for particle reduction in semiconductor processing

Номер патента: US09721783B2. Автор: Hung-Wen Chang,Tien-Chih CHENG,Du-Cheng Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-08-01.

Cleaning composition and cleaning method for component of semiconductor manufacturing process chamber

Номер патента: US20220135916A1. Автор: Yasuo Suzuki,Isao Hirano. Владелец: Tokyo Ohka Kogyo Co Ltd. Дата публикации: 2022-05-05.

Method and device for fabricating a layer in semiconductor material

Номер патента: US09528196B2. Автор: Michel Bruel. Владелец: Soitec SA. Дата публикации: 2016-12-27.

Method for Preparing a Source Material for Ion Implantation

Номер патента: US20070178651A1. Автор: Amitabh Jain. Владелец: Texas Instruments Inc. Дата публикации: 2007-08-02.

Method and apparatus for providing mask in semiconductor processing

Номер патента: WO2007136515A1. Автор: Jonathan Kim,Camelia Rusu,Yoojin Kim. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2007-11-29.

Method for removing mottled etch in semiconductor fabricating process

Номер патента: US7276452B2. Автор: Hyung Seok Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-10-02.

Process recipe, method and system for generating same, and semiconductor manufacturing method

Номер патента: US20230221702A1. Автор: Shaowen QIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-07-13.

Method for forming interlayer insulating film in semiconductor device

Номер патента: US8048802B2. Автор: Ho-Yeong Choe. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2011-11-01.

Method for Forming Super Contact in Semiconductor Device

Номер патента: US20100140806A1. Автор: Sang Chul Kim. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2010-06-10.

Method for fabrication of floating gate in semiconductor device

Номер патента: US20090176320A1. Автор: Jin-Ho Kim,Ki-Min Lee. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-07-09.

Method for manufacturing a semiconductor device based on epitaxial growth

Номер патента: US09293625B2. Автор: Yanting SUN,Sebastian Lourdudoss. Владелец: Tandem Sun AB. Дата публикации: 2016-03-22.

Semiconductor element and its manufacturing process

Номер патента: RU2237949C2. Автор: Штефан ЛИНДЕР. Владелец: Абб Швайц Холдинг Аг. Дата публикации: 2004-10-10.

Method for manufacturing semiconductor device

Номер патента: US11723198B2. Автор: Hironobu Sato. Владелец: Kioxia Corp. Дата публикации: 2023-08-08.

Method for manufacturing semiconductor device

Номер патента: US20220085049A1. Автор: Hironobu Sato. Владелец: Kioxia Corp. Дата публикации: 2022-03-17.

The statistic analysis of fault detection and classification in semiconductor manufacturing

Номер патента: WO2007052949A1. Автор: Jae Keun Lee,Heung Seob Koo. Владелец: Isemicon, Inc. Дата публикации: 2007-05-10.

Method for operating semiconductor manufacturing equipment

Номер патента: US09580800B2. Автор: Byoung-Hoon Lee,Min-Woo Song,June-Hee Lee,Seok-jun Won,Geun-Woo Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-02-28.

Radiation Control in Semiconductor Processing

Номер патента: US20220415721A1. Автор: Chung-Yi Su,Ming-Feng Lee,Yu-Chun TAI,Ta-Ching Yang,Ping-Cheng LU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-12-29.

Method for shrinking critical dimension

Номер патента: US20020055252A1. Автор: Ching-Yu Chang. Владелец: Macronix International Co Ltd. Дата публикации: 2002-05-09.

Method for creating contacts in semiconductor substrates

Номер патента: US09466527B2. Автор: Anton J. deVilliers. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-10-11.

Thin film transistor and method for manufacturing the same

Номер патента: US20020076862A1. Автор: Jr-Hong Chen,I-Min Lu. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2002-06-20.

Methods for barrier layer removal

Номер патента: US09514953B2. Автор: Srinivas D. Nemani,He REN,Mehul Naik,Chia-Ling Kao,Sean Kang,Jeremiah T. Pender. Владелец: Applied Materials Inc. Дата публикации: 2016-12-06.

Method for manufacturing TFT substrate and structure thereof

Номер патента: US09666653B2. Автор: Wenhui Li. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2017-05-30.

Array substrate of X-ray sensor and method for manufacturing the same

Номер патента: US09786711B2. Автор: DONG Yang. Владелец: Beijing BOE Optoelectronics Technology Co Ltd. Дата публикации: 2017-10-10.

Array substrate of X-ray sensor and method for manufacturing the same

Номер патента: US09484384B2. Автор: DONG Yang. Владелец: Beijing BOE Optoelectronics Technology Co Ltd. Дата публикации: 2016-11-01.

Method for monitoring a production process for preparing a substrate in semiconductor manufacturing

Номер патента: EP1200982A1. Автор: Ferdinand Bell. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2002-05-02.

Method for improve life time of polyimide-type electrostatic chuck in semiconductor manufacturing apparatus

Номер патента: KR20050064336A. Автор: 임완택. Владелец: 삼성전자주식회사. Дата публикации: 2005-06-29.

TRIPLE PIPE HEATING DEVICE OF EASY INSTALLATION FOR HEATING EXHAUST GAS IN SEMICONDUCTOR AND LCD MANUFACTURING PROCESS

Номер патента: US20200049297A1. Автор: Lee Seungyong. Владелец: . Дата публикации: 2020-02-13.

Method for fabricating metal electrode with atomic layer deposition (ALD) in semiconductor device

Номер патента: US6808978B2. Автор: Younsoo Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-10-26.

Method for recognizing working position of a device transfer apparatus in semiconductor test handler

Номер патента: US20030113010A1. Автор: Hyun Hwang. Владелец: Mirae Corp. Дата публикации: 2003-06-19.

Method for recognizing working position of a device transfer apparatus in semiconductor test handler

Номер патента: TW200301529A. Автор: Hyun-Joo Hwang. Владелец: Mirae Corp. Дата публикации: 2003-07-01.

Method for using acoustic waves for purging filters in semiconductor manufacturing equipment

Номер патента: US09480938B2. Автор: Guy Jacobson. Владелец: WaferTech LLC. Дата публикации: 2016-11-01.

Bubbler for use in semiconductor manufacturing process

Номер патента: US20240278142A1. Автор: Tae Hwa LIM,Myeong Mun KIM. Владелец: Rc Tech Co ltd. Дата публикации: 2024-08-22.

Method for lower thermal budget multiple cures in semiconductor packaging

Номер патента: US09508616B2. Автор: Robert L. Hubbard,Iftikhar Ahmad. Владелец: Applied Materials Inc. Дата публикации: 2016-11-29.

Apparatus for loading wafers and method for loading wafers using the same

Номер патента: US20060025055A1. Автор: Dong-Ho Lee,Geum-Sik Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-02-02.

Autonomous mobile robot and semiconductor manufacturing equipment including the same

Номер патента: US20240152131A1. Автор: Sang Ok Park,Seungchan Lee,Hoyoung Lee. Владелец: Semes Co Ltd. Дата публикации: 2024-05-09.

Statistical method for monitoring manufacturing equipment and processing operations

Номер патента: US9275918B2. Автор: Shih-Tzung Chang,Liwen Lu. Владелец: WaferTech LLC. Дата публикации: 2016-03-01.

Chemical supply device for use in semiconductor manufacturing process

Номер патента: US20240274443A1. Автор: Tae Hwa LIM,Myeong Mun KIM. Владелец: Rc Tech Co ltd. Дата публикации: 2024-08-15.

A non-invasive system and method for diagnosing potential malfunctions of semiconductor equipment components

Номер патента: WO2000047953A1. Автор: Roger L. Bottemfield. Владелец: Signalysis, L.L.C.. Дата публикации: 2000-08-17.

A non-invasive system and method for diagnosing potential malfunctions of semiconductor equipment components

Номер патента: EP1192421A4. Автор: Roger L Bottemfield. Владелец: SIGNALYSIS LLC. Дата публикации: 2006-04-19.

A non-invasive system and method for diagnosing potential malfunctions of semiconductor equipment components

Номер патента: EP1192421A1. Автор: Roger L. Bottemfield. Владелец: SIGNALYSIS LLC. Дата публикации: 2002-04-03.

Materials, structures, and methods for optical and electrical III-nitride semiconductor devices

Номер патента: US09978894B2. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2018-05-22.

Materials, structures, and methods for optical and electrical III-nitride semiconductor devices

Номер патента: US09608145B2. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2017-03-28.

Methods for making semiconductor device with sealing resin

Номер патента: US09472540B2. Автор: Junji Tanaka,Masanori Onodera,Kouichi Meguro. Владелец: VALLEY DEVICE MANAGEMENT. Дата публикации: 2016-10-18.

Semiconductor device and method for manufacturing the same

Номер патента: US20150056730A1. Автор: Myung cheol Yoo,Sang Don Lee,Se Jong Oh,Kyu Sung Hwang,Moo Keun Park. Владелец: VERTICLE Inc. Дата публикации: 2015-02-26.

Methods for forming on-chip capacitor structures in semiconductor devices

Номер патента: US12108603B2. Автор: LIANG Chen. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-10-01.

Shape memory polymer for use in semiconductor device fabrication

Номер патента: US20210313241A1. Автор: Benjamin Stassen Cook,Steven Alfred Kummerl. Владелец: Texas Instruments Inc. Дата публикации: 2021-10-07.

Methods for forming shallow junctions in semiconductor wafers

Номер патента: EP1019952A1. Автор: Daniel F. Downey. Владелец: Varian Semiconductor Equipment Associates Inc. Дата публикации: 2000-07-19.

Method for forming metal wires in semiconductor device

Номер патента: US20050233579A1. Автор: Ihl Cho. Владелец: Individual. Дата публикации: 2005-10-20.

Method for Forming Isolation Layer in Semiconductor Devices

Номер патента: US20070166949A1. Автор: In Kyu Chun. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-19.

Method for fabricating isolation layer in semiconductor device

Номер патента: US20040203225A1. Автор: Seung Pyi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-10-14.

Method for forming interlayer insulation film in semiconductor device

Номер патента: US7160810B2. Автор: Sang Wook Ryu,Kang Sup Shin. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-01-09.

Method for manufacturing semiconductor structure, and semiconductor structure

Номер патента: EP4276894A1. Автор: Jie Bai,Kang You. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-11-15.

Method for fabricating polycide dual gate in semiconductor device

Номер патента: US20010006832A1. Автор: Jong Bae,Ji Park,Dong Sohn. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2001-07-05.

Method for forming interlayer insulation film in semiconductor device

Номер патента: US20050142848A1. Автор: Kang Shin,Sang Ryu. Владелец: Individual. Дата публикации: 2005-06-30.

Method for wafer etching in deep silicon trench etching process

Номер патента: US09728472B2. Автор: Xiaoming Li,Anna Zhang. Владелец: CSMC Technologies Fab1 Co Ltd. Дата публикации: 2017-08-08.

Temperature calibration methods for semiconductor machine

Номер патента: US20220319883A1. Автор: ShihChieh LIN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-10-06.

Method of forming interconnectings in semiconductor devices

Номер патента: US20020090810A1. Автор: Mario Napolitano. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2002-07-11.

System and method for controlling semiconductor manufacturing equipment

Номер патента: US20210343561A1. Автор: Feng-Ju Tsai,Shyue-Ru Doong. Владелец: Nanya Technology Corp. Дата публикации: 2021-11-04.

Methods for forming ultrashallow junctions with low sheet resistance

Номер патента: WO2002086953A1. Автор: Daniel F. Downey. Владелец: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.. Дата публикации: 2002-10-31.

Method for depositing extremely low resistivity tungsten

Номер патента: US09589808B2. Автор: Raashina Humayun,Deqi Wang,Hanna Bamnolker,Yan Guan. Владелец: Lam Research Corp. Дата публикации: 2017-03-07.

Semiconductor manufacturing apparatus and method for controlling operation of semiconductor manufacturing apparatus

Номер патента: US20240203768A1. Автор: Kazuma Ideguchi. Владелец: Ebara Corp. Дата публикации: 2024-06-20.

Gas saver for semiconductor manufacturing

Номер патента: WO2008020715A1. Автор: Jong-Ha Park. Владелец: Jong-Ha Park. Дата публикации: 2008-02-21.

Partial implantation method for semiconductor manufacturing

Номер патента: US20060211226A1. Автор: Min Lee,Kyoung Rouh,Yong Sohn. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-09-21.

Process control and manufacturing method for fan out wafers

Номер патента: US20110249111A1. Автор: Nadav Wertsman,Tommy Weiss,Nevo Laron,Thomas Mölders,Aki Shoukrun. Владелец: Individual. Дата публикации: 2011-10-13.

Method for forming a three-component nitride film containing metal and silicon

Номер патента: EP1044288A2. Автор: Kyoung-Soo Yi,Sang-Won Kang,Won-Yong Koh. Владелец: Genitech Co Ltd. Дата публикации: 2000-10-18.

Method of forming isolation structures in a semiconductor manufacturing process

Номер патента: US20070178662A1. Автор: CHEN Liao,Chun Chen,Chi Huang,Yung Hung. Владелец: Macronix International Co Ltd. Дата публикации: 2007-08-02.

Method for manufacturing semiconductor package structure and semiconductor manufacturing apparatus

Номер патента: US12100686B2. Автор: Yun Di HONG. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2024-09-24.

Method for forming isolation layer in semiconductor device

Номер патента: US20030119266A1. Автор: Cheol Park. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2003-06-26.

Method for forming buried word line in semiconductor device

Номер патента: US20110027988A1. Автор: Sun-Hwan Hwang,Se-Aug Jang,Kee-Joon Oh,Soon-Young Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-02-03.

Method and system for compensating manufacturing error in semiconductor process

Номер патента: US20240332094A1. Автор: Wen-Shian Chen. Владелец: Prosemi Co Ltd. Дата публикации: 2024-10-03.

Cleaning composition and method for semiconductor device fabrication

Номер патента: US09580672B2. Автор: Ching-Yu Chang,Chen-Yu Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-28.

Displacement measurements in semiconductor wafer processing

Номер патента: WO2023212180A1. Автор: Ehud Chatow,Justin H. WONG. Владелец: Applied Materials, Inc.. Дата публикации: 2023-11-02.

Semiconductor structure, and manufacturing method for same

Номер патента: EP3958314A1. Автор: Cheng-Hung Hsu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-02-23.

Method for preventing photoresist poisoning in semiconductor fabrication

Номер патента: US20030040174A1. Автор: Pang Foo,Moitreyee Mukherjee-Roy,Cher Tan. Владелец: INSTITUTE OF MICROELECTRONICS. Дата публикации: 2003-02-27.

Fabrication method for a chip packaging structure

Номер патента: US20070099339A1. Автор: Wen-Yin Chang. Владелец: Taiwan Solutions Systems Corp. Дата публикации: 2007-05-03.

Method and apparatus for reducing warpage in semiconductor packages

Номер патента: WO1997049127A1. Автор: Kok Ping Tan,Siew Kong Wong. Владелец: Advanced Systems Automation Limited. Дата публикации: 1997-12-24.

Method for forming barrier layer in semiconductor structure

Номер патента: US12062573B2. Автор: YUAN Li,Peng Zhou,Rui Song,Shuliang LV,Ge Mao. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-08-13.

Method for forming isolation member in trench of semiconductor substrate

Номер патента: US09570338B2. Автор: Yan Yan,Jun Yang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-02-14.

Method for manufacturing a semiconductor product wafer

Номер патента: US20180108580A1. Автор: Sheng-Che Lin,Yih-Yuh Kelvin DOONG. Владелец: PDF Solutions Inc. Дата публикации: 2018-04-19.

Method for manufacturing a semiconductor product wafer

Номер патента: US9847264B1. Автор: Sheng-Che Lin,Yih-Yuh (Kelvin) Doong. Владелец: PDF Solutions Inc. Дата публикации: 2017-12-19.

Method for manufacturing a semiconductor product wafer

Номер патента: US09847264B1. Автор: Sheng-Che Lin,Yih-Yuh (Kelvin) Doong. Владелец: PDF Solutions Inc. Дата публикации: 2017-12-19.

Method for forming chip package

Номер патента: US09711403B2. Автор: Tsang-Yu Liu,Yen-Shih Ho,Ming-Kun Yang,Chien-Hui Chen. Владелец: XinTec Inc. Дата публикации: 2017-07-18.

Apparatus and method for thin wafer transfer

Номер патента: US09349643B2. Автор: Molly Hladik,Blake Waterworth,Steven Matthew Rich,Kirk Emory. Владелец: Brewer Science Inc. Дата публикации: 2016-05-24.

Gas Control in Semiconductor Processing

Номер патента: US20220367292A1. Автор: Mu-Tsang Lin,Yen-Lin CHANG,Pu-Kuan Fang,Yung-Ta Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-17.

Manufacturing method of semiconductor device and semiconductor manufacturing apparatus

Номер патента: US20160079102A1. Автор: Kazuhiro Murakami,Shinya Fukayama,Yukifumi Oyama. Владелец: Toshiba Corp. Дата публикации: 2016-03-17.

Activation method for silicon substrates comprising at least two aromatic acids

Номер патента: US09960051B2. Автор: Christian Schwarz,Christof Suchentrunk. Владелец: Atotech Deutschland GmbH and Co KG. Дата публикации: 2018-05-01.

High purity metallic top coat for semiconductor manufacturing components

Номер патента: US09663870B2. Автор: Jennifer Y. Sun,Vahid Firouzdor. Владелец: Applied Materials Inc. Дата публикации: 2017-05-30.

Manufacturing method of semiconductor device and semiconductor manufacturing apparatus

Номер патента: US09448065B2. Автор: Kazuhiro Murakami,Shinya Fukayama,Yukifumi Oyama. Владелец: Toshiba Corp. Дата публикации: 2016-09-20.

Modified material deposition sequence for reduced detect densities in semiconductor manufacturing

Номер патента: US20010002278A1. Автор: Dennis C. Swartz,Jeff Watts,Lan Vu. Владелец: Individual. Дата публикации: 2001-05-31.

Method for Forming Semiconductor Structure and Semiconductor Structure

Номер патента: US20220293466A1. Автор: Dongxue Zhang,Ge-Wei Lin. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-09-15.

Method for forming shallow trench in semiconductor device

Номер патента: US20050148152A1. Автор: Tse-Yao Huang,Yi-Nan Chen,Hsiu-Chun Lee. Владелец: Nanya Technology Corp. Дата публикации: 2005-07-07.

Method for feeding gases for use in semiconductor manufacturing

Номер патента: US6360762B2. Автор: Nobukazu Ikeda,Naoya Masuda,Hirofumi Kitayama,Yoichi Kurono. Владелец: Fujikin Inc. Дата публикации: 2002-03-26.

Method of predicting a parameter of interest in a semiconductor manufacturing process

Номер патента: WO2024083435A1. Автор: Roy Werkman,Sarathi ROY,Daan MANNEKE. Владелец: ASML Netherlands B.V.. Дата публикации: 2024-04-25.

Etching pattern forming method in semiconductor manufacturing process

Номер патента: US12094719B2. Автор: Seung Hyun Lee,Su Jin Lee,Seung Hun Lee,Gi Hong Kim. Владелец: Youngchang Chemical Co Ltd. Дата публикации: 2024-09-17.

Method for evaluating stability of semiconductor manufacturing process

Номер патента: US20190229024A1. Автор: Yu-Cheng Tung,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2019-07-25.

Method for producing insulated circuit board using a mask and partial plating method using the mask

Номер патента: US11761108B2. Автор: Satoru Ideguchi,Masaaki HIGO. Владелец: Dowa Metaltech Co Ltd. Дата публикации: 2023-09-19.

Ultra high purity gas distribution component with integral valved coupling and methods for its use

Номер патента: SG72748A1. Автор: Bryce Evans,Helen Rebenne. Владелец: Aeroquip Corp. Дата публикации: 2000-05-23.

Detection and Reduction of Dielectric Breakdown in Semiconductor Devices

Номер патента: US20080211500A1. Автор: Masayasu Miyata,William A. Goddard,Jamil Tahir-Kheli. Владелец: Individual. Дата публикации: 2008-09-04.

Method for detecting EPI induced buried layer shifts in semiconductor devices

Номер патента: US20060038553A1. Автор: Xiaoju Wu,Qingfeng Wang,Xinfen Chen,John Arch. Владелец: Texas Instruments Inc. Дата публикации: 2006-02-23.

Detection and reduction of dielectric breakdown in semiconductor devices

Номер патента: WO2005031850A3. Автор: William A Goddard Iii,Masayasu Miyata,Jamil Tahir-Kheli. Владелец: Jamil Tahir-Kheli. Дата публикации: 2006-01-05.

Apparatus and Method for Self-Tuning a Processing System

Номер патента: US20140039655A1. Автор: Gerald Robin Shelley. Владелец: Edwards Ltd. Дата публикации: 2014-02-06.

Multidimensional process window optimization in semiconductor manufacturing

Номер патента: US7962234B2. Автор: XU Ouyang,Yunsheng Song,James P. Rice. Владелец: International Business Machines Corp. Дата публикации: 2011-06-14.

Pad arrangement in semiconductor memory device and method of driving semiconductor device

Номер патента: US20040256641A1. Автор: Jung-Bae Lee,Mee-Hyun Ahn. Владелец: Individual. Дата публикации: 2004-12-23.

Systems and methods for determining measurement location in semiconductor wafer metrology

Номер патента: WO2022071981A1. Автор: Asaf Granot. Владелец: KLA Corporation. Дата публикации: 2022-04-07.

Systems and methods for determining measurement location in semiconductor wafer metrology

Номер патента: EP4200899A1. Автор: Asaf Granot. Владелец: KLA Corp. Дата публикации: 2023-06-28.

Method for manufacturing semiconductor structure and semiconductor structure

Номер патента: US20220310619A1. Автор: Hai-Han Hung,Jingwen Lu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-09-29.

Method and System for Modeling in Semiconductor Fabrication

Номер патента: US20110054819A1. Автор: Chun-Hsien Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2011-03-03.

Method for the Self-Adjusted Exposure of Side Surfaces of a Semiconductor Body

Номер патента: US20190386172A1. Автор: Sebastian Taeger,Jens Ebbecke. Владелец: OSRAM OLED GmbH. Дата публикации: 2019-12-19.

Detecting groups of defects in semiconductor feature space

Номер патента: US5991699A. Автор: Ashok V. Kulkarni,Paul Rockwell. Владелец: KLA Instruments Corp. Дата публикации: 1999-11-23.

Chip id applying method suitable for use in semiconductor integrated circuit

Номер патента: US20110189798A1. Автор: Shigenari Aoki. Владелец: Oki Semiconductor Co Ltd. Дата публикации: 2011-08-04.

Method for forming stack capacitor

Номер патента: US20080261364A1. Автор: Chien-Li Cheng,Chih-Chiang Kuo,Tsung-Shin Wu,Shian-Hau Liao. Владелец: Nanya Technology Corp. Дата публикации: 2008-10-23.

Systems and methods of controlling a manufacturing process for a microelectronic component

Номер патента: US09995692B2. Автор: Alok Vaid,Givantha Iddawela. Владелец: Globalfoundries Inc. Дата публикации: 2018-06-12.

Liquid crystal display panel, array substrate and manufacturing method for the same

Номер патента: US09971221B2. Автор: Sikun HAO. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-05-15.

Method and device for evaluating a chip manufacturing process

Номер патента: US09460810B2. Автор: Georg Tempel. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-10-04.

Semiconductor device and method of manufacturing the same and semiconductor manufacturing device

Номер патента: US8815652B2. Автор: Fumihiko Inoue,Kentaro Sera. Владелец: SPANSION LLC. Дата публикации: 2014-08-26.

Method for forming inductor in semiconductor device

Номер патента: US20050037589A1. Автор: Young Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-02-17.

Cell-based method for creating slotted metal in semiconductor designs

Номер патента: US20050125759A1. Автор: Anwar Ali,Kalyan Doddapaneni,Tauman Lau. Владелец: Individual. Дата публикации: 2005-06-09.

Array substrate and method for preparing the same, and display device

Номер патента: US09899433B2. Автор: Jian Guo. Владелец: Beijing BOE Optoelectronics Technology Co Ltd. Дата публикации: 2018-02-20.

Method for manufacturing AMOLED backplane and structure thereof

Номер патента: US09673425B1. Автор: Yuanjun Hsu. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2017-06-06.

Method for manufacturing nano-structured semiconductor light-emitting element

Номер патента: US09508893B2. Автор: Nam-Goo Cha,Dong-Ho Kim,Geon-Wook YOO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-11-29.

Remover solvent for partial removal of photoresist layer

Номер патента: US6117623A. Автор: Jun Koshiyama,Futoshi Shimai,Hidehito Fukushima. Владелец: Tokyo Ohka Kogyo Co Ltd. Дата публикации: 2000-09-12.

Method for evaluating semiconductor wafer

Номер патента: US20100022038A1. Автор: Kazuhiko Yoshida,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2010-01-28.

Method for monitoring production of pixel detectors and detectors produced thereby

Номер патента: US20050092986A1. Автор: Douglas Albagli,George Possin,Aaron Couture. Владелец: Individual. Дата публикации: 2005-05-05.

Apparatus for partially removing plating films of leadframe

Номер патента: MY123445A. Автор: Takashi Kobayashi,Keisuke Wada,Kazuo Maetani. Владелец: Sumitomo Metal Mining Co. Дата публикации: 2006-05-31.

Process controller for semiconductor manufacturing

Номер патента: US20060025935A1. Автор: Young-Cheng Chen,You-Wei Shen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2006-02-02.

Method for manufacture of integrated circuit package system with protected conductive layers for pads

Номер патента: US20120003830A1. Автор: Qing Zhang,Yaojian Lin,Haijing Cao. Владелец: Individual. Дата публикации: 2012-01-05.

Monitoring and control of a semiconductor manufacturing process

Номер патента: US20240219899A1. Автор: Jukka-Pekka Salmenkaita,Eero Hiltunen,Kalle YLÄ-JARKKO,Rasmus Heikkilä,Antti Liski. Владелец: Elisa Oyj. Дата публикации: 2024-07-04.

Processing system and method for making spherical shaped semiconductor integrated circuits

Номер патента: US6203658B1. Автор: Akira Ishikawa. Владелец: Ball Semiconductor Inc. Дата публикации: 2001-03-20.

Structure and method for determining a defect in integrated circuit manufacturing process

Номер патента: US9035674B2. Автор: HONG Xiao,Jack Y. Jau,CHANG CHUN YEH. Владелец: Hermes Microvision Inc. Дата публикации: 2015-05-19.

Method for making a battery can for p-type prismatic cells

Номер патента: US20240278309A1. Автор: David F. Titmuss,Wai Ping Gloria Tam,Noel Alvarez Aguilar. Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2024-08-22.

Metallic separator for fuel cell and method for surface treatment the same

Номер патента: WO2008140148A1. Автор: Jong Chan Lee,Yoo Taek JEON,Yeon Soo Jeong. Владелец: HYUNDAI HYSCO. Дата публикации: 2008-11-20.

Method of Dispositioning and Control of a Semiconductor Manufacturing Process

Номер патента: US20240258066A1. Автор: Michael E. Adel,Chris Mack. Владелец: Fractilia LLC. Дата публикации: 2024-08-01.

Method of dispositioning and control of a semiconductor manufacturing process

Номер патента: WO2024178198A1. Автор: Michael E. Adel,Chris Mack. Владелец: FRACTILIA, LLC. Дата публикации: 2024-08-29.

High voltage instrument transformer and method for partial discharge recognition

Номер патента: EP4154025A1. Автор: Fabrizio Negri. Владелец: Siemens Energy Global GmbH and Co KG. Дата публикации: 2023-03-29.

High voltage instrument transformer and method for partial discharge recognition

Номер патента: CA3189575A1. Автор: Fabrizio Negri. Владелец: Siemens Energy Global GmbH and Co KG. Дата публикации: 2022-01-20.

High voltage instrument transformer and method for partial discharge recognition

Номер патента: US20230290566A1. Автор: Fabrizio Negri. Владелец: Siemens Energy Global GmbH and Co KG. Дата публикации: 2023-09-14.

Transient wavelength drift reduction in semiconductor lasers

Номер патента: WO2016116565A1. Автор: Thomas Pfeiffer,Romain Brenot,Hélène Debregeas,Jean-Guy Provost. Владелец: ALCATEL LUCENT. Дата публикации: 2016-07-28.

Method for determining process parameters for a manufacturing process of a real product

Номер патента: US20240288857A1. Автор: Mathias Kraken,Malte Schoenemann,Erik Rohkohl. Владелец: VOLKSWAGEN AG. Дата публикации: 2024-08-29.

Core sheet and method for manufacturing same

Номер патента: EP3694087A1. Автор: Makoto Taniguchi,Hiroshi Fujimura,Tetsuya Aoki,Satoshi Doi,Atsuo Ishizuka,Tatsuya Takase,Keiichi Okazaki. Владелец: Nippon Steel Corp. Дата публикации: 2020-08-12.

Method for manufacturing vertical cavity surface emitting laser device

Номер патента: US20240250502A1. Автор: Sae Kyoung Kang,Gyeong Cheol PARK. Владелец: Inoptix Inc. Дата публикации: 2024-07-25.

Apparatus and method for delivering a plurality of waveform signals during plasma processing

Номер патента: US20230298856A1. Автор: James Rogers,Katsumasa Kawasaki. Владелец: Applied Materials Inc. Дата публикации: 2023-09-21.

Method for manufacturing plated material and plated material

Номер патента: US20160348260A1. Автор: Hiroyoshi Takahashi. Владелец: ORIENTAL ELECTRO PLATING Corp. Дата публикации: 2016-12-01.

System and method for low-noise edge detection and its use for process monitoring and control

Номер патента: US20210202204A1. Автор: Chris Mack. Владелец: Fractilia LLC. Дата публикации: 2021-07-01.

Method for manufacturing a field emission element

Номер патента: US20010001225A1. Автор: Atsuo Hattori. Владелец: Yamaha Corp. Дата публикации: 2001-05-17.

Method for ion source component cleaning

Номер патента: US09627180B2. Автор: Lloyd Anthony Brown,Ashwini Sinha,Serge Marius Campeau. Владелец: Praxair Technology Inc. Дата публикации: 2017-04-18.

Method for shutting down a generator unit having a fuel cell device

Номер патента: EP3494609A1. Автор: Daiki Tanaka,Jörg MATHÉ. Владелец: Nissan Motor Co Ltd. Дата публикации: 2019-06-12.

Novel electronic device and method for producing layers of the same

Номер патента: CA3140791A1. Автор: Tobias Meyer,Frank NÜESCH,David MARTINEAU,Anand Verma,Jacob HEIER. Владелец: Solaronix SA. Дата публикации: 2019-11-21.

Electronic device and method for producing layers of the same

Номер патента: US12033812B2. Автор: Tobias Meyer,Frank NÜESCH,David MARTINEAU,Anand Verma,Jacob HEIER. Владелец: Solaronix SA. Дата публикации: 2024-07-09.

METHOD FOR AT LEAST PARTIALLY REMOVING OSCILLATIONS OCCURRING AT THE END OF A CURRENT DISCHARGE FOR AN H-BRIDGE

Номер патента: US20200403400A1. Автор: Pasqualetto Angelo. Владелец: . Дата публикации: 2020-12-24.

Systems and methods for multi-path gouging

Номер патента: US12128507B2. Автор: Martin Higgens,Jon W. Lindsay,Justin Gullotta. Владелец: Hypertherm Inc. Дата публикации: 2024-10-29.

Systems and methods for adapting prediction models by compressing encoded data

Номер патента: US20240204797A1. Автор: Paul Drews,Guy Rosman,Stephen G. McGill, JR.. Владелец: Toyota Research Institute Inc. Дата публикации: 2024-06-20.

Method for automatic partial white balance correction

Номер патента: IL127571A. Автор: Hedva Spitzer. Владелец: Univ Ramot. Дата публикации: 2002-05-23.

Method and apparatus for partial sensing based on sl harq feedback in nr v2x

Номер патента: US20240340922A1. Автор: Woosuk Ko,Hanbyul Seo,Seungmin Lee. Владелец: LG ELECTRONICS INC. Дата публикации: 2024-10-10.

Apparatus and method for processing authentication information

Номер патента: US09722786B2. Автор: Dong Hyun Kim,Dong Kyue Kim,Byong Deok Choi,Kwang Hyun Jee. Владелец: ICTK Co Ltd. Дата публикации: 2017-08-01.

Apparatus and method for partial monitoring of optical fiber

Номер патента: US20150323419A1. Автор: Jin Hee Han,Jyung Chan Lee,Seung Il Myong,Won Kyoung Lee,Hun Sik Kang. Владелец: Telace Inc. Дата публикации: 2015-11-12.

Apparatuses and methods for partial bit de-emphasis

Номер патента: US09948300B1. Автор: Roy E. Greeff. Владелец: Micron Technology Inc. Дата публикации: 2018-04-17.

System and method for partial bandwidth communication

Номер патента: US09680563B2. Автор: Yong Liu,Joonsuk Kim,Harish Ramamurthy,Christopher Hansen. Владелец: Apple Inc. Дата публикации: 2017-06-13.

Precoding method and device for partial response modulation

Номер патента: WO2015192970A1. Автор: Bartlomiej Kozicki,Mamoun Guenach. Владелец: ALCATEL LUCENT. Дата публикации: 2015-12-23.

Reducing pattern effects for pulse amplitude modulation signals in semiconductor optical amplifiers

Номер патента: US20200336212A1. Автор: Hung-Chang Chien,Jianjun Yu. Владелец: ZTE Corp. Дата публикации: 2020-10-22.

Method for manufacturing printed circuit board with etching process to partially remove conductive layer

Номер патента: US09788437B2. Автор: Wei-Shuo Su. Владелец: Garuda Technology Co Ltd. Дата публикации: 2017-10-10.

Method and device for partially unmasking an object in a video stream

Номер патента: US11704435B2. Автор: Axel Keskikangas,David Hjerpe. Владелец: AXIS AB. Дата публикации: 2023-07-18.

Semiconductor device and method of manufacturing the same and semiconductor manufacturing device

Номер патента: US20080316790A1. Автор: Fumihiko Inoue,Kentaro Sera. Владелец: SPANSION LLC. Дата публикации: 2008-12-25.

Data management method for recorded programs stored on a digital recording device

Номер патента: US20040071439A1. Автор: Thomas Poslinski,Eric Hsiao. Владелец: Sony Electronics Inc. Дата публикации: 2004-04-15.

Method for preparing novel material layer structure of circuit board and article thereof

Номер патента: US20240284599A1. Автор: LongKai LI. Владелец: Individual. Дата публикации: 2024-08-22.

Information processing apparatus, method for controlling the same, and storage medium

Номер патента: US20240313980A1. Автор: Yuki Minetomo. Владелец: Canon Inc. Дата публикации: 2024-09-19.

Method for manufacturing a printed circuit board

Номер патента: US09491866B2. Автор: Sang Myung Lee,Yeong Uk Seo,Jin Su Kim,Sung Woon Yoon,Myoung Hwa Nam,Byeong Ho Kim. Владелец: LG Innotek Co Ltd. Дата публикации: 2016-11-08.

System and method for audio enhancement of a consumer electronics device

Номер патента: US09386386B2. Автор: Pär Gunnars RISBERG,Richard KJERSTADIUS,Landy Toth. Владелец: Actiwave AB. Дата публикации: 2016-07-05.

Method for manufacturing armature for rotating electrical machine

Номер патента: EP3651317A1. Автор: Kiyotaka Koga,Tomotsugu SUGIHARA. Владелец: Aisin AW Co Ltd. Дата публикации: 2020-05-13.

Method for directional transmission of energy in the form of at least one energy packet

Номер патента: US12074439B2. Автор: Bernd REIFENHÄUSER. Владелец: GIP AG. Дата публикации: 2024-08-27.

Circuits, Systems, and Methods for a Voltage Controlled Oscillator with Coarse, Fine, and Center Tuning

Номер патента: US20090033433A1. Автор: Gregory Blum,George Jordy. Владелец: Seiko Epson Corp. Дата публикации: 2009-02-05.

System and method for partial caching of video background

Номер патента: US11483594B2. Автор: Danny Kalish. Владелец: Idomoo Ltd. Дата публикации: 2022-10-25.

Method for producing rotating electrical machines

Номер патента: US09614423B2. Автор: Traugott WELLER,Susanne WELLER. Владелец: Individual. Дата публикации: 2017-04-04.

Systems and Methods for Partially Conditioned Noise Predictive Equalization

Номер патента: US20140129603A1. Автор: Haitao Xia,Shaohua Yang. Владелец: LSI Corp. Дата публикации: 2014-05-08.

Frequency hopping enhancement for partial frequency sounding

Номер патента: EP4406276A1. Автор: Wei Zeng,Dawei Zhang,Haitong Sun,Huaning Niu,Chunxuan Ye,Yushu Zhang,Seyed Ali Akbar Fakoorian. Владелец: Apple Inc. Дата публикации: 2024-07-31.

Systems and methods for analyzing soft errors in a design and reducing the associated failure rates thereof

Номер патента: US09991008B2. Автор: Sanjay Pillay. Владелец: Austemper Design Systems Inc. Дата публикации: 2018-06-05.

Systems and methods for the manufacture and use of hydrogen

Номер патента: US20240209525A1. Автор: James S Tyler. Владелец: Erthos IP LLC. Дата публикации: 2024-06-27.

Method for manufacturing light-emitting device

Номер патента: US20230309338A1. Автор: Shunpei Yamazaki,Kaoru Hatano. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2023-09-28.

Method for the production on a three-dimensional product

Номер патента: US10315272B2. Автор: Michael Lewis BLACKMORE. Владелец: Rolls Royce PLC. Дата публикации: 2019-06-11.

Apparatus and method for cleaning a furnace torch

Номер патента: US20030084923A1. Автор: Ming-Hsun Yang,June-Yie Kao,Yie-Min Wu,Chii-Shing Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2003-05-08.

Machine and method for manufacturing thermoplastic tubes

Номер патента: CA2260461C. Автор: Ronald E. Kieras,John J. Rhoades. Владелец: Silgan Tubes Corp. Дата публикации: 2004-06-29.

System and Method for Orienting the Rolling Direction of an End Shell in a Metal Container Manufacturing Process

Номер патента: US20170197241A1. Автор: Ellefson Dean C.. Владелец: BALL CORPORATION. Дата публикации: 2017-07-13.

System and method for orienting the rolling direction of an end shell in a metal container manufacturing process.

Номер патента: MX2018008588A. Автор: C Ellefson Dean. Владелец: Ball Corp. Дата публикации: 2018-08-23.

Method for in situ functionality testing of switches and contacts in semiconductor interface hardware

Номер патента: US20210356524A1. Автор: Howard H. ROBERTS, Jr.,LeRoy GROWT. Владелец: Celerint LLC. Дата публикации: 2021-11-18.

A device and method for reparing a microelectromechanical system

Номер патента: WO2009011696A8. Автор: Raffi Garabedian,Lakshmikanth Namburi. Владелец: Touchdown Technologies, Inc. Дата публикации: 2010-03-18.

Method for treating waste water containing fluorine component

Номер патента: US09969630B2. Автор: Myeong Seok KIM,Min Sup Park,Sang Uk Jung,Myoung Gi CHO,Seong Mu Oh. Владелец: Daelim Industrial Co Ltd. Дата публикации: 2018-05-15.

Method for removing formic acid from aqueous solutions

Номер патента: CA2441465A1. Автор: Thomas Noebel. Владелец: Individual. Дата публикации: 2002-12-19.

Designing a partial removable denture comprising a double crown comprising an internal crown and an external crown

Номер патента: EP3593754A1. Автор: Rune Fisker,Tais Clausen. Владелец: 3Shape AS. Дата публикации: 2020-01-15.

SYSTEMS AND METHODS FOR PREDICTING DEFECTS AND CRITICAL DIMENSION USING DEEP LEARNING IN THE SEMICONDUCTOR MANUFACTURING PROCESS

Номер патента: US20190004504A1. Автор: Yati Arpit. Владелец: . Дата публикации: 2019-01-03.

METHOD FOR AT LEAST PARTIAL REMOVAL OF CARBON DEPOSITS IN A HEAT EXCHANGER

Номер патента: FR2837273B1. Автор: Willi Nastoll,Dominique Sabin. Владелец: Packinox SA. Дата публикации: 2004-10-22.

Method for at least partially removing an anchor

Номер патента: EP2998447A1. Автор: Hans Peter Von Allmen,Toni Baer. Владелец: Stahlton Ag. Дата публикации: 2016-03-23.

A method for improving the efficiency of future failure analysis in the hard disk drive manufacturing process

Номер патента: KR100462215B1. Автор: 조진원. Владелец: 삼성전자주식회사. Дата публикации: 2005-04-06.

Foil removal device and method for removing foil from tyre tread

Номер патента: RU2675487C2. Автор: Рул КРАМЕР. Владелец: Вми Холланд Б.В.. Дата публикации: 2018-12-19.

Method for generating a partially-cured item, a partially-cured item and a cured object

Номер патента: EP3938175A1. Автор: Martin Klare,Frank Gischer. Владелец: PRO3DURE MEDICAL GMBH. Дата публикации: 2022-01-19.

Method for generating a partially-cured item, a partially-cured item and a cured object

Номер патента: US20220152916A1. Автор: Martin Klare,Frank Gischer. Владелец: PRO3DURE MEDICAL GMBH. Дата публикации: 2022-05-19.

Method for generating a partially-cured item, a partially-cured item and a cured object

Номер патента: CA3132247A1. Автор: Martin Klare,Frank Gischer. Владелец: PRO3DURE MEDICAL GMBH. Дата публикации: 2020-09-17.

Foil removal device and a method for removing a foil from a tire tread

Номер патента: US09902584B2. Автор: Roel KRAMER. Владелец: VMI Holland BV. Дата публикации: 2018-02-27.

Method for covering fluid tanks

Номер патента: EP4410709A1. Автор: Antonio MARTÍNEZ NAVARRETE,Maximino MARTÍNEZ NAVARRETE,Rafael MARTÍNEZ NAVARRETE. Владелец: Albemarna SL. Дата публикации: 2024-08-07.

Method and device for partial removal of contaminants from process gas flow

Номер патента: RU2698835C2. Автор: Нареш Дж. САЧЕК. Владелец: Линде Акциенгезелльшафт. Дата публикации: 2019-08-30.

Systems and methods for purifying solvents

Номер патента: US12043592B2. Автор: Eduardo Ramirez ROMERO,David Bollinger. Владелец: Fujifilm Electronic Materials USA Inc. Дата публикации: 2024-07-23.

Systems and methods for purifying solvents

Номер патента: EP4025319A1. Автор: Stephen Earl DYER,Brendan Thomas LANE,Patrick Adams MAHONEY SR.. Владелец: Fujifilm Electronic Materials USA Inc. Дата публикации: 2022-07-13.

Method for partial cold deformation of steel with homogeneous thickness

Номер патента: CA3078711A1. Автор: Stefan Lindner,Claire Heidecker. Владелец: OUTOKUMPU OYJ. Дата публикации: 2019-04-18.

Method for the Additive Manufacturing of a Component

Номер патента: US20240286198A1. Автор: Tobias Hauser,Raven Thomas Reisch,Tobias Kamps. Владелец: SIEMENS AG. Дата публикации: 2024-08-29.

Roller crusher and method for operating thereof

Номер патента: EP4419258A1. Автор: Vadim Reznitchenko,Keith Harbold,Brian Eric Behm. Владелец: Metso USA Inc. Дата публикации: 2024-08-28.

Method for manufacturing brass-plated steel wire and brass-plated steel wire

Номер патента: US09579704B2. Автор: Takahisa Shizuku. Владелец: Bridgestone Corp. Дата публикации: 2017-02-28.

Method for making wire, rods and seamless tubes and rolling plant for performing the same

Номер патента: RU2274503C2. Автор: Манфред ЛЕФЕРИНК. Владелец: СМС МЕЕР ГМБХ. Дата публикации: 2006-04-20.

Method for preparing a brazed surface for receiving a coating

Номер патента: US20080145542A1. Автор: Kimberly Lazarz,Timothy V. Evans. Владелец: FORD GLOBAL TECHNOLOGIES LLC. Дата публикации: 2008-06-19.

Method for making a master cylinder and master cylinder obtained by said method

Номер патента: US20020124564A1. Автор: Henry Pagot,Tomas Leon,Felix Leon. Владелец: Individual. Дата публикации: 2002-09-12.

Additive manufacturing method for producing a part

Номер патента: EP4241902A1. Автор: Romain Dubreuil,Roland Zaugg,Francesco Maja,Dogan Basic. Владелец: GF Machining Solutions AG. Дата публикации: 2023-09-13.

Methods for Additive Manufacturing of a Component

Номер патента: US20240246151A1. Автор: Tobias Hauser,Raven Thomas Reisch,Tobias Kamps. Владелец: SIEMENS AG. Дата публикации: 2024-07-25.

Manufacturing method for an ID circuit of inkjet chips

Номер патента: US20030202028A1. Автор: Charles Chang,Jhih-Ping Lu. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2003-10-30.

Method for concentrating aqueous lye and apparatus suitable therefor

Номер патента: US09849400B2. Автор: Michael Kleiber,Michael Benje,Sven Petersen. Владелец: Vinnolit GmbH and Co KG. Дата публикации: 2017-12-26.

Z-axis test coupon structure and method for additive manufacturing process

Номер патента: US09689783B2. Автор: Michael W. Hayes,David M. Dietrich. Владелец: Boeing Co. Дата публикации: 2017-06-27.

Method and apparatus for controlling a manufacturing process of components of a tyre for vehicle wheels

Номер патента: US09434115B2. Автор: Enrico Sabbatani,Marco Cantu′. Владелец: Pirelli Tyre SpA. Дата публикации: 2016-09-06.

Method for additively manufacturing of three-dimensional objects

Номер патента: US11478989B2. Автор: Rainer Lang,Christian Diller. Владелец: CONCEPT LASER GMBH. Дата публикации: 2022-10-25.

Reducing gas supplying apparatus and method for manufacturing processed target object

Номер патента: US20200406209A1. Автор: Yutaka Matsumoto,Hiroshi Akama. Владелец: Origin Co Ltd. Дата публикации: 2020-12-31.

Method for partially coating a board

Номер патента: AU1558600A. Автор: Klaus Pospischil. Владелец: Wts Werbetechnik Gmbh. Дата публикации: 2000-06-26.

Sensing and control of additive manufacturing processes

Номер патента: US12070817B2. Автор: Anastasios John Hart,Ryan Wade Penny. Владелец: Massachusetts Institute of Technology. Дата публикации: 2024-08-27.

System and method for printing on a treated surface

Номер патента: EP3784460A1. Автор: Robert Wilson,Mike Luttrell,Nicholas FIELDS,Dirk LAVOY,Nicholas DIBLASI. Владелец: Juno Dts LLC. Дата публикации: 2021-03-03.

Method for structuring the surface of a pressed sheet or an endless strip

Номер патента: US09529264B2. Автор: Jordi Fred Reichert. Владелец: Hueck Engraving GmbH and Co KG. Дата публикации: 2016-12-27.

Method for moulding of tooth-brushes and device for its realization

Номер патента: RU2206453C2. Автор: Барт Жерар БУШЕРИ. Владелец: Ж.Б. Бушери Н.В.. Дата публикации: 2003-06-20.

Method for the additive manufacturing of at least one component of defined component properties

Номер патента: US20220244703A1. Автор: Marcus Schindler. Владелец: Schubert Additive Solutions GmbH. Дата публикации: 2022-08-04.

Method for manufacturing microfabrication apparatus

Номер патента: US6387713B2. Автор: Masaki Hara. Владелец: Sony Corp. Дата публикации: 2002-05-14.

Laser-assisted production method for a gearing component and gearing

Номер патента: US20190337089A1. Автор: Jean-Andre Meis. Владелец: Flender GmbH. Дата публикации: 2019-11-07.

Tension control system and method for a paperboard protective corner manufacturing system

Номер патента: WO2024159319A1. Автор: Jean Tardy,Samuel LAGOTTE,Laurent DUDOIT. Владелец: ABZAC CANADA INC.. Дата публикации: 2024-08-08.

Method for dimensionally compensating webs of fibrous material

Номер патента: EP2758592A1. Автор: Marion Sterner. Владелец: Individual. Дата публикации: 2014-07-30.

Semiconductor assembly and method for forming seal ring

Номер патента: US20100084735A1. Автор: Chin-Sheng Yang. Владелец: United Microelectronics Corp. Дата публикации: 2010-04-08.

Device and method for partial decoating and/or machining of material from a workpiece

Номер патента: US09643268B2. Автор: Lothar HÖMIG,Thomas Flehmig,Dieter Scheuvens. Владелец: Thyssenkrupp AG. Дата публикации: 2017-05-09.

Ozone abatement system for semiconductor manufacturing system

Номер патента: US09452379B2. Автор: Edward L. Pepe. Владелец: International Business Machines Corp. Дата публикации: 2016-09-27.

Gear manufacturing process

Номер патента: US20200393035A1. Автор: Brian T. King,Hai XU,Jeffrey N. Heaton,Scott M. Neher,Syed M. Raza,Travis Bussell. Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2020-12-17.

Systems and methods for processing orders for structural designs

Номер патента: WO2017044643A1. Автор: Tazio S. Grivetti. Владелец: CATERPILLAR INC.. Дата публикации: 2017-03-16.

System for controlling design and manufacturing process for user specific devices

Номер патента: GB2626406A. Автор: Gailer Matthew,Pinchbeck Henry,Fotheringham Paul. Владелец: 3d Lifeprints Uk Ltd. Дата публикации: 2024-07-24.

System and method for supplying parts for vehicle manufacturing process

Номер патента: US20240270512A1. Автор: Sung-Ho Lee,Sang-Yong Park,Jae-Seol Lee,Beom-Chul Kim,Myoung-Jin Seo. Владелец: Cmes Inc. Дата публикации: 2024-08-15.

Method for removing fine foreign matters, and rolling bearing using the same

Номер патента: US20020077033A1. Автор: Hiroyuki Yajima,Shigenori Hoya. Владелец: Minebea Co Ltd. Дата публикации: 2002-06-20.

Method for producing a box that can be disassembled and stacked

Номер патента: EP3708318A1. Автор: José Antonio ALEGRE GÓMEZ. Владелец: Fruit Packaging Innovations SL. Дата публикации: 2020-09-16.

Device And Method For Evaluating The Susceptibility of Hot Cracking In Additive Manufacturing

Номер патента: US20240139811A1. Автор: Sindo Kou. Владелец: WISCONSIN ALUMNI RESEARCH FOUNDATION. Дата публикации: 2024-05-02.

Apparatus, system, and method for maintaining part orientation during manufacturing

Номер патента: WO2007140275A2. Автор: Bart J. Storrs,Michael A. Griffin. Владелец: Sg Design Technologies. Дата публикации: 2007-12-06.

Delay locked loop in semiconductor memory device and method for generating divided clock therein

Номер патента: US20080211553A1. Автор: Tae-Yun Kim,Kyoung-Nam Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-09-04.

Method for the lightweighting and/or designing of an additively manufactured article

Номер патента: WO2020229883A1. Автор: Christian WALDVOGEL,Ralph Bartschi. Владелец: Spherene Ag. Дата публикации: 2020-11-19.

Method for manufacturing an article on top of a base device

Номер патента: US20240017498A1. Автор: Per Viklund,Ville-Pekka MATILAINEN. Владелец: Sandvik Machining Solutions AB. Дата публикации: 2024-01-18.

Additive manufacturing method for producing a part

Номер патента: US20230286219A1. Автор: Romain Dubreuil,Roland Zaugg,Francesco Maja,Dogan Basic. Владелец: GF Machining Solutions AG. Дата публикации: 2023-09-14.

Delay locked loop in semiconductor memory device and method for generating divided clock therein

Номер патента: US20060171497A1. Автор: Tae-Yun Kim,Kyoung-Nam Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-08-03.

Apparatus, calibration device and calibration method for additively manufacturing three-dimensional objects

Номер патента: EP3616887A1. Автор: Stephan Dr.-Ing. Hunze. Владелец: CONCEPT LASER GMBH. Дата публикации: 2020-03-04.

Method for Cold Deformation of an Austenitic Steel

Номер патента: MY196381A. Автор: Stefan Lindner,Thomas Frohlich,Thorsten Piniek. Владелец: Outokumpu Oy. Дата публикации: 2023-03-27.

Method for producing a 3-dimensional object

Номер патента: US20240262034A1. Автор: Lars Benjamin Finke,Leonard Vier. Владелец: Ottobock SE and Co KGaA. Дата публикации: 2024-08-08.

Methods for error correction during additive manufacturing

Номер патента: US20240300180A1. Автор: Viswanath Meenakshisundaram,Nilesh Joshi. Владелец: Align Technology Inc. Дата публикации: 2024-09-12.

Method for producing a 3-dimensional object

Номер патента: EP4395975A1. Автор: Lars Benjamin Finke,Leonard Vier. Владелец: Ottobock SE and Co KGaA. Дата публикации: 2024-07-10.

Method for producing FRP

Номер патента: US09604415B2. Автор: Kazuya Watanabe,Seiji Tsuji,Kohnosuke Yamamoto. Владелец: TORAY INDUSTRIES INC. Дата публикации: 2017-03-28.

Method for manufacturing of cartons

Номер патента: US20240017512A1. Автор: Per Hallendorff. Владелец: Optibinary Ab. Дата публикации: 2024-01-18.

A method for manufacturing an article on top of a base device

Номер патента: WO2022106391A1. Автор: Per Viklund,Ville-Pekka MATILAINEN. Владелец: Sandvik Machining Solutions AB. Дата публикации: 2022-05-27.

Method for manufacturing an article on top of a base device

Номер патента: US20240017324A1. Автор: Per Viklund,Ville-Pekka MATILAINEN. Владелец: Sandvik Machining Solutions AB. Дата публикации: 2024-01-18.

Vibratory System And Method For Molten Steel Transfer

Номер патента: US20240165698A1. Автор: Todd E. Albring,James M. Lash. Владелец: AJF Inc. Дата публикации: 2024-05-23.

Systems and methods for additive manufacturing processes incorporating active deposition

Номер патента: EP3102379A1. Автор: Leslie Oliver Karpas,Aaron M. Ryan. Владелец: Metamason Inc. Дата публикации: 2016-12-14.

Method for producing frp

Номер патента: US20160339648A1. Автор: Kazuya Watanabe,Seiji Tsuji,Kohnosuke Yamamoto. Владелец: TORAY INDUSTRIES INC. Дата публикации: 2016-11-24.

Method for manufacturing paper straw

Номер патента: US20210060890A1. Автор: Li-Yuan Huang,Hao-I Tsai,Te-Yi Chao,Bing-Kwan Cheng,Yuan-Nan Tsai. Владелец: Hou Jing Sheng Jinghua Co Ltd. Дата публикации: 2021-03-04.

Method for treatment of elements obtained by an additive manufacturing process

Номер патента: EP3880450A1. Автор: Tobias Abstreiter. Владелец: Technische Universitaet Muenchen. Дата публикации: 2021-09-22.

A method for the manufacturing of a matrix and a matrix manufactured according to said method

Номер патента: EP1212181A1. Автор: Ove Ohman,Olov Larsson. Владелец: Gyros Ab. Дата публикации: 2002-06-12.

A method for the manufacturing of a matrix and a matrix manufactured according to said method

Номер патента: EP1212181B1. Автор: Ove Ohman,Olov Larsson. Владелец: Gyros Ab. Дата публикации: 2005-06-15.

Systems and methods for automatic detachment of support structures for 3d printed parts

Номер патента: EP4021727A1. Автор: Nicola Maria CERIANI. Владелец: Siemens Industry Software Inc. Дата публикации: 2022-07-06.

Systems and methods for automatic detachment of support structures for 3d printed parts

Номер патента: US20220274178A1. Автор: Nicola Maria CERIANI. Владелец: Siemens Industry Software Inc. Дата публикации: 2022-09-01.

Method and system for characterizing integrated circuit design in target semiconductor manufacturing process

Номер патента: WO2014053191A1. Автор: Petr Dobrovolny,Phillip Christie. Владелец: IMEC. Дата публикации: 2014-04-10.

Light transmission device and method for semiconductor manufacturing process

Номер патента: US09891529B2. Автор: Yan-Ping LI. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-13.

Method for partial upgrading of heavy oil and bitumen

Номер патента: RU2664102C2. Автор: Стив Кресняк. Владелец: Экспендер Энерджи Инк.. Дата публикации: 2018-08-15.

Method for diagnosing motor vehicle partial exhaust gas recirculation system

Номер патента: RU2700175C2. Автор: Людовик МАРТЕН. Владелец: Рено С.А.С.. Дата публикации: 2019-09-13.

Method for operating a diesel engine

Номер патента: RU2670633C2. Автор: Дитер РОТЕ. Владелец: Ман Трак Унд Бас Аг. Дата публикации: 2018-10-24.

Testing method for semiconductor manufacturing equipment

Номер патента: US20160202293A1. Автор: Yusuke Nakajima. Владелец: Renesas Electronics Corp. Дата публикации: 2016-07-14.

Testing method for semiconductor manufacturing equipment

Номер патента: US9733273B2. Автор: Yusuke Nakajima. Владелец: Renesas Semiconductor Package and Test Solutions Co Ltd. Дата публикации: 2017-08-15.

Refresh method capable of reducing memory cell access time in semiconductor memory device

Номер патента: US20020141269A1. Автор: Jong-Yul Park,Seong-kue Jo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-10-03.

Propulsion device and method for operating same using a partially oxidized diesel fuel

Номер патента: US09644578B2. Автор: Dieter Rothe. Владелец: MAN Truck and Bus SE. Дата публикации: 2017-05-09.

Method for producing sic single crystal

Номер патента: US20180100247A1. Автор: Katsunori Danno. Владелец: Toyota Motor Corp. Дата публикации: 2018-04-12.

Method for detecting resistive-open defects in semiconductor memories

Номер патента: EP1738375A1. Автор: Mohamed Azimane. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2007-01-03.

Method for detecting resistive-open defects in semiconductor memories

Номер патента: US20050216799A1. Автор: Mohamed Azimane. Владелец: Individual. Дата публикации: 2005-09-29.

System and method for partially occluded object detection

Номер патента: US09785828B2. Автор: Alper Ayvaci,Bernd Heisele,Kai-Chi Chan. Владелец: Honda Motor Co Ltd. Дата публикации: 2017-10-10.

Method for detecting resistive-open defects in semiconductor memories

Номер патента: EP1738375B1. Автор: Mohamed c/o NXP Semiconductors AZIMANE. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2008-05-21.

Manufacturing process qualification system and method

Номер патента: US12038735B2. Автор: Changsheng Guo,Serafettin Engin. Владелец: Pratt and Whitney Canada Corp. Дата публикации: 2024-07-16.

Photomask and method for qualifying the same with a prototype specification

Номер патента: US20030198873A1. Автор: Craig Kokjohn. Владелец: Dupont Photomasks Inc. Дата публикации: 2003-10-23.

Method for repairing defective memory cells in semiconductor memory device

Номер патента: US09704601B2. Автор: Ki-Seok Park,Ji-Hyuk OH. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-07-11.

MQW devices and methods for semiconductor patterning systems

Номер патента: US09703208B2. Автор: Duhyun LEE,Yibing Michelle Wang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-07-11.

Reflecting luminous film, method for production and application thereof

Номер патента: RU2700372C1. Автор: Ин-Чи ШИХ,Хсин-Ань ШИХ. Владелец: Ин-Чи ШИХ. Дата публикации: 2019-09-16.

Capacitance extraction method for semiconductor sadp metal wires

Номер патента: US20200089836A1. Автор: Ning Lu,Calvin Bittner. Владелец: International Business Machines Corp. Дата публикации: 2020-03-19.

Information displaying method and computer program product for semiconductor manufacturing apparatus

Номер патента: US20240231313A1. Автор: Masayuki Fujiki,Kosei Takeuchi. Владелец: Ebara Corp. Дата публикации: 2024-07-11.

Aligning method for use in semiconductor inspection apparatus

Номер патента: US20190171328A1. Автор: Chien-Hung Chen,Yung-Chin LIU,Guan-Jhih Liou,Lin-Lin Chih. Владелец: MPI Corp. Дата публикации: 2019-06-06.

Apparatuses and methods for partial array self refresh masking

Номер патента: US12106792B2. Автор: Yukimi Morimoto,Hidekazu Noguchi,Yoshio Mizukane. Владелец: Micron Technology Inc. Дата публикации: 2024-10-01.

Methods and systems for determining sources of anomalies in manufacturing processes

Номер патента: US20240361759A1. Автор: Byeongchan Lee,Joonho SEO,Yongho JEON,Jiae KIM,Sol Kwon. Владелец: Gauss Labs Inc. Дата публикации: 2024-10-31.

Method for manufacturing a reinforced fabric for sustitution of vinyl

Номер патента: US09708756B2. Автор: Geon Yong Baek. Владелец: Individual. Дата публикации: 2017-07-18.

Method for attaching charging wire, method for manufacturing process cartridge, and process cartridge

Номер патента: EP2169473A3. Автор: Nao Itabashi. Владелец: Brother Industries Ltd. Дата публикации: 2014-07-30.

Compositions and methods for the treatment of epilepsy

Номер патента: EP2846795A2. Автор: Mahesh KANDULA. Владелец: Cellix Bio Pvt Ltd. Дата публикации: 2015-03-18.

System and method for classifying defects in and identifying process problems for an electrical circuit

Номер патента: WO2004111660A3. Автор: Kyo Young Chung. Владелец: Kyo Young Chung. Дата публикации: 2005-04-14.

Method for manufacturing processed oat food, beverage or food material

Номер патента: US20240237681A1. Автор: Tomohiro Matsuoka. Владелец: Amano Enzyme Inc. Дата публикации: 2024-07-18.

Method for Attaching Charging Wire, Method for Manufacturing Process Cartridge, and Process Cartridge

Номер патента: US20100080613A1. Автор: Nao Itabashi. Владелец: Brother Industries Ltd. Дата публикации: 2010-04-01.

Digital simulation for semiconductor manufacturing processes

Номер патента: WO2024205771A1. Автор: Yunpeng WU,Roger Alan Lindley,Kay Siong NG,Olivia Fatma KOENTJORO. Владелец: Applied Materials, Inc.. Дата публикации: 2024-10-03.

Method for optimizing post-translational modifications on recombinant proteins

Номер патента: US09868973B2. Автор: Magdalena LESZCYNIECKA,Sergey SHULGA-MORSKOY. Владелец: STC Biologics Inc. Дата публикации: 2018-01-16.

Methods for measuring the asymmetry of a glass-sheet manufacturing process

Номер патента: US09840436B2. Автор: Douglas Clippinger Allan. Владелец: Corning Inc. Дата публикации: 2017-12-12.

Methods and systems for monitoring manufacturing processes

Номер патента: WO2024188845A1. Автор: Murat Samil Aslan. Владелец: SIEMENS AKTIENGESELLSCHAFT. Дата публикации: 2024-09-19.

Systems and methods for monitoring and controlling industrial processes

Номер патента: US20240212356A1. Автор: Slawek Frackowiak,Leszek Frackowiak. Владелец: Industrial Video Solutions Inc. Дата публикации: 2024-06-27.

Apparatuses, systems, and methods for fluid inflow control

Номер патента: CA3181767C. Автор: Xiaoqi Wang. Владелец: Exceed Canada Oilfield Equipment Inc. Дата публикации: 2024-04-30.

Manufacturing method for magnetic recording medium, magnetic recording/reproducing device

Номер патента: US20120113549A1. Автор: Akira Sakawaki,Manabu Ueda,Shinichi Ishibashi. Владелец: Showa Denko KK. Дата публикации: 2012-05-10.

Method for measuring the drift mobility in doped semiconductors

Номер патента: US4319187A. Автор: Richard S. Crandall. Владелец: RCA Corp. Дата публикации: 1982-03-09.

Digital simulation for semiconductor manufacturing processes

Номер патента: US20240329626A1. Автор: Yunpeng WU,Roger Alan Lindley,Kay Siong NG,Olivia Fatma KOENTJORO. Владелец: Applied Materials Inc. Дата публикации: 2024-10-03.

Methods and systems for providing training data for manufacturing processes

Номер патента: EP4432024A1. Автор: Murat Samil Aslan. Владелец: SIEMENS AG. Дата публикации: 2024-09-18.

Computer implemented method for planning a liver resection

Номер патента: US20240366306A1. Автор: Kaloian Petkov,Sandra Sudarsky. Владелец: Siemens Healthineers AG. Дата публикации: 2024-11-07.

Method for the quality assessment of a component produced by means of an additive manufacturing method

Номер патента: US09964496B2. Автор: Thomas Hess,Alexander Ladewig. Владелец: MTU Aero Engines AG. Дата публикации: 2018-05-08.

Method for customized product manufacturing using an integrated system

Номер патента: WO2024097604A1. Автор: Karissma Y. Pringle. Владелец: Gildform Inc.. Дата публикации: 2024-05-10.

Method for improving a manufacturing process

Номер патента: EP1229415A3. Автор: Carl Bennett. Владелец: Red X Technologies Inc. Дата публикации: 2004-07-14.

Method for natural adapting the outline of footwear made of a deformable material

Номер патента: WO2011051994A1. Автор: Demetrio Biancucci,Alfredo Brasca. Владелец: Al.Pi.S.R.L.. Дата публикации: 2011-05-05.

System and method for reducing toxic waste in manufacturing processes

Номер патента: US7908022B2. Автор: Lucas G. Franco. Владелец: International Business Machines Corp. Дата публикации: 2011-03-15.

Systems and methods for monitoring and controlling industrial processes

Номер патента: US20240255932A1. Автор: Slawek Frackowiak,Leszek Frackowiak. Владелец: Industrial Video Solutions Inc. Дата публикации: 2024-08-01.

Method to reduce soft error rate in semiconductor memory

Номер патента: US20060036913A1. Автор: Keith Krasnansky. Владелец: Telogy Networks Inc. Дата публикации: 2006-02-16.

Sampling for partially resident textures

Номер патента: WO2021194733A1. Автор: Fataneh F. Ghodrat,Michael Lee GROSSFELD,Kevin Warren FURROW. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2021-09-30.

Method for natural adapting the outline of footwear made of a deformable material

Номер патента: EP2493346A1. Автор: Demetrio Biancucci,Alfredo Brasca. Владелец: Al Pi SRL. Дата публикации: 2012-09-05.

Method for testing memory cell in semiconductor device

Номер патента: US6556493B2. Автор: Tae-Kyu Kim,Yoon-Soo Jang,Young-Seon You,Mun-Hwa Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-04-29.

Heat treatment process for a manufacturing process of a drive belt metal ring component

Номер патента: WO2012083975A1. Автор: Bert Pennings. Владелец: Robert Bosch G.M.B.H.. Дата публикации: 2012-06-28.

Belt loop for women's clothes and method for sewing same

Номер патента: US10820645B2. Автор: Ki Sun Lee. Владелец: Individual. Дата публикации: 2020-11-03.

Belt loop for women's clothes and method for sewing same

Номер патента: US20200154805A1. Автор: Ki Sun Lee. Владелец: Individual. Дата публикации: 2020-05-21.

Method for analyzing a result of a simulation of a manufacturing process

Номер патента: US20210406423A1. Автор: Waldemar Kubli,Andreas Krainer. Владелец: AUTOFORM ENGINEERING GMBH. Дата публикации: 2021-12-30.

Method for analysing a result of a simulation of a manufacturing process

Номер патента: EP3929794A1. Автор: Waldemar Kubli,Andreas Krainer. Владелец: AUTOFORM ENGINEERING GMBH. Дата публикации: 2021-12-29.

Sampling for partially resident textures

Номер патента: US12106418B2. Автор: Fataneh F. Ghodrat,Michael Lee GROSSFELD,Kevin Warren FURROW. Владелец: Advanced Micro Devices Inc. Дата публикации: 2024-10-01.

Systems and methods for monitoring and controlling industrial processes

Номер патента: US12130249B2. Автор: Slawek Frackowiak,Leszek Frackowiak. Владелец: Industrial Video Solutions Inc. Дата публикации: 2024-10-29.

System and method for manufactured article dynamic measurement, tool selection and toolpath generation

Номер патента: EP3906532A1. Автор: David Schneider,Jedidiah Buck MCCREADY. Владелец: KVAL Inc. Дата публикации: 2021-11-10.

Apparatus and Method for Testing Electromigration in Semiconductor Devices

Номер патента: US20120136468A1. Автор: Yun Wang,Chi-I Lang,Tony P. Chiang. Владелец: Intermolecular Inc. Дата публикации: 2012-05-31.

Method for processing time series based on machine learning

Номер патента: EP4459507A1. Автор: Ralph Grothmann,Leon Siegert,Thorsten Reimann. Владелец: SIEMENS AG. Дата публикации: 2024-11-06.

Systems and methods for monitoring and controlling industrial processes

Номер патента: US20240361756A1. Автор: Slawek Frackowiak,Leszek Frackowiak. Владелец: Industrial Video Solutions Inc. Дата публикации: 2024-10-31.

Online real-time control method for product manufacturing process

Номер патента: US09891614B2. Автор: Lixuan Chen. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-02-13.

System and method for manufactured article dynamic measurement, tool selection and toolpath generation

Номер патента: US20200218222A1. Автор: David Schneider,Jedidiah Buck MCCREADY. Владелец: KVAL Inc. Дата публикации: 2020-07-09.

System and method for manufactured article dynamic measurement, tool selection and toolpath generation

Номер патента: CA3092571A1. Автор: David Schneider,Jedidiah Buck MCCREADY. Владелец: KVAL Inc. Дата публикации: 2020-07-09.

Method for calibrating a manufacturing process model

Номер патента: US09541500B2. Автор: Jia-Han Li,Kuen-Yu Tsai,Alek Chi-Heng Chen. Владелец: National Taiwan University NTU. Дата публикации: 2017-01-10.

Systems and methods for configurable message processing

Номер патента: US20240201643A1. Автор: Wesley Scott,Martin Kline. Владелец: Siemens Industry Software Inc. Дата публикации: 2024-06-20.

Supervision method and system for predicting defects during additive manufacturing processes

Номер патента: EP4354371A1. Автор: Sebastien Delautier,Jeremy VINCENT. Владелец: Atos France SAS. Дата публикации: 2024-04-17.

Method for manufacturing processed hemp beverage/foodstuff or foodstuff material

Номер патента: US20240215595A1. Автор: Hiroki Fujioka. Владелец: Amano Enzyme Europe Ltd. Дата публикации: 2024-07-04.

Method for interpreting design data

Номер патента: WO2004019170A2. Автор: Gerald J. Ii Mccall,Wolfgang Dienes. Владелец: 20-20 Technologies, Inc.. Дата публикации: 2004-03-04.

Supervision method and system for predicting defects during additive manufacturing processes

Номер патента: US20240126235A1. Автор: Sebastien Delautier,Jeremy VINCENT. Владелец: BULL SAS. Дата публикации: 2024-04-18.

Resource planning system and method for classification products

Номер патента: US20150051936A1. Автор: Chen-Fu Chien,Jia-Nian ZHENG. Владелец: National Tsing Hua University NTHU. Дата публикации: 2015-02-19.

Medicinal fabric for dermatological use cases and associated method for manufacturing the same

Номер патента: US20240158985A1. Автор: Michel May. Владелец: Jm Mark Inc.. Дата публикации: 2024-05-16.

Method for generating a control scheme and device manufacturing method

Номер патента: US12085913B2. Автор: Marc Hauptmann,Amir Bin ISMAIL,Rizvi RAHMAN,Jiapeng Li. Владелец: ASML Netherlands BV. Дата публикации: 2024-09-10.

Coated yarn and method for manufacturing coated yarn using thermoplastic polyurethane compound

Номер патента: US09856599B2. Автор: Heedae Park. Владелец: Individual. Дата публикации: 2018-01-02.

Run-to-Run Controller for Semiconductor Manufacturing

Номер патента: US20240353822A1. Автор: Ulrich Potthoff. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2024-10-24.

Touch screen panel and method for manufacturing the same, and display device

Номер патента: US09430109B2. Автор: Yunsik Im,Guangye HAO. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2016-08-30.

System and method for image processing and feature recognition

Номер патента: US20190035073A1. Автор: Enrico Bovero. Владелец: Saudi Arabian Oil Co. Дата публикации: 2019-01-31.

System and method for image processing and feature recognition

Номер патента: WO2019022899A1. Автор: Enrico Bovero. Владелец: Aramco Services Company. Дата публикации: 2019-01-31.

Apparatus and method for document content trading

Номер патента: WO2004059554A2. Автор: Scott H. Clearwater,Charles A. Untilus. Владелец: Hewlett-Packard Development Company, L.P.. Дата публикации: 2004-07-15.

Novel process for partial hydrolysis of glycosides and some products thereof

Номер патента: US3745156A. Автор: D Satoh. Владелец: Shionogi and Co Ltd. Дата публикации: 1973-07-10.

Method for the preparation of a working electrode

Номер патента: CA3168850A1. Автор: Gernot Hochmuth,Kirill Sliozberg,Alexander Steck. Владелец: F Hoffmann La Roche AG. Дата публикации: 2021-09-16.

Device and method for cleaning the external auditory canal

Номер патента: US11759366B2. Автор: Guy Petignat,Andreas Meyer,Thomas Linder,Mathias HENSELER. Владелец: Guy Petignat. Дата публикации: 2023-09-19.

Peeling machine and relative method for peeling stuffed food products

Номер патента: EP4373282A1. Автор: Marco PINALLI. Владелец: Maker Srl. Дата публикации: 2024-05-29.

Peeling machine and relative method for peeling stuffed food products

Номер патента: US20240284921A1. Автор: Marco PINALLI. Владелец: Maker Srl. Дата публикации: 2024-08-29.

Collecting device, kit, manufacturing process, sampling methods and use

Номер патента: AU2021431913A1. Автор: Bernard-Marie Chaffringeon. Владелец: Individual. Дата публикации: 2023-09-21.

System and method for optimized active controller design in an anr system

Номер патента: CA2567238A1. Автор: Michael A. Vaudrey,William R. Saunders,Andre Goldstein,William T. Baumann. Владелец: Individual. Дата публикации: 2005-12-01.

A method for imaging of manufacturing processes

Номер патента: EP1229722A3. Автор: Mika Petri Valkonen,Jorma Heikki Antero Snellman. Владелец: Procemex Oy. Дата публикации: 2003-10-29.

Polyamide capable of being deeply dyed and method for preparing the same

Номер патента: US20170081471A1. Автор: Han-Hsing Hsiung,Li-Chun Yu,Chun-Yung Yu,Fang-Juei CHOU. Владелец: LIANG HAW Tech CO Ltd. Дата публикации: 2017-03-23.

Method for forming a greeting card assembly, and the greetting card assembly formed thereby

Номер патента: US20070283602A1. Автор: Peter Connors. Владелец: Individual. Дата публикации: 2007-12-13.

Method for forming a greeting card assembly, and the greeting card assembly formed thereby

Номер патента: WO2007136871A3. Автор: Peter Connors. Владелец: Peter Connors. Дата публикации: 2008-08-21.

Method for forming a greeting card assembly, and the greeting card assembly formed thereby

Номер патента: WO2007136871A2. Автор: Peter Connors. Владелец: Peter Connors. Дата публикации: 2007-11-29.

Method for improving design of vehicle-body stamped part

Номер патента: EP4064103A1. Автор: Hui RAO,Bing Liu,Jianyong Wu,Yanlin Wu. Владелец: NIO Technology Anhui Co Ltd. Дата публикации: 2022-09-28.

Method for improving design of vehicle-body stamped part

Номер патента: US20220309206A1. Автор: Hui RAO,Bing Liu,Jianyong Wu,Yanlin Wu. Владелец: NIO Technology Anhui Co Ltd. Дата публикации: 2022-09-29.

Method for producing a sound absorbing panel

Номер патента: EP4379135A1. Автор: Paolo Milani,Giovanni Ghilardi,Massimo Gatelli,Isabella COLCIAGO. Владелец: Impact Acoustic Ag. Дата публикации: 2024-06-05.

Methods for reducing host cell protein content in protein purification processes

Номер патента: CA3192910A1. Автор: Brian David BOWES,Lara Ellen KREBS. Владелец: Individual. Дата публикации: 2022-04-07.

Plate assemblies, process kits, and processing chambers for semiconductor manufacturing

Номер патента: WO2024158601A1. Автор: Ala Moradian,Manjunath Subbanna. Владелец: Applied Materials, Inc.. Дата публикации: 2024-08-02.

Method for producing sintered ore

Номер патента: PH12019550289A1. Автор: Tetsuya Yamamoto,Eiji Handa,Kunihiko Ishii,Soichiro Watanabe,Kenta Takehara,Toshiyuki Hirosawa,Yohei Takigawa. Владелец: JFE Steel Corp. Дата публикации: 2020-07-13.

Brine and method for the manufacture thereof

Номер патента: US20140199247A1. Автор: Carlos DÍAZ-CRESPO CARDONA. Владелец: Individual. Дата публикации: 2014-07-17.

System and method for card quality assurance

Номер патента: WO2006107861A3. Автор: Simon Phillips. Владелец: Simon Phillips. Дата публикации: 2007-07-19.

Plasmonic device and method for manufacturing the same

Номер патента: EP4409341A1. Автор: Wolfgang Heni,Eva DE LEO,Claudia HÖSSBACHER. Владелец: Polariton Technologies Ag. Дата публикации: 2024-08-07.

Alloy containing aluminium for extrusion or other wrought manufacturing process

Номер патента: WO2023161274A1. Автор: Rudiger Franke,Antonio Monteiro,Henning Fehrmann. Владелец: Fehrmann GmbH. Дата публикации: 2023-08-31.

Ternary-Alloy Metallic Glass and Method for Making the Same

Номер патента: US20140144554A1. Автор: Yee-Wen Yen,Bo-jyun Chen. Владелец: National Taiwan University of Science and Technology NTUST. Дата публикации: 2014-05-29.

Methods for improving the nutritional quality of residues of the fuel, beverage alcohol, food and feed industries

Номер патента: WO2004103086A3. Автор: Ronan F Power. Владелец: Ronan F Power. Дата публикации: 2005-02-10.

Methods for improving the nutritional quality of residues of the fuel, beverage alcohol, food and feed industries

Номер патента: EP1622471A2. Автор: Ronan F. Power. Владелец: Alltech Corp. Дата публикации: 2006-02-08.

Liquid crystal panel and method for the manufacture thereof, and a 3D display apparatus

Номер патента: US9798152B2. Автор: WEI Yan. Владелец: Beijing BOE Display Technology Co Ltd. Дата публикации: 2017-10-24.

Systems and methods for producing aerogel materials

Номер патента: US20240343877A1. Автор: Benjamin H. Wunsch,Stephen A. Steiner, Iii,Justin S. Griffin,John N. Schneider. Владелец: Aerogel Technologies LLC. Дата публикации: 2024-10-17.

Liquid crystal panel and method for the manufacture thereof, and a 3D display apparatus

Номер патента: US09798152B2. Автор: WEI Yan. Владелец: Beijing BOE Display Technology Co Ltd. Дата публикации: 2017-10-24.

System and method for modeling virtual contaminants

Номер патента: US09754408B2. Автор: Robert Ricci,Patrick Piche,Bogdan Anghel,Olivier Christin,Daniel Gosselin,Sergiy Samus. Владелец: CAE INC. Дата публикации: 2017-09-05.

System and method for modeling virtual contaminants

Номер патента: US09501866B2. Автор: Robert Ricci,Patrick Piche,Bogdan Anghel,Olivier Christin,Daniel Gosselin,Sergiy Samus. Владелец: CAE INC. Дата публикации: 2016-11-22.

Device and method for pelvic elevation and stabilization of surgical patient

Номер патента: US09474672B2. Автор: Douglas Parsell,Chad L. Robran. Владелец: Bone Foam Inc. Дата публикации: 2016-10-25.

Method for preparing a protein emulsion

Номер патента: EP2542100A1. Автор: Patrick Willibrord Koehorst. Владелец: MEATCO BV. Дата публикации: 2013-01-09.

Method for preparing a protein emulsion

Номер патента: WO2011108920A1. Автор: Patrick Willibrord Koehorst. Владелец: Meatco B.V.. Дата публикации: 2011-09-09.

Storage module and method for using healing effects of a quarantine process

Номер патента: WO2016028376A1. Автор: Abhijeet Manohar,Dana Lee,Henry Chin,Daniel E. Tuers. Владелец: SANDISK TECHNOLOGIES INC.. Дата публикации: 2016-02-25.

Storage module and method for using healing effects of a quarantine process

Номер патента: WO2016028372A1. Автор: Abhijeet Manohar,Dana Lee,Henry Chin,Daniel E. Tuers. Владелец: SANDISK TECHNOLOGIES INC.. Дата публикации: 2016-02-25.

Method for providing a probe device for scanning probe microscopy

Номер патента: US11480588B2. Автор: Bernd Irmer. Владелец: Nanotools GmbH. Дата публикации: 2022-10-25.

Plate assemblies, process kits, and processing chambers for semiconductor manufacturing

Номер патента: US20240254624A1. Автор: Ala Moradian,Manjunath Subbanna. Владелец: Applied Materials Inc. Дата публикации: 2024-08-01.

Cooling system and methods for glass forming rolls

Номер патента: WO2022015517A1. Автор: Allan Mark Fredholm,Yifei Wang,Vincent Guillard. Владелец: CORNING INCORPORATED. Дата публикации: 2022-01-20.

Method for providing a probe device for scanning probe microscopy

Номер патента: US20210318351A9. Автор: Bernd Irmer. Владелец: Nanotools GmbH. Дата публикации: 2021-10-14.

Method for providing a probe device for scanning probe microscopy

Номер патента: US20200341028A1. Автор: Bernd Irmer. Владелец: Nanotools GmbH. Дата публикации: 2020-10-29.

Method for Producing a Metal-Insulator-Metal Capacitor for Use in Semiconductor Devices

Номер патента: US20120075767A1. Автор: . Владелец: IMEC. Дата публикации: 2012-03-29.

ISOLATION METHOD IN SEMICONDUCTOR DEVICE

Номер патента: US20120003809A1. Автор: KIM Young Deuk. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

METHOD FOR AUTOMATICALLY SHIFTING A BASE LINE

Номер патента: US20120004890A1. Автор: Chen Po-Tsang. Владелец: INOTERA MEMORIES, INC.. Дата публикации: 2012-01-05.

IMAGE SENSOR AND METHOD FOR MANUFACTURING AN IMAGE SENSOR

Номер патента: US20120001287A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Semiconductor Light Emitting Device and Method for Manufacturing the Same

Номер патента: US20120001202A1. Автор: Horng Ray-Hua. Владелец: NATIONAL CHENG KUNG UNIVERSITY. Дата публикации: 2012-01-05.

TEST MODE CONTROL CIRCUIT IN SEMICONDUCTOR MEMORY DEVICE AND TEST MODE ENTERING METHOD THEREOF

Номер патента: US20120002494A1. Автор: Jo Jun-Ho,PARK Kyu-Min,KIM BYOUNGSUL,LEE Hakyong. Владелец: . Дата публикации: 2012-01-05.

SHAPED CELLULOSE MANUFACTURING PROCESS COMBINED WITH A PULP MILL RECOVERY SYSTEM

Номер патента: US20120000621A1. Автор: Stigsson Lars. Владелец: KIRAM AB. Дата публикации: 2012-01-05.

OPTICAL MODULATOR AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120003767A1. Автор: . Владелец: NEC Corporation. Дата публикации: 2012-01-05.

FLEXIBLE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001173A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING OF SAME

Номер патента: US20120001321A1. Автор: IMAMURA Tomomi,Natsuda Tetsuo,Nishijo Yoshinosuke. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING AMINO ACID LIQUID FERTILIZER USING LIVESTOCK BLOOD AND AMINO ACID LIQUID FERTILIZER MANUFACTURED THEREBY

Номер патента: US20120000260A1. Автор: Oh Jin Yeol. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Method for Forming the Same

Номер патента: US20120001229A1. Автор: Zhu Huilong,Liang Qingqing. Владелец: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES. Дата публикации: 2012-01-05.

Method for manufacturing thin film capacitor and thin film capacitor obtained by the same

Номер патента: US20120001298A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

IMAGE SENSOR AND MANUFACTURING METHOD FOR SAME

Номер патента: US20120003776A1. Автор: Park Sang Hyuk. Владелец: Intellectual Ventures II LLC. Дата публикации: 2012-01-05.

MANUFACTURING METHOD FOR SOLID-STATE IMAGING DEVICE

Номер патента: US20120003778A1. Автор: OOTAKE Hajime. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003781A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING A DROPLET DISCHARGE HEAD

Номер патента: US20120003902A1. Автор: Mase Atsushi,Shimizu Hideki,TANAKA Hidehiko. Владелец: NGK Insulators, Ltd.. Дата публикации: 2012-01-05.

IMPLANT PROCESSING METHODS FOR THERMALLY LABILE AND OTHER BIOACTIVE AGENTS AND IMPLANTS PREPARED FROM SAME

Номер патента: US20120004323A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

COMPOSITE GEAR BLANK AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120000307A1. Автор: Oolderink Rob,Nizzoli Ermanno,Vandenbruaene Hendrik. Владелец: QUADRANT EPP AG. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING EASY OPEN END

Номер патента: US20120000340A1. Автор: Kubo Hiroshi,Kojima Katsumi,Yamanaka Yoichiro,Tada Masaki,Iwasa Hiroki. Владелец: JFE STEEL CORPORATION. Дата публикации: 2012-01-05.

Solar Cell And Method For Manufacturing Solar Cell

Номер патента: US20120000512A1. Автор: HASHIMOTO Masanori,SAITO Kazuya,SHIMIZU Miho. Владелец: . Дата публикации: 2012-01-05.

SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120000517A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Methods for Manufacturing a Vacuum Chamber and Components Thereof, and Improved Vacuum Chambers and Components Thereof

Номер патента: US20120000811A1. Автор: . Владелец: Kurt J. Lesker Company. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING EMBEDDED SUBSTRATE

Номер патента: US20120003793A1. Автор: HWANG Sun-Uk,Cho Young-Woong,Yoon Kyoung-Ro. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PRODUCING (METH)ACRYLOSILANES

Номер патента: US20120004437A1. Автор: . Владелец: Wacker Chemie AG. Дата публикации: 2012-01-05.

Method for Producing a Ceramic Filter Element

Номер патента: US20120001371A1. Автор: Linhart Jochen,Lichtenwalter Kathrin,FISCHER KATHRIN,Otterbach Sabine. Владелец: MANN+HUMMEL GMBH. Дата публикации: 2012-01-05.

MANUFACTURING APPARATUS AND MANUFACTURING METHOD FOR SPARK PLUGS

Номер патента: US20120001532A1. Автор: Kyuno Jiro,Kure Keisuke. Владелец: NGK SPARK PLUG CO., LTD.. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING POWER STORAGE DEVICE

Номер патента: US20120003139A1. Автор: Kawakami Takahiro,Miwa Takuya. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

HOLLOW MEMBER AND AN APPARATUS AND METHOD FOR ITS MANUFACTURE

Номер патента: US20120003496A1. Автор: Tomizawa Atsushi,Kubota Hiroaki. Владелец: Sumitomo Metal Industries, Ltd.. Дата публикации: 2012-01-05.

LED CHIP PACKAGE STRUCTURE USING SEDIMENTATION AND METHOD FOR MAKING THE SAME

Номер патента: US20120003765A1. Автор: . Владелец: HARVATEK CORPORATION. Дата публикации: 2012-01-05.

METHOD OF REDUCING EROSION OF A METAL CAP LAYER DURING VIA PATTERNING IN SEMICONDUCTOR DEVICES

Номер патента: US20120003832A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Excavating Device for Excavating Ground Under Water, and Method for Excavating Ground

Номер патента: US20120000098A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Systems and Methods for Minimizing Static Leakage of an Integrated Circuit

Номер патента: US20120001684A1. Автор: Caplan Randy J.,Schwake Steven J.. Владелец: MOSAID TECHNOLOGIES INCORPORATED. Дата публикации: 2012-01-05.

Semiconductor Device and Method for Manufacturing the Same

Номер патента: US20120001180A1. Автор: Yoshizumi Kensuke,YOKOI Tomokazu. Владелец: . Дата публикации: 2012-01-05.

LIGHTING DEVICE FOR VEHICLES AND A METHOD FOR PRODUCING IT

Номер патента: US20120002432A1. Автор: . Владелец: FORD GLOBAL TECHNOLOGIES, LLC. Дата публикации: 2012-01-05.

COMPOSITIONS AND METHODS FOR CONTROLLING BLOOD GLUCOSE LEVELS

Номер патента: US20120003339A1. Автор: MINACAPELLI Pompeo. Владелец: . Дата публикации: 2012-01-05.

Apparatus and Method for Biogas Purification

Номер патента: US20120000357A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Systems and Methods for Prevention of Open Loop Damage During or Immediately After Manufacturing

Номер патента: US20120000515A1. Автор: Kikinis Dan,Hadar Ron,Arditi Shmuel. Владелец: TIGO ENERGY, INC.. Дата публикации: 2012-01-05.

METHOD FOR EARLY IMAGING OF ATHEROSCLEROSIS

Номер патента: US20120003151A1. Автор: . Владелец: PURDUE RESEARCH FOUNDATION. Дата публикации: 2012-01-05.

DISPLAY DEVICE, LIQUID CRYSTAL DISPLAY DEVICE, AND METHOD FOR MANUFACTURING DISPLAY DEVICE

Номер патента: US20120002128A1. Автор: NAKAGAWA Hidetoshi. Владелец: SHARP KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

Method for obtaining semi-finished food dish

Номер патента: RU2210284C2. Автор: Г.Г. Валеев,Г.Г. Гофман,М.К. Дзалаев,И.Я. Либин. Владелец: Зао "Тимакс". Дата публикации: 2003-08-20.

Heat exchange unit and method for making it

Номер патента: RU2279618C2. Автор: Федор Петрович Митюхин. Владелец: Федор Петрович Митюхин. Дата публикации: 2006-07-10.

NON-ELECTRICAL METHODS FOR ILLUMINATION OF AIRBAG EMBLEMS

Номер патента: US20120001406A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method for removing iron in the manufacture of phosphoric acid.

Номер патента: OA18240A. Автор: Stephen Hilakos,Frank Hicks. Владелец: Jacobs Engineering Group Inc.. Дата публикации: 2018-09-04.

Method for automatic defective glove precision tracking and system thereof

Номер патента: MY192696A. Автор: Chong Ban Wong,Wern Wei Lim,Wai Sum Chan,Tan Ooi Kiat Nicholas. Владелец: Top Glove Int Sdn Bhd. Дата публикации: 2022-09-01.

Method for manufacture of decorative application compositions

Номер патента: RU2169084C1. Автор: бьев С.В. Ал,С.В. Алябьев. Владелец: Алябьев Сергей Васильевич. Дата публикации: 2001-06-20.