• Главная
  • CHEMICAL VAPOR DEPOSITION PROCESS AND METHOD OF FORMING FILM

CHEMICAL VAPOR DEPOSITION PROCESS AND METHOD OF FORMING FILM

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method for preventing vacuum pump pipeline from blockage, and chemical vapor deposition machine

Номер патента: US20200208262A1. Автор: Jianfeng SHAN. Владелец: HKC Co Ltd. Дата публикации: 2020-07-02.

Metal organic chemical vapor deposition device

Номер патента: US20240167159A1. Автор: Sung-Chul Choi,Kwang-Il Cho. Владелец: Tes Co Ltd. Дата публикации: 2024-05-23.

Apparatus of chemical vapor deposition with a shower head and method therof

Номер патента: KR100731164B1. Автор: 변철수. Владелец: 주식회사 피에조닉스. Дата публикации: 2007-06-20.

Processing system and method for chemical vapor deposition

Номер патента: EP1100980A2. Автор: Joseph T. Hillman. Владелец: Tokyo Electron Ltd. Дата публикации: 2001-05-23.

Method of operating filament assisted chemical vapor deposition system

Номер патента: WO2012112334A2. Автор: Jacques Faguet,Eric M. Lee. Владелец: Tokyo Electron America, Inc.. Дата публикации: 2012-08-23.

Shell connection device and chemical vapor deposition apparatus

Номер патента: LU505605B1. Автор: Haibo Huo. Владелец: Univ Zhengzhou Aeronautics. Дата публикации: 2024-05-24.

Method and apparatus of forming thin films

Номер патента: US5755888A. Автор: Shigenori Hayashi,Hideo Torii,Ryoichi Takayama,Eiji Fujii. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1998-05-26.

Initiated chemical vapor deposition and structuration of polyoxymethylene

Номер патента: US20220372201A1. Автор: Kenneth K.S. Lau,Zhengtao CHEN. Владелец: DREXEL UNIVERSITY. Дата публикации: 2022-11-24.

Initiated chemical vapor deposition and structuration of polyoxymethylene

Номер патента: WO2021163025A1. Автор: Kenneth K.S. Lau,Zhengtao CHEN. Владелец: Drexel Uniiversity. Дата публикации: 2021-08-19.

Method of making a multilayer tool surface with PCNA interruption of CVD, and tool made by the process

Номер патента: US5869147A. Автор: Udo KÖNIG. Владелец: Widia GmbH. Дата публикации: 1999-02-09.

Chemical vapor deposition system and method

Номер патента: EP1204782A1. Автор: Robert J. Bailey,Thomas E. Kane,Lisa H. Michael. Владелец: Silicon Valley Group Thermal Systems LLC. Дата публикации: 2002-05-15.

Chemical vapor deposition method of growing oxide films with giant magnetoresistance

Номер патента: US5487356A. Автор: Jiming Zhang,Yi-Oun Li. Владелец: Advanced Technology Materials Inc. Дата публикации: 1996-01-30.

Antimicrobial nanolaminates using vapor deposited methods such as atomic layer deposition

Номер патента: EP4395800A1. Автор: Prerna Goradia,Neil Amit GORADIA. Владелец: Individual. Дата публикации: 2024-07-10.

Methods and systems for stabilizing filaments in a chemical vapor deposition reactor

Номер патента: WO2014100401A1. Автор: Jeffrey C. Gum,Wenjun Qin,Chad Fero,Aaron D. RHODES. Владелец: GTAT CORPORATION. Дата публикации: 2014-06-26.

System and method for chemical vapor deposition process control

Номер патента: EP2109878A1. Автор: Michael W. Stowell. Владелец: Applied Materials Inc. Дата публикации: 2009-10-21.

Methods and systems for stabilizing filaments in a chemical vapor deposition reactor

Номер патента: MY174019A. Автор: Wenjun Qin,Aaron D Rhodes,Chad Fero,Jeffrey C Gum. Владелец: OCI Co Ltd. Дата публикации: 2020-03-04.

Methods and Systems for Stabilizing Filaments in a Chemical Vapor Deposition Reactor

Номер патента: US20140170337A1. Автор: Jeffrey C. Gum,Wenjun Qin,Chad Fero,Aaron Dean Rhodes. Владелец: GTAT Corp. Дата публикации: 2014-06-19.

Pvd-coated cutting tools and method for making the same

Номер патента: US20160265106A1. Автор: Yixiong Liu,Vineet Kumar,Mark S. Greenfield,Ronald M. Penich,Peter R. Leicht. Владелец: Kennametal Inc. Дата публикации: 2016-09-15.

Laser-enhanced chemical vapor deposition

Номер патента: US20230203660A1. Автор: Rodney S. Harris,Stephen G. Topping. Владелец: River Electro Optics LLC. Дата публикации: 2023-06-29.

Continuous chemical vapor deposition reactor

Номер патента: CA1068582A. Автор: Roger N. Anderson. Владелец: Texas Instruments Inc. Дата публикации: 1979-12-25.

Apparatus and method for chemical vapor deposition control

Номер патента: EP2580368A1. Автор: Eric J. Strang,Jacques Faguet,Eric M. Lee. Владелец: Tokyo Electron Ltd. Дата публикации: 2013-04-17.

Chemical vapor deposition of thick inorganic coating on a polarizer

Номер патента: US11746418B2. Автор: Brian Johnson,Matthew R. Linford,Anubhav Diwan. Владелец: Moxtek Inc. Дата публикации: 2023-09-05.

Method of in-situ cleaning for LPCVD TEOS pump

Номер патента: US6498104B1. Автор: David Chi,Kent Kuohua Chang,Fuodoor Gologhlan,Hector Serrato. Владелец: Advanced Micro Devices Inc. Дата публикации: 2002-12-24.

Metal-organic (MO) chemical vapor deposition method and MO chemical vapor deposition reactor

Номер патента: US6180541B1. Автор: Jae-Hyun Joo. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2001-01-30.

Triangular deposition chamber for a vapor deposition system

Номер патента: CA2120092C. Автор: Jitendra S. Goela,Lee E. Burns,James C. Macdonald,Alexander Teverovsky. Владелец: CVD Inc. Дата публикации: 1997-05-20.

Chemical vapor deposition method and apparatus

Номер патента: EP3377671A1. Автор: Daniel J. DESROSIER,Chad R. FERO. Владелец: GTAT Corp. Дата публикации: 2018-09-26.

Method of forming a zinc oxide coated article

Номер патента: WO2008027087A1. Автор: Gary S. Silverman,Ryan Smith,Michael B. Abrams,Roman Y. Korotkov,Jeffery L. Stricker. Владелец: Arkema, Inc.. Дата публикации: 2008-03-06.

Precursor selection method for chemical vapor deposition techniques

Номер патента: US20080243460A1. Автор: Timothy P. Holme,Masayuki Sugawara,Friedrick B. Prinz. Владелец: Individual. Дата публикации: 2008-10-02.

Chemical vapor deposition

Номер патента: US4107352A. Автор: Mohammad Javid Hakim. Владелец: Westinghouse Canada Inc. Дата публикации: 1978-08-15.

Chemical vapor deposition tool and operating method thereof

Номер патента: US20170032940A1. Автор: Chien-Ta Lee,Pen-Li HUNG,Yu-Shan SHIH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-02.

Modular tray for solid chemical vaporizing chamber

Номер патента: WO2023059827A1. Автор: Jacob Thomas,John N. Gregg,Scott L. Battle,Benjamin H. OLSON. Владелец: ENTEGRIS, INC.. Дата публикации: 2023-04-13.

Modular tray for solid chemical vaporizing chamber

Номер патента: WO2023059827A9. Автор: Jacob Thomas,John N. Gregg,Scott L. Battle,Benjamin H. OLSON. Владелец: ENTEGRIS, INC.. Дата публикации: 2024-02-15.

Modular tray for solid chemical vaporizing chamber

Номер патента: EP4413177A1. Автор: Jacob Thomas,John N. Gregg,Scott L. Battle,Benjamin H. OLSON. Владелец: Entegris Inc. Дата публикации: 2024-08-14.

Gas distribution for chemical vapor deposition/infiltration

Номер патента: US20200040447A1. Автор: Ying She,Zissis A. Dardas,Xiaodan Cai,Thomas P. Filburn,Naveen G. Menon. Владелец: Goodrich Corp. Дата публикации: 2020-02-06.

Gas distribution for chemical vapor deposition/infiltration

Номер патента: US10975467B2. Автор: Ying She,Zissis A. Dardas,Xiaodan Cai,Thomas P. Filburn,Naveen G. Menon. Владелец: Goodrich Corp. Дата публикации: 2021-04-13.

Gas distribution for chemical vapor deposition/infiltration

Номер патента: US20190085446A1. Автор: Ying She,Zissis A. Dardas,Xiaodan Cai,Thomas P. Filburn,Naveen G. Menon. Владелец: Goodrich Corp. Дата публикации: 2019-03-21.

Auxiliary gasline-heating unit in chemical vapor deposition

Номер патента: US20010042930A1. Автор: Chien-Hsin Lai,Juen-Kuen Lin,Peng-Yih Peng,Fu-Yang Yu. Владелец: Individual. Дата публикации: 2001-11-22.

Systems and methods for improved gas delivery

Номер патента: WO2004032200A2. Автор: Gi-Youl Kim,Marbert G. Moore Iii,Adrian Jansz,David Foote,Richard Hendrickson,Ken Doering. Владелец: Genus, Inc.. Дата публикации: 2004-04-15.

Chemical vapor deposition apparatus

Номер патента: US6110283A. Автор: Takaaki Kawahara,Mikio Yamamuka,Tsuyoshi Horikawa,Masayoshi Tarutani. Владелец: Mitsubishi Electric Corp. Дата публикации: 2000-08-29.

Method of chemical vapor deposition of metal films

Номер патента: EP1021589A1. Автор: Chantal Arena,Joseph T. Hillman,Emmanuel Guidotti,Ronald T. Bertram. Владелец: Tokyo Electron Arizona Inc. Дата публикации: 2000-07-26.

A method for operating a chemical vapor deposition process

Номер патента: SE2250842A1. Автор: Henrik Pedersen,Jens Birch,Choolakkal Arun Haridas. Владелец: CANATU OY. Дата публикации: 2024-01-05.

A method for operating a chemical vapor deposition process

Номер патента: WO2024008472A2. Автор: Henrik Pedersen,Jens Birch,Arun HARIDAS CHOOLAKKAL. Владелец: CANATU OY. Дата публикации: 2024-01-11.

A method for operating a chemical vapor deposition process

Номер патента: WO2024008472A3. Автор: Henrik Pedersen,Jens Birch,Arun HARIDAS CHOOLAKKAL. Владелец: CANATU OY. Дата публикации: 2024-02-29.

Chemical vapor deposition apparatus

Номер патента: US8298338B2. Автор: Ji Hye Shim,Changsung Sean KIM,Sang Duk Yoo,Jong Pa HONG,Won Shin LEE. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2012-10-30.

Vacuum deposition process and apparatus for producing films having high uniformity

Номер патента: US5063086A. Автор: Douglas B. Meakin. Владелец: General Electric Co PLC. Дата публикации: 1991-11-05.

Antimicrobial nanolaminates using vapor deposited methods

Номер патента: US20230072705A1. Автор: Prerna Goradia. Владелец: Individual. Дата публикации: 2023-03-09.

Vapor deposition apparatus and method of manufacturing organic light-emitting display apparatus

Номер патента: US20150191822A1. Автор: Sang-Joon SEO,Jae-eung Oh. Владелец: Samsung Display Co Ltd. Дата публикации: 2015-07-09.

Method of depositing films by using carboxylate complexes

Номер патента: US20010008111A1. Автор: Brian Vaartstra. Владелец: Individual. Дата публикации: 2001-07-19.

Method for improving film uniformity in plasma enhanced chemical vapor deposition system

Номер патента: US20050025906A1. Автор: Hui-Chu Lin,Wen-Cheng Lu. Владелец: Toppoly Optoelectronics Corp. Дата публикации: 2005-02-03.

Apparatus and methods for pumping gases from a chamber

Номер патента: US20200095679A1. Автор: Fung-Chih HUANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-26.

Apparatus for low pressure chemical vapor deposition

Номер патента: US5441570A. Автор: Chul-Ju Hwang. Владелец: Jein Technics Co Ltd. Дата публикации: 1995-08-15.

Tritertbutyl aluminum reactants for vapor deposition

Номер патента: US10556799B2. Автор: Mohith E. Verghese,Eric J. Shero. Владелец: ASM IP Holding BV. Дата публикации: 2020-02-11.

Tritertbutyl aluminum reactants for vapor deposition

Номер патента: US20170096345A1. Автор: Mohith E. Verghese,Eric J. Shero. Владелец: ASM IP Holding BV. Дата публикации: 2017-04-06.

Tritertbutyl aluminum reactants for vapor deposition

Номер патента: US20180339907A1. Автор: Mohith E. Verghese,Eric J. Shero. Владелец: ASM IP Holding BV. Дата публикации: 2018-11-29.

Metal organic chemical vapor deposition apparatus for solar cell

Номер патента: US20160225933A1. Автор: Heonmin Lee,Dongjoo YOU,Wonki Yoon. Владелец: LG ELECTRONICS INC. Дата публикации: 2016-08-04.

Chemical vapor deposition apparatus

Номер патента: US4539933A. Автор: Dale R. DuBois,Bryant A. Campbell,Nicholas E. Miller,Ralph F. Manriquez. Владелец: Anicon Inc. Дата публикации: 1985-09-10.

Method of determining a time to clean a low pressure chemical vapor deposition (LPCVD) system

Номер патента: US6099902A. Автор: Tang Yu,Eddie Chen,Jumn-Min Fam. Владелец: United Silicon Inc. Дата публикации: 2000-08-08.

Method of coating particles by vapor deposition

Номер патента: US20030059530A1. Автор: Christoforos Kazazis,Daniel Carril,Keith Klinedinst. Владелец: Osram Sylvania Inc. Дата публикации: 2003-03-27.

Deposition metalizing bulk material by chemical vapor

Номер патента: US4606941A. Автор: William C. Jenkin. Владелец: Jenkin William C. Дата публикации: 1986-08-19.

Apparatus for chemical vapor deposition (CVD) with showerhead

Номер патента: US8298370B2. Автор: Chul Soo Byun. Владелец: Piezonics Co Ltd. Дата публикации: 2012-10-30.

Additive chemical vapor deposition methods and systems

Номер патента: EP4208583A1. Автор: Dmitri S. Terekhov. Владелец: Tcm Research Ltd. Дата публикации: 2023-07-12.

Additive chemical vapor deposition methods and systems

Номер патента: CA3193161A1. Автор: Dmitri S. Terekhov. Владелец: Tcm Research Ltd. Дата публикации: 2022-03-10.

Method of cleaning for coating application plants

Номер патента: RU2554838C2. Автор: Петер НЕФФ. Владелец: Эрликон Серфиз Солюшнз Аг, Трюббах. Дата публикации: 2015-06-27.

Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers

Номер патента: WO2014094103A1. Автор: Rajesh Odedra. Владелец: SEASTAR CHEMICALS INC.. Дата публикации: 2014-06-26.

Exhaust system for chemical vapor deposition apparatus

Номер патента: US4608063A. Автор: Takashi Kurokawa. Владелец: Canon Inc. Дата публикации: 1986-08-26.

Chemical vapor deposition system cleaner

Номер патента: US5109562A. Автор: John W. Albrecht. Владелец: C V D System Cleaners Corp. Дата публикации: 1992-05-05.

Chemical vapor deposition apparatus

Номер патента: CA1216419A. Автор: Dale R. DuBois,Bryant A. Campbell,Nicholas E. Miller,Ralph F. Manriquez. Владелец: Anicon Inc. Дата публикации: 1987-01-13.

Gas distribution for chemical vapor deposition/infiltration

Номер патента: US10480065B2. Автор: Ying She,Zissis A. Dardas,Xiaodan Cai,Thomas P. Filburn,Naveen G. Menon. Владелец: Goodrich Corp. Дата публикации: 2019-11-19.

Single ended ultra-high vacuum chemical vapor deposition (uhv/cvd) reactor

Номер патента: US5181964A. Автор: Bernard S. Meyerson. Владелец: International Business Machines Corp. Дата публикации: 1993-01-26.

Vertical chemical vapor deposition apparatus having nozzle for spraying reaction gas toward wafers

Номер патента: US20090159004A1. Автор: Takahiro Yoshioka. Владелец: Elpida Memory Inc. Дата публикации: 2009-06-25.

In-situ solid chemical vapor deposition precursor delivery

Номер патента: US20240060178A1. Автор: Ying She,Olivier H. Sudre,Jun NABLE. Владелец: Raytheon Technologies Corp. Дата публикации: 2024-02-22.

In-situ solid chemical vapor deposition precursor delivery

Номер патента: EP4328351A1. Автор: Ying She,Olivier H. Sudre,Jun NABLE. Владелец: RTX Corp. Дата публикации: 2024-02-28.

Multi-disc chemical vapor deposition system

Номер патента: WO2024118468A1. Автор: Bojan Mitrovic,Ajit Paranjpe,Alexander Gurary. Владелец: VEECO INSTRUMENTS INC.. Дата публикации: 2024-06-06.

Multi-disc chemical vapor deposition system with cross flow gas injection

Номер патента: WO2024118472A1. Автор: Johannes Kaeppeler,Ajit Paranjpe,Alexander Gurary. Владелец: VEECO INSTRUMENTS INC.. Дата публикации: 2024-06-06.

Multi-disc chemical vapor deposition system with cross flow gas injection

Номер патента: US20240175133A1. Автор: Johannes Kaeppeler,Ajit Paranjpe,Alexander Gurary. Владелец: Veeco Instruments Inc. Дата публикации: 2024-05-30.

Multi-disc chemical vapor deposition system

Номер патента: US20240175132A1. Автор: Bojan Mitrovic,Ajit Paranjpe,Alexander Gurary. Владелец: Veeco Instruments Inc. Дата публикации: 2024-05-30.

Species controlled chemical vapor deposition

Номер патента: US20170327950A1. Автор: Keith Daniel Humfeld,De'Andre James Cherry. Владелец: Boeing Co. Дата публикации: 2017-11-16.

Method and apparatus for monitoring generation of liquid chemical vapor

Номер патента: EP1015659A1. Автор: John Vincent Schmitt. Владелец: Applied Materials Inc. Дата публикации: 2000-07-05.

Compounds for forming alumina films using chemical vapor deposition method and process for preparing the compound

Номер патента: US20030010256A1. Автор: Hyun-koock Shin. Владелец: Shipley Co LLC. Дата публикации: 2003-01-16.

Chemical vapor deposition system arrangement

Номер патента: US20160053375A1. Автор: William David Grove,Nicholas Peter Deskevich. Владелец: Silcotek Corp. Дата публикации: 2016-02-25.

Chemical vapor deposition process and coated article

Номер патента: US20160060763A1. Автор: David A. Smith,Min YUAN,James B. Mattzela,Paul H. Silvis. Владелец: Silcotek Corp. Дата публикации: 2016-03-03.

Prevention of low pressure chemical vapor deposition silicon dioxide undercutting and flaking

Номер патента: CA1166129A. Автор: Bernard M. Kemlage. Владелец: International Business Machines Corp. Дата публикации: 1984-04-24.

Chemical vapor deposition system and method

Номер патента: WO2006116776A3. Автор: Eunsung Park,Kevin Casey,Catherine E Talor. Владелец: Catherine E Talor. Дата публикации: 2007-05-03.

Metal organic chemical vapor deposition apparatus

Номер патента: US20240175135A1. Автор: Sung-Chul Choi,Kwang-Il Cho. Владелец: Tes Co Ltd. Дата публикации: 2024-05-30.

Method of forming a carbon doped oxide layer on a substrate

Номер патента: US20030211325A1. Автор: Steven Towle. Владелец: Individual. Дата публикации: 2003-11-13.

Method of determining deposition temperature

Номер патента: US20040146643A1. Автор: Wen-Cheng Lien,Shih-Liang Chou,Tsung-De Lin,Tian-Jue Hong,Tsung-Chin Wu,Kou-Yow Tseng. Владелец: Macronix International Co Ltd. Дата публикации: 2004-07-29.

Process for the deposition of thin layers by chemical vapor deposition

Номер патента: US20020127338A1. Автор: Annette Saenger. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2002-09-12.

Method of reducing stress-induced mechanical problems in optical-quality thin films

Номер патента: EP1302792A3. Автор: Luc Ouellet,Jonathan Lachance. Владелец: Dalsa Semiconductor Inc. Дата публикации: 2004-08-04.

Chemical vapor deposition method of silicon dioxide film

Номер патента: US5360646A. Автор: Katsumi Morita. Владелец: Applied Materials Inc. Дата публикации: 1994-11-01.

Method of applying hermetic coating on optical fiber

Номер патента: US4790625A. Автор: Satyabrata Raychaudhuri,Dipak R. Biswas. Владелец: Alcatel USA Corp. Дата публикации: 1988-12-13.

Chemical vapor deposition process

Номер патента: US4547404A. Автор: Bryant A. Campbell,Nicholas E. Miller. Владелец: Anicon Inc. Дата публикации: 1985-10-15.

Antimicrobial nanolaminates using vapor deposited methods such as atomic layer deposition

Номер патента: WO2023031951A1. Автор: Prerna Goradia,Neil Amit GORADIA. Владелец: Prerna Goradia. Дата публикации: 2023-03-09.

Chemical vapor deposition apparatus for forming thin film

Номер патента: US5209182A. Автор: Tomohiro Ohta,Eiichi Kondoh,Kenichi Otsuka,Tohru Mitomo,Hiroshi Sekihashi. Владелец: Kawasaki Steel Corp. Дата публикации: 1993-05-11.

Chemical vapor deposition apparatus

Номер патента: US5803974A. Автор: Tadahiro Ohmi,Nobumasa Suzuki,Nobuo Mikoshiba,Kazuo Tsubouchi,Kazuya Masu. Владелец: Canon Inc. Дата публикации: 1998-09-08.

Chemical vapor deposition of mullite coatings and powders

Номер патента: AU4963496A. Автор: Rao Mulpuri,Vinod Sarin. Владелец: Boston University. Дата публикации: 1996-07-24.

Precursor for chemical vapor deposition and thin film formation process using the same

Номер патента: US20040086643A1. Автор: Hiroki Sato,Kazuhisa Onozawa. Владелец: Asahi Denka Kogyo KK. Дата публикации: 2004-05-06.

Method of producing a coated glass article

Номер патента: EP4392594A1. Автор: Srikanth Varanasi,Michael Remington. Владелец: Pilkington Group Ltd. Дата публикации: 2024-07-03.

Fluoro-containing thermal chemical vapor deposition process and article

Номер патента: US20180163308A1. Автор: David A. Smith. Владелец: Silcotek Corp. Дата публикации: 2018-06-14.

Silicon-nitride-containing thermal chemical vapor deposition coating

Номер патента: US20170167015A1. Автор: David A. Smith,Min YUAN,James B. Mattzela. Владелец: Silcotek Corp. Дата публикации: 2017-06-15.

Systems and methods for production of graphene by plasma-enhanced chemical vapor deposition

Номер патента: US20140255621A1. Автор: Peter V. Bedworth,Steven W. Sinton. Владелец: Lockheed Martin Corp. Дата публикации: 2014-09-11.

Method of forming a film on a substrate by chemical vapor deposition

Номер патента: US11885022B2. Автор: Waichi Yamamura,Chikara MORI. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-01-30.

Thermal chemical vapor deposition coating

Номер патента: US20180258529A1. Автор: James B. Mattzela,Paul H. Silvis,Gary A. Barone,Thomas F. Vezza,William David Grove. Владелец: Silcotek Corp. Дата публикации: 2018-09-13.

Binary and ternary metal chalcogenide materials and method of making the same

Номер патента: EP2130942A3. Автор: Liu Yang,Manchao Xiao. Владелец: Air Products and Chemicals Inc. Дата публикации: 2012-12-05.

High strength alloys and methods for making same

Номер патента: WO2002044437A8. Автор: Robert A Holzl,Robert J Shinavski. Владелец: Composite Tool Company Inc. Дата публикации: 2003-04-17.

High strength alloys and methods for making same

Номер патента: WO2002044437A3. Автор: Robert A Holzl,Robert J Shinavski. Владелец: Composite Tool Company Inc. Дата публикации: 2003-08-14.

Selective area chemical vapor deposition

Номер патента: CA2028438C. Автор: Michael A. Pickering,Raymond L. Taylor,Joseph T. Keeley,Jitendra Singh Goela. Владелец: CVD Inc. Дата публикации: 1993-11-30.

Pulsed plasma enhanced chemical vapor deposition process and system

Номер патента: WO2022036147A3. Автор: Robert Abrams,Matthew Wills,Ahmad Taha. Владелец: SiO2 Medical Products, Inc.. Дата публикации: 2022-04-14.

Pulsed plasma enhanced chemical vapor deposition process and system

Номер патента: WO2022036147A4. Автор: Robert Abrams,Matthew Wills,Ahmad Taha. Владелец: SiO2 Medical Products, Inc.. Дата публикации: 2022-06-23.

Methods of vapor deposition of ruthenium using an oxygen-free co-reactant

Номер патента: US11976352B2. Автор: Jacob Woodruff,Guo Liu,Ravindra Kanjolia. Владелец: Merck Patent GmBH. Дата публикации: 2024-05-07.

Method of forming a silicon comprising layer

Номер патента: US20230360905A1. Автор: Werner Knaepen,Bert Jongbloed,Dieter Pierreux,Arjen KLAVER. Владелец: ASM IP Holding BV. Дата публикации: 2023-11-09.

High density plasma chemical vapor deposition chamber

Номер патента: US20020112666A1. Автор: Pei-Ren Jeng. Владелец: Macronix International Co Ltd. Дата публикации: 2002-08-22.

Wafer carrier and metal organic chemical vapor deposition apparatus

Номер патента: US20220064791A1. Автор: Yen-Lin LAI,Jyun-De Wu,Shen-Jie Wang,Chien-Chih Yen. Владелец: PlayNitride Display Co Ltd. Дата публикации: 2022-03-03.

Plasma chemical vapor deposition device

Номер патента: US10151033B2. Автор: Yuji Takano,Hiromichi Nakata,Yoji Sato,Takayasu Sato,Kazutaka Tachibana,Osamu Ariyada,Ryo TSURUMOTO. Владелец: Toyota Motor Corp. Дата публикации: 2018-12-11.

Plasma chemical vapor deposition device

Номер патента: US20160376707A1. Автор: Yuji Takano,Hiromichi Nakata,Yoji Sato,Takayasu Sato,Kazutaka Tachibana,Osamu Ariyada,Ryo TSURUMOTO. Владелец: Toyota Motor Corp. Дата публикации: 2016-12-29.

Atmospheric pressure chemical vapor deposition apparatus

Номер патента: US4834020A. Автор: Lawrence D. Bartholomew,Nicholas M. Gralenski,Michael A. Richie,Michael L. Hersh. Владелец: Watkins Johnson Co. Дата публикации: 1989-05-30.

Gas injection system for chemical vapor deposition using sequenced valves

Номер патента: WO2012082225A1. Автор: William E. Quinn,Eric A. Armour. Владелец: VEECO INSTRUMENTS INC.. Дата публикации: 2012-06-21.

Gas Injection System For Chemical Vapor Deposition Using Sequenced Valves

Номер патента: US20160168710A1. Автор: William E. Quinn,Eric A. Armour. Владелец: Veeco Instruments Inc. Дата публикации: 2016-06-16.

Pulsed plasma enhanced chemical vapor deposition process, system, and coated vessels

Номер патента: US20230340670A1. Автор: Robert Abrams,Matthew Wills,Ahmad Taha. Владелец: SIO2 Medical Products Inc. Дата публикации: 2023-10-26.

Technique for high efficiency metalorganic chemical vapor deposition

Номер патента: US20030049932A1. Автор: Sam Yang,Weimin Li. Владелец: Individual. Дата публикации: 2003-03-13.

Chemical vapor deposition

Номер патента: CA1251100A. Автор: Guy Brien,Richard Cloutier,Laszlo Szolgyemy,Edward C.D. Darwall. Владелец: Edward C.D. Darwall. Дата публикации: 1989-03-14.

RF powered plasma enhanced chemical vapor deposition reactor and methods

Номер патента: US6112697A. Автор: Paul Smith,Sujit Sharan,Gurtej S. Sandhu. Владелец: Micron Technology Inc. Дата публикации: 2000-09-05.

Chemical vapor deposition process for producing diamond

Номер патента: US11905594B2. Автор: Neil Fox,Hugo DOMINGUEZ ANDRADE,Thomas B SCOTT,Edward JD MAHONEY,Alexander CROOT. Владелец: University of Bristol. Дата публикации: 2024-02-20.

Method for tuning a deposition rate during an atomic layer deposition process

Номер патента: US20140248772A1. Автор: Jiang Lu,Mei Chang,Paul Ma,Joseph F. Aubuchon. Владелец: Applied Materials Inc. Дата публикации: 2014-09-04.

Apparatus for producing diamonds by chemical vapor deposition and articles produced therefrom

Номер патента: US5204145A. Автор: Steven M. Gasworth. Владелец: General Electric Co. Дата публикации: 1993-04-20.

Chemical vapor deposition wafer boat

Номер патента: CA1234972A. Автор: Arthur J. Learn,Dale R. DuBois. Владелец: Anicon Inc. Дата публикации: 1988-04-12.

Method of chemical vapor deposition in a continuous treatment line

Номер патента: US5352490A. Автор: Masahiro Abe,Kazuhisa Okada,Shuzo Fukuda. Владелец: NKK Corp. Дата публикации: 1994-10-04.

Plasma-enhanced chemical vapor deposition coating system

Номер патента: US20220275509A1. Автор: Attila Nagy,Benjamin Lawrence,Ludmil Zambov,Daniel Pulsipher,Pravin Chaubey,John Winterroth. Владелец: HZO Inc. Дата публикации: 2022-09-01.

Plasma-enhanced chemical vapor deposition coating system

Номер патента: EP4298665A1. Автор: Attila Nagy,Benjamin Lawrence,Ludmil Zambov,Daniel Pulsipher,Pravin Chaubey,John Winterroth. Владелец: HZO Inc. Дата публикации: 2024-01-03.

Chemical vapor deposition of silicon nitride using a remote plasma

Номер патента: WO2024102586A1. Автор: Andrew J. McKerrow,Shane Tang,Gopinath Bhimarasetti. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2024-05-16.

Inverted diffusion stagnation point flow reactor for vapor deposition of thin films

Номер патента: CA2016970A1. Автор: Prasad N. Gadgil. Владелец: SIMON FRASER UNIVERSITY. Дата публикации: 1991-11-16.

Chemical vapor deposition

Номер патента: US5871586A. Автор: John A. Crawley,Victor J. Saywell. Владелец: Thomas Swan and Co Ltd. Дата публикации: 1999-02-16.

Gas delivering apparatus for chemical vapor deposition

Номер патента: US6123776A. Автор: Kuen-Jian Chen,Horng-Bor Lu. Владелец: United Microelectronics Corp. Дата публикации: 2000-09-26.

Chemical vapor deposition manifold

Номер патента: US6024799A. Автор: Karl Anthony Littau,Chen-An Chen. Владелец: Applied Materials Inc. Дата публикации: 2000-02-15.

Radiation heated reactor for chemical vapor deposition on substrates

Номер патента: US4263872A. Автор: Vladimir S. Ban. Владелец: RCA Corp. Дата публикации: 1981-04-28.

Chemical vapor deposition reactor

Номер патента: US8778079B2. Автор: Michael J. Begarney,Frank J. Campanale. Владелец: Valence Process Equipment Inc. Дата публикации: 2014-07-15.

Chemical vapor deposition method for the thin film of semiconductor

Номер патента: CA1268688A. Автор: Seiji Kojima,Hiroshi Kikuchi,Masakiyo Ikeda,Yuzo Kashiwayanagi. Владелец: Furukawa Electric Co Ltd. Дата публикации: 1990-05-08.

Method for chemical vapor deposition of titanium nitride films at low temperatures

Номер патента: US5378501A. Автор: Robert F. Foster,Joseph T. Hillman. Владелец: Materials Research Corp. Дата публикации: 1995-01-03.

Chemical vapor deposition method for the thin film of semiconductor

Номер патента: US4705700A. Автор: Seiji Kojima,Hiroshi Kikuchi,Masakiyo Ikeda,Yuzo Kashiwayanagi. Владелец: Furukawa Electric Co Ltd. Дата публикации: 1987-11-10.

High strength alloys and methods for making same

Номер патента: US20020088508A1. Автор: Robert Hölzl,Robert Shinavski. Владелец: Individual. Дата публикации: 2002-07-11.

Unitary wafer plasma enhanced chemical vapor deposition holding device

Номер патента: US5478399A. Автор: Calvin K. Willard. Владелец: Micron Technology Inc. Дата публикации: 1995-12-26.

Chemical vapor deposition wafer boat

Номер патента: US4694778A. Автор: Arthur J. Learn,Dale R. DuBois. Владелец: Anicon Inc. Дата публикации: 1987-09-22.

Chemical vapor deposition chamber having an adjustable flow flange

Номер патента: US6080241A. Автор: Tingkai Li,Dane C. Scott,Brian Wyckoff. Владелец: Emcore Corp. Дата публикации: 2000-06-27.

Chemical vapor deposition apparatus

Номер патента: US7217326B2. Автор: Ho Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-05-15.

Chemical vapor deposition apparatus

Номер патента: US20040200413A1. Автор: Ho Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-10-14.

Chemical vapor deposition method and apparatus

Номер патента: US4638762A. Автор: Montri Viriyayuthakorn,Myung K. Kim. Владелец: AT&T Technologies Inc. Дата публикации: 1987-01-27.

Chemical vapor deposition apparatus for flat display

Номер патента: CN101016622A. Автор: 金南珍,金俊洙. Владелец: SFA Engineering Corp. Дата публикации: 2007-08-15.

Multiple Chamber System for Plasma Chemical Vapor Deposition of Diamond and Related Materials

Номер патента: US20230392255A1. Автор: William Holber. Владелец: PLASMABILITY LLC. Дата публикации: 2023-12-07.

Chemical vapor deposition apparatus

Номер патента: US20230105104A1. Автор: Jinsan Moon,Wonbae Park. Владелец: LG ELECTRONICS INC. Дата публикации: 2023-04-06.

Wafer carrier for metal organic chemical vapor deposition

Номер патента: EP3907308A1. Автор: Yuxi Wan,Zetao PENG. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2021-11-10.

Variable-temperature vapor deposition process

Номер патента: US20240209498A1. Автор: Paul Connolly Quayle. Владелец: Great Lakes Crystal Technologies Inc. Дата публикации: 2024-06-27.

Chemical vapor deposition process for depositing titanium nitride films from an organo-metallic compound

Номер патента: US20020051847A1. Автор: Salman Akram. Владелец: Individual. Дата публикации: 2002-05-02.

Diamond films and methods of making diamond films

Номер патента: CA2548782A1. Автор: John Harvie Chaffin Iii. Владелец: Nanotech Llc. Дата публикации: 2005-06-23.

Wear parts having coating run-out and methods of producing the same

Номер патента: EP2636766A3. Автор: Liam PINGREE,Michael Ware. Владелец: Boeing Co. Дата публикации: 2016-09-21.

CHEMICAL VAPOR DEPOSITION OF CuInXGa1- X(SeyS1-y)2 THIN FILMS AND USES THEREOF

Номер патента: WO2008151067A3. Автор: Tim Anderson,W K Kim. Владелец: W K Kim. Дата публикации: 2009-02-19.

Device, system and method for plasma-enhanced chemical vapor deposition

Номер патента: CA3192596A1. Автор: Jens-Uwe FUCHS,Ralf Reize,Mirko Tröller,Roland Leichtle. Владелец: Individual. Дата публикации: 2022-03-24.

Pvd-coated cutting tools and method for making the same

Номер патента: US20170218511A1. Автор: Yixiong Liu,Vineet Kumar,Mark S. Greenfield,Ronald M. Penich,Peter R. Leicht. Владелец: Kennametal Inc. Дата публикации: 2017-08-03.

Method of producing tungsten rhenium alloys by chemical vapor deposition

Номер патента: US3637374A. Автор: Frederick A Glaski,Robert A Holzi,James R Humphrey. Владелец: Fansteel Inc. Дата публикации: 1972-01-25.

Chemical vapor deposition process for depositing titanium nitride films from an organo-metallic compound

Номер патента: US20030165619A1. Автор: Salman Akram. Владелец: Individual. Дата публикации: 2003-09-04.

Method of forming composite articles from CVD gas streams and solid particles or fibers

Номер патента: US5348765A. Автор: Peter Reagan,Ann N. Scoville,Rebecca Leaf. Владелец: Thermo Electron Corp. Дата публикации: 1994-09-20.

Platinum source compositions for chemical vapor deposition of platinum

Номер патента: US5783716A. Автор: Thomas H. Baum,Peter S. Kirlin,Sofia Pombrik. Владелец: Advanced Technology Materials Inc. Дата публикации: 1998-07-21.

Organometallic antimony compounds useful in chemical vapor deposition processes

Номер патента: US4960916A. Автор: John C. Pazik. Владелец: US Department of Navy. Дата публикации: 1990-10-02.

Chemical vapor deposition method

Номер патента: US3565676A. Автор: Robert A Holzl. Владелец: Fansteel Inc. Дата публикации: 1971-02-23.

Method of forming silicon nitride films

Номер патента: US20090087586A1. Автор: Toshiya Takahashi. Владелец: Fujifilm Corp. Дата публикации: 2009-04-02.

Thin film diamond coating system and method

Номер патента: US20180127871A1. Автор: Adam Khan,Robert Polak. Владелец: Individual. Дата публикации: 2018-05-10.

Apparatus and method for large-scale production of graphene

Номер патента: US20230357017A1. Автор: Marius Andreassen Jakobsen,Vitali Datsyuk. Владелец: CealTech AS. Дата публикации: 2023-11-09.

Microwave plasma chemical vapor deposition device

Номер патента: US20240158910A1. Автор: Zhiwen Kang,Bingfeng CAI,Kangfu GUO. Владелец: Wuhan Youmeike Automation Co ltd. Дата публикации: 2024-05-16.

Chemical vapor deposition coatings on titanium

Номер патента: US3787223A. Автор: C Reedy. Владелец: Texas Instruments Inc. Дата публикации: 1974-01-22.

3d metal printhead assembly method of manufacture

Номер патента: US20210102286A1. Автор: Jeffrey Herman,Charles Pateros,David Pain,Andrew Edmonds,Edward White,Kareemullah Shaik. Владелец: Fabric8Labs Inc. Дата публикации: 2021-04-08.

Methods and apparatus for microwave plasma assisted chemical vapor deposition reactors

Номер патента: US11702749B2. Автор: Jing Lu,Jes Asmussen,Yajun Gu,Shreya Nad. Владелец: Michigan State University MSU. Дата публикации: 2023-07-18.

Methods and apparatus for microwave plasma assisted chemical vapor deposition reactors

Номер патента: US11854775B2. Автор: Timothy A. Grotjohn,Jes Asmussen. Владелец: Michigan State University MSU. Дата публикации: 2023-12-26.

Deposition of silicon nitride by plasma-enchanced chemical vapor deposition

Номер патента: US5508067A. Автор: Atsushi Tabata,Tatsuya Sato,Naoaki Kobayashi. Владелец: Applied Materials Inc. Дата публикации: 1996-04-16.

Method of coating complex substrates

Номер патента: US5139824A. Автор: Paul Lowden,Joseph Liburdi,Alina Aguero. Владелец: Liburdi Engineering Ltd. Дата публикации: 1992-08-18.

Chemical vapor deposition during additive manufacturing

Номер патента: US11851763B2. Автор: Scott Alan Gold. Владелец: General Electric Co. Дата публикации: 2023-12-26.

Selenophene-Based Low Band Gap Active Layers by Chemical Vapor Deposition

Номер патента: US20130089659A1. Автор: Karen K. Gleason,Dhiman Bhattacharyya. Владелец: Massachusetts Institute of Technology. Дата публикации: 2013-04-11.

Chemical vapor deposition during additive manufacturing

Номер патента: US20240076779A1. Автор: Scott Alan Gold. Владелец: General Electric Co. Дата публикации: 2024-03-07.

Selenophene-based low band gap active layers by chemical vapor deposition

Номер патента: WO2013095733A3. Автор: Karen K. Gleason,Dhiman Bhattacharyya. Владелец: Massachusetts Institute of Technology. Дата публикации: 2013-08-22.

Selenophene-based low band gap active layers by chemical vapor deposition

Номер патента: WO2013095733A2. Автор: Karen K. Gleason,Dhiman Bhattacharyya. Владелец: Massachusetts Institute of Technology. Дата публикации: 2013-06-27.

Halogen-assisted chemical vapor deposition of diamond

Номер патента: US5071677A. Автор: Donald E. Patterson,Robert H. Hauge,John L. Margrave,C. Judith Chu. Владелец: Houston Advanced Research Center HARC. Дата публикации: 1991-12-10.

Halogen-assisted chemical vapor deposition of diamond

Номер патента: WO1992019791A1. Автор: Donald E. Patterson,Robert H. Hauge,Judith C. Chu,John L. Margrave. Владелец: Houston Advanced Research Center. Дата публикации: 1992-11-12.

Multi-showerhead chemical vapor deposition reactor, process and products

Номер патента: EP3931368A1. Автор: Rajaram Bhat. Владелец: Corning Inc. Дата публикации: 2022-01-05.

Cooled mirror construction by chemical vapor deposition

Номер патента: US4378626A. Автор: Frederick G. Eitel. Владелец: United Technologies Corp. Дата публикации: 1983-04-05.

Chemical vapor deposition of aluminum films using dimethylethylamine alane

Номер патента: US5191099A. Автор: Everett C. Phillips,Wayne L. Gladfelter. Владелец: University of Minnesota. Дата публикации: 1993-03-02.

Chemical vapor deposition

Номер патента: CA1087040A. Автор: Jeffrey N. Crosby,Robert S. Hanley. Владелец: Vale Canada Ltd. Дата публикации: 1980-10-07.

Chemical vapor deposition

Номер патента: US4250210A. Автор: Jeffrey N. Crosby,Robert S. Hanley. Владелец: International Nickel Co Inc. Дата публикации: 1981-02-10.

Chemical vapor deposition of perovskite thin films

Номер патента: US20190074439A1. Автор: Xiao Chen,Parag Banerjee,Peifu Cheng,Yoon Myung. Владелец: Washington University in St Louis WUSTL. Дата публикации: 2019-03-07.

Cleaning apparatus of a high density plasma chemical vapor deposition chamber and cleaning thereof

Номер патента: US20050211279A1. Автор: Sung Hwang,Kyoung Chin. Владелец: Individual. Дата публикации: 2005-09-29.

Photo-induced hydrophilic article and method of making same

Номер патента: US20070218265A1. Автор: Caroline Harris,Janos Szanyi. Владелец: Individual. Дата публикации: 2007-09-20.

Photo-induced hydrophilic article and method of making same

Номер патента: WO2002085809A8. Автор: Janos Szanyi,Caroline S Harris. Владелец: Ppg Ind Ohio Inc. Дата публикации: 2003-04-10.

Metal packaging liquid or aerosol jet coating compositions, coated substrates, packaging, and methods

Номер патента: US20240287316A1. Автор: Charles I. Skillman,Boxin Tang. Владелец: SWIMC LLC. Дата публикации: 2024-08-29.

Physical Vapor Deposition Chamber Particle Reduction Apparatus And Methods

Номер патента: US20190057849A1. Автор: Sanjay Bhat,Majeed A. Foad,Vibhu Jindal. Владелец: Applied Materials Inc. Дата публикации: 2019-02-21.

Vapor deposition material for organic device and method for manufacturing organic device

Номер патента: WO2011013626A1. Автор: 誠之 林. Владелец: 富士フイルム株式会社. Дата публикации: 2011-02-03.

Vapor deposition material for organic device and method for manufacturing organic device

Номер патента: KR20120030163A. Автор: 마사유키 하야시. Владелец: 후지필름 가부시키가이샤. Дата публикации: 2012-03-27.

Physical vapor deposition chamber particle reduction apparatus and methods

Номер патента: US10763091B2. Автор: Sanjay Bhat,Majeed A. Foad,Vibhu Jindal. Владелец: Applied Materials Inc. Дата публикации: 2020-09-01.

Embedded wire chemical vapor deposition (ewcvd)

Номер патента: EP4363630A1. Автор: Joseph Pegna,Kirk L. Williams,Shay L. Harrison. Владелец: Free Form Fibers LLC. Дата публикации: 2024-05-08.

Titanium containing dielectric films and methods of forming same

Номер патента: AU7083400A. Автор: Cem Basceri,Dan Gealy. Владелец: Micron Technology Inc. Дата публикации: 2001-03-26.

Titanium containing dielectric films and methods of forming same

Номер патента: EP1212476A1. Автор: Cem Basceri,Dan Gealy. Владелец: Micron Technology Inc. Дата публикации: 2002-06-12.

Structures and methods for use in photolithography

Номер патента: US12055863B2. Автор: David Kurt De Roest,Daniele Piumi. Владелец: ASM IP Holding BV. Дата публикации: 2024-08-06.

Method for chemical vapor deposition in high aspect ratio spaces

Номер патента: WO2007001296A2. Автор: Ya-Hong Xie. Владелец: The Regents of the University of California. Дата публикации: 2007-01-04.

Method for chemical vapor deposition in high aspect ratio spaces

Номер патента: EP1897131A2. Автор: Ya-Hong Xie. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2008-03-12.

Chemical vapor deposition of chalcogenide materials

Номер патента: CA2595761A1. Автор: Stanford R. Ovshinsky,Smuruthi Kamepalli. Владелец: Individual. Дата публикации: 2006-08-10.

Method of sputtering a carbon protective film on a magnetic disk with high sp3 carbon.

Номер патента: MY124998A. Автор: PENG Gang,YAMASHITA Tsutomu,Chen Tu,Hong Liu Wen. Владелец: Komag Incorporated. Дата публикации: 2006-07-31.

Low thermal budget chemical vapor deposition processing

Номер патента: US20080119059A1. Автор: Yuji Maeda,R. Suryanarayanan Iyer,Jacob W. Smith. Владелец: Individual. Дата публикации: 2008-05-22.

Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone

Номер патента: EP1204987A4. Автор: ZHENG Yuan,Sanjeev Jain. Владелец: ASML US Inc. Дата публикации: 2002-10-30.

Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone

Номер патента: EP1204987A1. Автор: ZHENG Yuan,Sanjeev Jain. Владелец: ASML US Inc. Дата публикации: 2002-05-15.

Method of making composite articles from silicon carbide

Номер патента: US20200040449A1. Автор: William F Fischer, III,Walter Wrigglesworth, III,Lauren Montgomery. Владелец: Individual. Дата публикации: 2020-02-06.

Method of forming ferroelectric film

Номер патента: US20040259275A1. Автор: Takeshi Kijima,Eiji Natori,Yasuaki Hamada. Владелец: Seiko Epson Corp. Дата публикации: 2004-12-23.

Metalorganic chemical vapor deposition system and method

Номер патента: US20200115800A1. Автор: Kazuhiro Ohkawa. Владелец: King Abdullah University of Science and Technology KAUST. Дата публикации: 2020-04-16.

Method of making composite substrate from sic

Номер патента: RU2728484C2. Автор: Содзи АКИЯМА,Йосихиро КУБОТА,Хироюки НАГАСАВА. Владелец: Кусик Инк.. Дата публикации: 2020-07-29.

Methods of preparation of omniphobic surfaces

Номер патента: US20220145037A1. Автор: Siamak Nejati,Mona Bavarian,Mahdi Mohammadi Ghaleni. Владелец: NuTech Ventures Inc. Дата публикации: 2022-05-12.

Method and apparatus of forming a sputtered doped seed layer

Номер патента: US20020182887A1. Автор: Vikram Pavate,Murali Narasimhan. Владелец: Applied Materials Inc. Дата публикации: 2002-12-05.

Method of forming memory device with physical vapor deposition system

Номер патента: US12035538B2. Автор: Chin-Szu Lee,Yu-Jen Chien,I-Pin CHIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Oxide/organic polymer multilayer thin films deposited by chemical vapor deposition

Номер патента: WO1999057330A9. Автор: Seshu B Desu,John J Senkevich. Владелец: Seshu B Desu. Дата публикации: 2000-02-10.

Corrosion-resistant coated article and thermal chemical vapor deposition coating process

Номер патента: US12036765B2. Автор: Min YUAN. Владелец: Silcotek Corp. Дата публикации: 2024-07-16.

Method of manufacturing a semiconductor device with a contact hole

Номер патента: US20020182854A1. Автор: Junichi Miyano,Kiyohiko Toshikawa,Yoshikazu Motoyama. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2002-12-05.

A chemical vapor deposition chamber article

Номер патента: WO2020242292A8. Автор: Marcus Gerardus Van Munster,Guiming SONG. Владелец: Schunk Xycarb Technology B.V.. Дата публикации: 2021-01-28.

Selective deposition process

Номер патента: WO1987003741A1. Автор: George Joseph Collins,Werner Adam Metz, Jr.. Владелец: NCR Corporation. Дата публикации: 1987-06-18.

Methods of fabricating interconnects for semiconductor components

Номер патента: US7410898B2. Автор: Shuang Meng,Garo J. Derderian,Kyle K. Kirby. Владелец: Micron Technology Inc. Дата публикации: 2008-08-12.

Methods of fabricating interconnects for semiconductor components

Номер патента: US20050186777A1. Автор: Kyle Kirby,Shuang Meng,Garo Derderian. Владелец: Individual. Дата публикации: 2005-08-25.

Methods of fabricating interconnects for semiconductor components

Номер патента: SG131950A1. Автор: Shuang Meng,Kyle K Kirby,Garo J Derderian. Владелец: Micron Technology Inc. Дата публикации: 2007-05-28.

Tungsten nitride atomic layer deposition processes

Номер патента: US7745329B2. Автор: Ming Li,Lee Luo,Shulin Wang,Aihua Chen,Ulrich Kroemer. Владелец: Applied Materials Inc. Дата публикации: 2010-06-29.

Method and system for inline chemical vapor deposition

Номер патента: WO2012170166A3. Автор: Piero Sferlazzo,Thomas Michael Lampros. Владелец: AVENTA TECHNOLOGIES LLC. Дата публикации: 2013-04-25.

Chemical vapor deposition of chalcogenide materials via alternating layers

Номер патента: US20090022883A1. Автор: Stanford R. Ovshinsky,Smuruthi Kamepalli. Владелец: Ovonyx Inc. Дата публикации: 2009-01-22.

Method of manufacturing a piezoelectric thin film

Номер патента: US12063023B2. Автор: Sang Jeong An. Владелец: Wavelord Co Ltd. Дата публикации: 2024-08-13.

Substrate and method for the formation of continuous magnesium diboride and doped magnesium diboride wires

Номер патента: WO2004048292A1. Автор: Raymond J. Suplinskas. Владелец: Suplinskas Raymond J. Дата публикации: 2004-06-10.

Method of fabricating a MOS device

Номер патента: US20020168855A1. Автор: John Smythe,John Berg. Владелец: Individual. Дата публикации: 2002-11-14.

System and method for polycrystalline silicon deposition

Номер патента: EP2547624A2. Автор: Wenjun Qin. Владелец: GTAT Corp. Дата публикации: 2013-01-23.

Synthesis of advanced scintillators via vapor deposition techniques

Номер патента: US20130341513A1. Автор: Vinod K. Sarin,Stephen Gibson Topping. Владелец: Boston University. Дата публикации: 2013-12-26.

DRAM circuitry, method of forming a field emission device, and field emission device

Номер патента: US20020068448A1. Автор: Richard Lane,Brenda Kraus. Владелец: Individual. Дата публикации: 2002-06-06.

Synthesis of advanced scintillators via vapor deposition techniques

Номер патента: US20100200757A1. Автор: Vinod K. Sarin,Stephen Gibson Topping. Владелец: Individual. Дата публикации: 2010-08-12.

Methods of forming layers over substrates; and methods of forming trenched isolation regions

Номер патента: US20040266197A1. Автор: Demetrius Sarigiannis,Cem Basceri,Garo Derderian. Владелец: Individual. Дата публикации: 2004-12-30.

Chemical Vapor Deposition Diamond (CVDD) Wires for Thermal Transport

Номер патента: US20210143080A1. Автор: Philip Andrew Swire,Nina Biddle. Владелец: Microsemi Semiconductor Ltd. Дата публикации: 2021-05-13.

Chemical vapor deposition apparatus

Номер патента: WO2023160793A1. Автор: Hristo Strakov,Vasileios PAPAGEORGIOU,Manfred Pfitzner,Anja BÄUMCHEN. Владелец: Ihi Bernex Ag. Дата публикации: 2023-08-31.

High pressure spatial chemical vapor deposition system and related process

Номер патента: US20240209502A1. Автор: Siddha Pimputkar. Владелец: Lehigh University. Дата публикации: 2024-06-27.

Atmospheric pressure plasma enhanced chemical vapor deposition process

Номер патента: US20100323127A1. Автор: John Matthew Warakomski,Christina Ann Rhoton. Владелец: Individual. Дата публикации: 2010-12-23.

Structures and methods for use in photolithography

Номер патента: US20220019149A1. Автор: David Kurt De Roest,Daniele Piumi. Владелец: ASM IP Holding BV. Дата публикации: 2022-01-20.

Structures and methods for use in photolithography

Номер патента: US20230259043A1. Автор: David Kurt De Roest,Daniele Piumi. Владелец: ASM IP Holding BV. Дата публикации: 2023-08-17.

Method for chemical vapor deposition in high aspect ratio spaces

Номер патента: US20060290013A1. Автор: Ya-Hong Xie. Владелец: Individual. Дата публикации: 2006-12-28.

Method of forming an electrical contact to a silicon substrate

Номер патента: US6054191A. Автор: Sujit Sharan,Gurtej S. Sandhu. Владелец: Micron Technology Inc. Дата публикации: 2000-04-25.

Liquid delivery and vaporization apparatus and method

Номер патента: US20200095681A1. Автор: Chui-Ya Peng,Hsin-Lung Yang,Chih-Ta KUAN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-26.

Method of an apparatus for forming thin film for semiconductor device

Номер патента: US5240505A. Автор: Masanobu Iwasaki,Hiromi Itoh. Владелец: Mitsubishi Electric Corp. Дата публикации: 1993-08-31.

Organometallic compounds and their use as precursors for forming films and powders of metal or metal derivatives

Номер патента: EP1299404A1. Автор: Hyungsoo Choi. Владелец: University of Illinois. Дата публикации: 2003-04-09.

Particle reduction in physical vapor deposition of amorphous silicon

Номер патента: WO2024081221A1. Автор: Mingdong Li,Chengyu Liu,Peijiao FANG. Владелец: Applied Materials, Inc.. Дата публикации: 2024-04-18.

Film quality control in a linear scan physical vapor deposition process

Номер патента: US20190311905A1. Автор: Joung Joo Lee,Xianmin Tang,Bencherki Mebarki. Владелец: Applied Materials Inc. Дата публикации: 2019-10-10.

Temperature-controlled purge gate valve for chemical vapor deposition chamber

Номер патента: US20090205563A1. Автор: Chantal Arena,Christiaan Werkhoven. Владелец: Soitec SA. Дата публикации: 2009-08-20.

Method of forming aluminum interconnection layer

Номер патента: US6123992A. Автор: Kazumi Sugai. Владелец: NEC Corp. Дата публикации: 2000-09-26.

Organometallic compounds and their use as precursors for forming films and powders of metal or metal derivatives

Номер патента: US20020015789A1. Автор: Hyungsoo Choi. Владелец: University of Illinois. Дата публикации: 2002-02-07.

Metalorganic chemical vapor deposition system and method

Номер патента: US11661655B2. Автор: Kazuhiro Ohkawa. Владелец: King Abdullah University of Science and Technology KAUST. Дата публикации: 2023-05-30.

Metal organic chemical vapor deposition system and method

Номер патента: EP3652358A1. Автор: Kazuhiro Ohkawa. Владелец: King Abdullah University of Science and Technology KAUST. Дата публикации: 2020-05-20.

Organometallic compounds and their use as precursors for forming films and powders of metal or metal derivatives

Номер патента: AU2001271770A1. Автор: Hyungsoo Choi. Владелец: University of Illinois. Дата публикации: 2002-01-14.

Laser-assisted metal-organic chemical vapor deposition devices and methods of use thereof

Номер патента: US20210355581A1. Автор: Hongping Zhao,Zhaoying Chen. Владелец: Ohio State Innovation Foundation. Дата публикации: 2021-11-18.

Organometallic compounds and their use as precursors for forming films and powders of metal or metal derivatives

Номер патента: US20030073860A1. Автор: Hyungsoo Choi. Владелец: Individual. Дата публикации: 2003-04-17.

Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition

Номер патента: EP1238421A4. Автор: Ofer Sneh,Carl Galewski. Владелец: Genus Inc. Дата публикации: 2006-06-21.

Semiconductor device and method for manufacturing the same

Номер патента: US20110287621A1. Автор: Kosei Noda. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2011-11-24.

Method for tungsten chemical vapor deposition on a semiconductor substrate

Номер патента: EP1219725A1. Автор: Joris Baele,Hans Vercammen. Владелец: Alcatel SA. Дата публикации: 2002-07-03.

Photo-chemical vapor deposition of silicon nitride film

Номер патента: US4588610A. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 1986-05-13.

Migration and plasma enhanced chemical vapor deposition

Номер патента: CA2756994C. Автор: Kenneth Scott Alexander Butcher. Владелец: Individual. Дата публикации: 2017-03-07.

Method of making a nanostructure

Номер патента: EP2566681A1. Автор: Moses M. David,Andrew K. Hartzell,Ta-Hua Yu. Владелец: 3M Innovative Properties Co. Дата публикации: 2013-03-13.

Method of manufacturing water-repelling film

Номер патента: US20050089647A1. Автор: Junichi Miyano,Kiyohiko Toshikawa,Yoshikazu Motoyama. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2005-04-28.

Method of fabricating a semiconductor device

Номер патента: US20090042383A1. Автор: Ki-Hyun Hwang,Jin Gyun Kim,Bon-young Koo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-02-12.

Plasma-enhanced chemical vapor deposition methods for graphene deposition

Номер патента: US20170253962A1. Автор: Zhihong Chen,Sunny Chugh,Ruchit Mehta. Владелец: PURDUE RESEARCH FOUNDATION. Дата публикации: 2017-09-07.

Method of controlling contamination of vapor deposition apparatus and method of producing epitaxial wafer

Номер патента: US20200392618A1. Автор: Shota Kinose. Владелец: Sumco Corp. Дата публикации: 2020-12-17.

Unusually stable glasses and methods for forming same

Номер патента: US20080213365A1. Автор: TIAN Wu,Lian YU,Mark D. Ediger,Stephen Swallen,Ken Kearns. Владелец: Individual. Дата публикации: 2008-09-04.

Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes

Номер патента: US5230929A. Автор: Gerardo Caporiccio,Riccardo D'agostino,Pietro Favia. Владелец: Dow Corning Corp. Дата публикации: 1993-07-27.

Chemical vapor deposition of low density silicon dioxide films

Номер патента: US6054206A. Автор: Thomas Weller Mountsier. Владелец: Novellus Systems Inc. Дата публикации: 2000-04-25.

Physical vapor deposition system and methods of operating the same

Номер патента: US20240271271A1. Автор: Yen-Yu Chen,Chia-Hsi Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-15.

Conformal and smooth titanium nitride layers and methods of forming the same

Номер патента: EP4259845A1. Автор: Sung-hoon Jung,Hae Young Kim,Bunsen B. Nie,Hyunchol Cho,Ajit Dhamdhere. Владелец: Eugenus Inc. Дата публикации: 2023-10-18.

Method of forming a dielectric film

Номер патента: US5763021A. Автор: Andrew W. Young,Don D. Smith. Владелец: Cypress Semiconductor Corp. Дата публикации: 1998-06-09.

Method for etching and controlled chemical vapor deposition

Номер патента: US4468283A. Автор: Irfan Ahmed. Владелец: Individual. Дата публикации: 1984-08-28.

Catalytic carbon--carbon deposition process

Номер патента: US5690997A. Автор: Dana T. Grow. Владелец: Sioux Manufacturing Corp. Дата публикации: 1997-11-25.

Apparatus and method for depositing coating onto porous substrate

Номер патента: US4609562A. Автор: Arnold O. Isenberg,Gregory E. Zymboly. Владелец: Westinghouse Electric Corp. Дата публикации: 1986-09-02.

Wafer support, chemical vapor phase growth device, epitaxial wafer and manufacturing method thereof

Номер патента: US10208398B2. Автор: Daisuke Muto,Jun NORIMATSU. Владелец: Showa Denko KK. Дата публикации: 2019-02-19.

Inverted positive vertical flow chemical vapor deposition chamber

Номер патента: CA1209330A. Автор: James D. Parsons. Владелец: Hughes Aircraft Co. Дата публикации: 1986-08-12.

Equipment for chemical vapor deposition

Номер патента: KR20070002277A. Автор: 나민재. Владелец: 삼성전자주식회사. Дата публикации: 2007-01-05.

High pressure spatial chemical vapor deposition system and related process

Номер патента: US11885018B2. Автор: Siddha Pimputkar. Владелец: Lehigh University. Дата публикации: 2024-01-30.

Methods of fabricating interconnects for semiconductor components

Номер патента: SG131951A1. Автор: Shuang Meng,Kyle K Kirby,Garo J Derderian. Владелец: Micron Technology Inc. Дата публикации: 2007-05-28.

Methods of fabricating interconnects for semiconductor components

Номер патента: US20050186790A1. Автор: Kyle Kirby,Shuang Meng,Garo Derderian. Владелец: Individual. Дата публикации: 2005-08-25.

Methods of fabricating interconnects for semiconductor components

Номер патента: US20050186770A1. Автор: Kyle Kirby,Shuang Meng,Garo Derderian. Владелец: Individual. Дата публикации: 2005-08-25.

Methods of fabricating interconnects for semiconductor components

Номер патента: US20070141835A1. Автор: Kyle Kirby,Shuang Meng,Garo Derderian. Владелец: Individual. Дата публикации: 2007-06-21.

Methods of fabricating interconnects for semiconductor components

Номер патента: EP1719168A1. Автор: Shuang Meng,Garo J. Derderian,Kyle K. Kirby. Владелец: Micron Technology Inc. Дата публикации: 2006-11-08.

Methods of fabricating interconnects for semiconductor components

Номер патента: WO2005083778A1. Автор: Shuang Meng,Garo J. Derderian,Kyle K. Kirby. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2005-09-09.

System and method to control pvd deposition uniformity

Номер патента: WO2020214908A1. Автор: Wen Xiao,Sanjay Bhat,Vibhu Jindal. Владелец: Applied Materials, Inc.. Дата публикации: 2020-10-22.

Method of depositing textured tin oxide

Номер патента: CA1333461C. Автор: Anthony W. Catalano,Charles M. Fortmann,James G. O'dowd,Ora Jean Lee. Владелец: Solarex Corp. Дата публикации: 1994-12-13.

Plasma enhanced chemical vapor deposition of graphene on optical fibers

Номер патента: US20230212743A1. Автор: Nai-Chang Yeh,Deepan Kishore Kumar. Владелец: California Institute of Technology CalTech. Дата публикации: 2023-07-06.

Superhard dielectric compounds and methods of preparation

Номер патента: WO2003058644A2. Автор: John Kouvetakis,John Tolle,I. S. T. Tsong,Levi Torrison. Владелец: Arizona Board of Regents. Дата публикации: 2003-07-17.

Superhard dielectric compounds and methods of preparation

Номер патента: US20040191151A1. Автор: John Kouvetakis,John Tolle,Levi Torrison,I S T Tsong. Владелец: Individual. Дата публикации: 2004-09-30.

Metalorganic chemical vapor deposition of zinc oxide

Номер патента: WO2009131842A1. Автор: Bunmi T. Adekore,Jonathan Pierce. Владелец: Lumenz, Inc.. Дата публикации: 2009-10-29.

Metalorganic chemical vapor deposition of zinc oxide

Номер патента: EP2279284A1. Автор: Bunmi T. Adekore,Jonathan Pierce. Владелец: LUMENZ Inc. Дата публикации: 2011-02-02.

Chemical vapor deposition epitaxial growth

Номер патента: EP1620294A2. Автор: Olof Claes Erik Kordina. Владелец: CARACAL Inc. Дата публикации: 2006-02-01.

Chemical vapor deposition epitaxial growth

Номер патента: WO2004102619A2. Автор: Olof Claes Erik Kordina. Владелец: CARACAL, INC.. Дата публикации: 2004-11-25.

Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition

Номер патента: US20030111009A1. Автор: Vadim Boguslavskiy,Alexander Gurary. Владелец: Emcore Corp. Дата публикации: 2003-06-19.

Chemical vapor deposition processes using ruthenium precursor and reducing gas

Номер патента: WO2020096976A1. Автор: Thomas H. Baum,Bryan C. Hendrix,Philip S.H. Chen. Владелец: ENTEGRIS, INC.. Дата публикации: 2020-05-14.

Chemical vapor deposition processes using ruthenium precursor and reducing gas

Номер патента: US11987878B2. Автор: Thomas H. Baum,Bryan C. Hendrix,Philip S. H. Chen. Владелец: Entegris Inc. Дата публикации: 2024-05-21.

Thin film batteries and methods for manufacturing same

Номер патента: EP2291876A2. Автор: Michael Stowell,Nety Krishna,Byung Sung Kwak. Владелец: Applied Materials Inc. Дата публикации: 2011-03-09.

Method of making primary current detector using plasma enhanced chemical vapor deposition

Номер патента: US4851367A. Автор: David J. Wolf. Владелец: Eastman Kodak Co. Дата публикации: 1989-07-25.

Metal structures, devices, and methods

Номер патента: US11769729B2. Автор: Jason Farmer,Daniel J. Zierath,Michael Mcswiney,Akm Shaestagir CHOWDHURY. Владелец: Intel Corp. Дата публикации: 2023-09-26.

Low κ dielectric inorganic/organic hybrid films and method of making

Номер патента: US7153580B2. Автор: John Felts,Peter Rose,Eugene Lopata. Владелец: Aviza Technology Inc. Дата публикации: 2006-12-26.

Method of forming bonded body and bonded body

Номер патента: US20090186215A1. Автор: Mitsuru Sato,Takatoshi Yamamoto. Владелец: Seiko Epson Corp. Дата публикации: 2009-07-23.

Chemical vapor deposition of titanium

Номер патента: US6903462B2. Автор: Gurtej Singh Sandhu,Donald L. Westmoreland. Владелец: Micron Technology Inc. Дата публикации: 2005-06-07.

Chemical vapor deposition of titanium

Номер патента: US20090039517A1. Автор: Gurtej Singh Sandhu,Donald L. Westmoreland. Владелец: Micron Technology Inc. Дата публикации: 2009-02-12.

Pyrolytic chemical vapor deposition of silicone films

Номер патента: US6045877A. Автор: Karen K. Gleason,Michael C. Kwan. Владелец: Massachusetts Institute of Technology. Дата публикации: 2000-04-04.

Method of manufacturing large capacity preforms by MCVD

Номер патента: US6988379B2. Автор: Marc Nicolardot,Jean-Florent Campion,Gerard Orcel. Владелец: Alcatel SA. Дата публикации: 2006-01-24.

SINGLE-STEP SYNTHESIS OF NANOSTRUCTURED THIN FILMS BY A CHEMICAL VAPOR AND AEROSOL DEPOSITION PROCESS

Номер патента: US20160056448A1. Автор: Biswas Pratim,Chadha Tandeep Singh,An Woo-Jin. Владелец: . Дата публикации: 2016-02-25.

A apparatus for preventing bubble of electro deposition process for body and method for preventing bubble

Номер патента: KR101330053B1. Автор: 김상구. Владелец: 주식회사 디피코. Дата публикации: 2013-11-18.

Decoration glass and manufacturing method of the same

Номер патента: WO2009028785A1. Автор: Ki Su Yoo. Владелец: Samsung Gwangju Electronics Co., Ltd.. Дата публикации: 2009-03-05.

Composition for polishing and use its Ginding process and the manufacture method of substrate

Номер патента: CN103975037B. Автор: 玉井一诚,坪田翔吾,芦高圭史. Владелец: Fujimi Inc. Дата публикации: 2015-11-25.

Lithium deuteride production process and purity detection method of lithium deuteride

Номер патента: CN106698345A. Автор: 陈昱,赵海燕,车瀛照,车荣睿. Владелец: Individual. Дата публикации: 2017-05-24.

Composition for polishing, employ its Ginding process and the manufacture method of substrate

Номер патента: CN103890114B. Автор: 高桥修平,森嘉男,高见信一郎,土屋公亮. Владелец: Fujimi Inc. Дата публикации: 2015-08-26.

Method of forming paracyclophane containing functional group with disulfide bond

Номер патента: US10336692B2. Автор: Chih-Yu Wu,Hsien-Yeh Chen,Zhen-Yu Guan. Владелец: May-Hwa Enterprise Corp. Дата публикации: 2019-07-02.

Enhanced particle deposition system and method

Номер патента: US20240270624A1. Автор: David McDonald Stirling. Владелец: ASI/Silica Machinery LLC. Дата публикации: 2024-08-15.

Silicon-based explosive devices and methods of manufacture

Номер патента: US20120174808A1. Автор: Ronald G. Polcawich,Luke J. Currano,Wayne Churaman,Mark Gelak. Владелец: US Department of Army. Дата публикации: 2012-07-12.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: AU2003232015A1. Автор: Ram W. Sabnis. Владелец: Brewer Science Inc. Дата публикации: 2003-11-17.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: EP1502292B1. Автор: Ram W. Sabnis. Владелец: Brewer Science Inc. Дата публикации: 2010-08-25.

Producing coated textiles using photo-initiated chemical vapor deposition

Номер патента: EP4329948A1. Автор: Adrian J. BEACH,Sayantani NANDY,Trisha Lionel Andrew. Владелец: Soliyarn LLC. Дата публикации: 2024-03-06.

Electrode membrane assembly and method for manufacturing the same

Номер патента: US5750013A. Автор: Andrew S. Lin. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 1998-05-12.

Enhanced particle deposition system and method

Номер патента: US11993532B2. Автор: David McDonald Stirling. Владелец: ASI/Silica Machinery LLC. Дата публикации: 2024-05-28.

Method of manufacturing silicon carbide structure

Номер патента: US8865519B2. Автор: Joung Il Kim,Jae Seok Lim,Mi-Ra Yoon. Владелец: Tokai Carbon Korea Co Ltd. Дата публикации: 2014-10-21.

Method of forming paracyclophane containing functional ground with disulfide bond

Номер патента: US20180265460A1. Автор: Chih-Yu Wu,Hsien-Yeh Chen,Zhen-Yu Guan. Владелец: May-Hwa Enterprise Corp. Дата публикации: 2018-09-20.

Sic composite and method of production thereof

Номер патента: US20010033936A1. Автор: Yasuhiro Akune,Kichiya Tanino. Владелец: Nippon Pillar Packing Co Ltd. Дата публикации: 2001-10-25.

Chemical vapor deposition functionalization

Номер патента: US20160059260A1. Автор: David A. Smith,Paul H. Silvis. Владелец: Silcotek Corp. Дата публикации: 2016-03-03.

Particle deposition system and method

Номер патента: US20050109066A1. Автор: Bedros Orchanian,Franklin Dabby. Владелец: ASI/Silica Machinery LLC. Дата публикации: 2005-05-26.

Chemical vapor deposition of dense and transparent zirconia films

Номер патента: US5145720A. Автор: Toshio Hirai,Hisanori Yamane. Владелец: SUMITOMO METAL MINING CO LTD. Дата публикации: 1992-09-08.

Multiple metal coated superabrasive grit and methods for their manufacture

Номер патента: IE72188B1. Автор: Chien-Min Sung,Sy-Hwa Chen. Владелец: Norton Co. Дата публикации: 1997-03-26.

Glass sheets forming device and method

Номер патента: RU2719872C2. Автор: Джеймс П. Мл. ШНАБЕЛЬ,Дин М. НИТШКЕ,Дэвид Б. НИТШКЕ. Владелец: Гласстек, Инк.. Дата публикации: 2020-04-23.

Silicon carbide carrier for wafer processing and method for making same

Номер патента: US5776391A. Автор: Thomas Sibley. Владелец: Individual. Дата публикации: 1998-07-07.

Chemical vapor deposition method for the gaas thin film

Номер патента: CA1305910C. Автор: Seiji Kojima,Hiroshi Kikuchi,Masakiyo Ikeda,Yuzo Kashiwayanagi. Владелец: Furukawa Electric Co Ltd. Дата публикации: 1992-08-04.

Apparatus for growing epitaxial layers on wafers by chemical vapor deposition

Номер патента: US6547876B2. Автор: Michael Spencer,Ian Ferguson,Alexander Gurary. Владелец: Emcore Corp. Дата публикации: 2003-04-15.

Combination gas curtains for continuous chemical vapor deposition production of silicon bodies

Номер патента: CA1178179A. Автор: Henry W. Gutsche. Владелец: Monsanto Co. Дата публикации: 1984-11-20.

Method of forming a tin oxide coating

Номер патента: WO2023214161A1. Автор: David Alan Strickler,Vikash RANJAN. Владелец: Pilkington Group Limited. Дата публикации: 2023-11-09.

Method of using carbon nanotubes fuel production

Номер патента: US20140330059A1. Автор: Kanchan Mondal,Saikat Talapatra. Владелец: Southern Illinois University System. Дата публикации: 2014-11-06.

Vapor deposition apparatus and techniques using high purity polymer derived silicon carbide

Номер патента: EP4407079A2. Автор: Mark S. Land. Владелец: Pallidus Inc. Дата публикации: 2024-07-31.

Method of Growing Personalized Single Crystal Diamond

Номер патента: US20240240354A1. Автор: William Holber,Robert J. BASNETT. Владелец: PLASMABILITY LLC. Дата публикации: 2024-07-18.

Multiple metal coated superabrasive grit and methods for their manufacture

Номер патента: CA2002385A1. Автор: Chien-Min Sung,Sy-Hwa Chen. Владелец: Sy-Hwa Chen. Дата публикации: 1990-05-07.

Localized atmospheric laser chemical vapor deposition

Номер патента: EP2842156A1. Автор: Selim Elhadj,Manyalibo Joseph Matthews. Владелец: Lawrence Livermore National Security LLC. Дата публикации: 2015-03-04.

Producing coated textiles using photo-initiated chemical vapor deposition

Номер патента: WO2022232583A8. Автор: Adrian J. BEACH,Sayantani NANDY,Trisha Lionel Andrew. Владелец: Soliyarn, Llc. Дата публикации: 2023-12-21.

Chemical vapor deposition reactor in polysilicon production process

Номер патента: US20240084480A1. Автор: David Keck,Chad Fero. Владелец: Advanced Material Solutions. Дата публикации: 2024-03-14.

Producing coated textiles using photo-initiated chemical vapor deposition

Номер патента: US20240209567A1. Автор: Adrian J. BEACH,Sayantani NANDY,Trisha Lionel Andrew. Владелец: Soliyarn Inc. Дата публикации: 2024-06-27.

Ceramic laminated article, a method of producing the same and a ceramic laminate

Номер патента: US20030072930A1. Автор: Hiromichi Kobayashi,Toshio Oda,Hirotake Yamada. Владелец: NGK Insulators Ltd. Дата публикации: 2003-04-17.

METHODS OF FORMING SiAlON IN CARBON/CARBON COMPOSITES

Номер патента: US20230242454A1. Автор: Jean-Francois Lecostaouec. Владелец: Goodrich Corp. Дата публикации: 2023-08-03.

Chemical vapor deposition graphene foam electrodes for pseudo-capacitors

Номер патента: US9263196B2. Автор: Thomas A. Yager. Владелец: EMPIRE TECHNOLOGY DEVELOPMENT LLC. Дата публикации: 2016-02-16.

Method for making compound semiconductor and method for making semiconductor device

Номер патента: US20050205873A1. Автор: Yasuo Sato,Hironobu Narui,Tomonori Hino. Владелец: Sony Corp. Дата публикации: 2005-09-22.

Method of producing a synthetic diamond

Номер патента: US12037703B2. Автор: Dale Vince. Владелец: Ecotricity Group Ltd. Дата публикации: 2024-07-16.

Method of manufacturing carbon nanotube composite and laminate

Номер патента: US20180290431A1. Автор: Gang Xie,Tomoya Tagami. Владелец: Aisin Seiki Co Ltd. Дата публикации: 2018-10-11.

High throughput chemical vapor deposition electrode

Номер патента: US20160329456A1. Автор: Moon Chun. Владелец: Individual. Дата публикации: 2016-11-10.

High throughput chemical vapor deposition electrode

Номер патента: WO2016182824A1. Автор: Moon Chun. Владелец: SunPower Corporation. Дата публикации: 2016-11-17.

Vapor deposition method for the gaas thin film

Номер патента: CA1274429A. Автор: Seiji Kojima,Hiroshi Kikuchi,Masakiyo Ikeda,Yuzo Kashiwayanagi. Владелец: Furukawa Electric Co Ltd. Дата публикации: 1990-09-25.

Process for preparing contact lens with film by plasma enhanced chemical vapor deposition

Номер патента: US20190310494A1. Автор: Wen-Pin Lin,Meng-Jiy WANG. Владелец: Brighten Optix Corp. Дата публикации: 2019-10-10.

Chemical vapor deposition method for fabricating two-dimensional materials

Номер патента: EP3443138A1. Автор: Nigel Pickett,Ombretta Masala,Nicky Prabhudas SAVJANI. Владелец: Nanoco Technologies Ltd. Дата публикации: 2019-02-20.

Manufacturing method of substrate graphene growth and substrate graphene growth

Номер патента: KR20160096846A. Автор: 이윤택. Владелец: 이윤택. Дата публикации: 2016-08-17.

Manufacturing method of substrate graphene growth and substrate graphene growth

Номер патента: KR20160096424A. Автор: 이윤택. Владелец: 이윤택. Дата публикации: 2016-08-16.

Manufacturing method of substrate graphene growth and substrate graphene growth and manufacturing device

Номер патента: KR20160051243A. Автор: 이윤택. Владелец: 이윤택. Дата публикации: 2016-05-11.

Internal coating of a glass tube by plasma pulse-induced chemical vapor deposition

Номер патента: US5059231A. Автор: Volker Paquet,Ulrich Ackermann,Hartmut Bauch. Владелец: Schott Glaswerke AG. Дата публикации: 1991-10-22.

Manufacturing method of substrate graphene growth and substrate graphene growth and manufacturing device

Номер патента: KR20160002264U. Автор: 이윤택. Владелец: 이윤택. Дата публикации: 2016-06-29.

Vapor phase epitaxial growth method by organometallic chemical vapor deposition

Номер патента: CA1242623A. Автор: Yoshinobu Matsuda,Akio Sasaki,Shigeo Fujita. Владелец: Sumitomo Chemical Co Ltd. Дата публикации: 1988-10-04.

Method of producing an optical fiber

Номер патента: CA1107576A. Автор: Koji Okamura,Takeshi Akamatsu. Владелец: Fujitsu Ltd. Дата публикации: 1981-08-25.

Multi-deposition process for high quality gallium nitride device manufacturing

Номер патента: US20200258741A1. Автор: Cem Basceri,Vladimir Odnoblyudov. Владелец: Qromis Inc. Дата публикации: 2020-08-13.

Method of manufacturing optical fibers

Номер патента: US4372767A. Автор: Mokhtar S. Maklad. Владелец: Eotec Corp. Дата публикации: 1983-02-08.

Method of fabricating an optical fiber preform using mcvd and nonlinear optical fiber fabricated using the method

Номер патента: CA2409187A1. Автор: Won-Taek Han,Jung-Sik Cho. Владелец: Individual. Дата публикации: 2002-09-26.

Chemical vapor condensation deposition of photoresist films

Номер патента: WO2022177704A1. Автор: Kelvin Chan,Lakmal Charidu KALUTARAGE,Mark Joseph Saly. Владелец: Applied Materials, Inc.. Дата публикации: 2022-08-25.

Plasma enhanced chemical vapor deposition system for forming carbon nanotubes

Номер патента: US20060008594A1. Автор: Sung Kang,Woo Bae. Владелец: JAPAN ASIA INVESTMENT Co Ltd. Дата публикации: 2006-01-12.

Plasma enhanced chemical vapor deposition system for forming carbon nanotubes

Номер патента: WO2006017340A2. Автор: Sung Gu Kang,Woo Kyung Bae. Владелец: Cdream Corporation. Дата публикации: 2006-02-16.

Reduced manufacturing cycle time of polymer-based aerogel materials and method of forming

Номер патента: US20240218130A1. Автор: Haibo Zhao,Elena Sharnowski. Владелец: FORD GLOBAL TECHNOLOGIES LLC. Дата публикации: 2024-07-04.

An aid to idea processing and/or a method of planning or holding a meeting

Номер патента: WO2004079621A1. Автор: David Lawrence Herman,Lee Whitney Herman. Владелец: Lee Whitney Herman. Дата публикации: 2004-09-16.

Woven fabric having a bulging zone and method and apparatus of forming same

Номер патента: US6000442A. Автор: Alexander Busgen. Владелец: Individual. Дата публикации: 1999-12-14.

Bilayer hdp cvd/pe cvd cap in advanced beol interconnect structure and method thereof

Номер патента: IL162435A. Автор: . Владелец: Ibm. Дата публикации: 2008-11-26.

Preparation method of freeze-banana

Номер патента: WO2008032888A1. Автор: Woon Ho Bak. Владелец: Woon Ho Bak. Дата публикации: 2008-03-20.

Fusers, printing apparatuses and methods, and methods of fusing toner on media

Номер патента: US20100119267A1. Автор: David P. Van Bortel,Brendan H. Williamson,Brian J. McNamee. Владелец: Xerox Corp. Дата публикации: 2010-05-13.

Dispensing capsule and method and apparatus of forming same

Номер патента: US20230365322A1. Автор: Stuart Gordon,Mark Appleford. Владелец: VARDEN PROCESS PTY LTD. Дата публикации: 2023-11-16.

ELECTROPHOTOGRAPHIC PHOTORECEPTOR, AND METHOD AND APPARATUS OF FORMING ELECTROPHOTOGRAPHIC IMAGE

Номер патента: US20160054668A1. Автор: KONISHI Mari,KODAMA Daisuke,YUMITA Masanori. Владелец: . Дата публикации: 2016-02-25.

DISPENSING CAPSULE AND METHOD AND APPARATUS OF FORMING SAME

Номер патента: US20200231370A1. Автор: Gordon Stuart,Appleford Mark. Владелец: VARDEN PROCESS PTY LTD. Дата публикации: 2020-07-23.

method of of forming interconnection lines in a semiconductor memory device

Номер патента: KR100558493B1. Автор: 나영섭. Владелец: 삼성전자주식회사. Дата публикации: 2006-03-07.

Detecting Apparatus and Method for Signs of Form Collapse

Номер патента: KR101284752B1. Автор: 박상준,함상규,진상윤,양병혁. Владелец: (주) 희림종합건축사사무소. Дата публикации: 2013-07-17.

Lens shape measurement device and method, method of producing eyeglass lens, and method of producing eyeglasses

Номер патента: WO2008016066A1. Автор: Masaaki Inoguchi. Владелец: HOYA CORPORATION. Дата публикации: 2008-02-07.

Inspection Apparatus and Methods, Methods of Manufacturing Devices

Номер патента: US20160011523A1. Автор: WARNAAR Patrick,Pellemans Henricus Petrus Maria,SINGH Amandev. Владелец: ASML Netherlands B.V.. Дата публикации: 2016-01-14.

Focus detection apparatus and method, method of controlling focus detection apparatus, and image capturing apparatus

Номер патента: US20140362279A1. Автор: Kengo Takeuchi. Владелец: Canon Inc. Дата публикации: 2014-12-11.

Programmable switch circuit and method, method of manufacture, and devices and systems including the same

Номер патента: TW201006131A. Автор: Madhukar B Vora. Владелец: DSM Solutions Inc. Дата публикации: 2010-02-01.

Corrugated steel pipe pipe using connection processing and that a method of coupling

Номер патента: KR100787212B1. Автор: 김충환. Владелец: 대왕철강 (주). Дата публикации: 2007-12-21.

Optically clear, durable infrared windows, and method of making the same

Номер патента: WO2000022206A1. Автор: Stephen A. Gabelich,William W. Chen,Norman H. Harris. Владелец: Raytheon Company. Дата публикации: 2000-04-20.

Ono dielectric for memory cells and method for fabricating the same

Номер патента: US20040207000A1. Автор: Jung-Yu Hsieh. Владелец: Macronix International Co Ltd. Дата публикации: 2004-10-21.

Magnetic recording medium and method of fabricating the same

Номер патента: US20050048322A1. Автор: Hitoshi Wako. Владелец: Sony Corp. Дата публикации: 2005-03-03.

Solid state imaging device and method of fabricating the same

Номер патента: US20050181528A1. Автор: Hiroaki Takao. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 2005-08-18.

Solid state imaging device and method of fabricating the same

Номер патента: US7265432B2. Автор: Hiroaki Takao. Владелец: Fujifilm Corp. Дата публикации: 2007-09-04.

Saw for cutting silicon into seed rods for use in a chemical vapor deposition polysilicon reactor

Номер патента: EP2731770A1. Автор: Rodolfo Bovo,Paolo Molino. Владелец: SunEdison Inc. Дата публикации: 2014-05-21.

Method of fabricating active layers in a laser utilizing InP-based active regions

Номер патента: US20040165631A1. Автор: YING-LAN Chang,Ashish Tandon. Владелец: Individual. Дата публикации: 2004-08-26.

Method of forming an intermetal dielectric layer

Номер патента: US20010001678A1. Автор: Ming-Sheng Yang,Chih-Chien Liu,Cheng-Yuan Tsai. Владелец: Individual. Дата публикации: 2001-05-24.

Method of forming a passivation layer of a semiconductor device

Номер патента: US20070161254A1. Автор: Tae Young Lee. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-12.

Method of forming an intermetal dielectric layer

Номер патента: US6410106B2. Автор: Ming-Sheng Yang,Chih-Chien Liu,Cheng-Yuan Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2002-06-25.

High density plasma chemical vapor deposition process

Номер патента: US20020030033A1. Автор: Water Lur,Shih-Wei Sun,Chih-Chien Liu,Ta-Shan Tseng,W.B. Shieh,J.Y. Wu. Владелец: Individual. Дата публикации: 2002-03-14.

Metal Organic Chemical Vapor Deposition of Embedded Resistors for ReRAM Cells

Номер патента: US20150179937A1. Автор: Yun Wang,Chien-Lan Hsueh. Владелец: Intermolecular Inc. Дата публикации: 2015-06-25.

Method of forming nitride capped cu lines with reduced electromigration along the cu/nitride interface

Номер патента: WO2003007368A3. Автор: Minh Van Ngo,Larry Zhao,Paul R Besser. Владелец: Paul R Besser. Дата публикации: 2003-03-27.

Method of manufacturing semiconductor device including bonding pad and fuse elements

Номер патента: US7335537B2. Автор: Takashi Yamashita,Noriaki Fujiki,Junko Izumitani. Владелец: Renesas Technology Corp. Дата публикации: 2008-02-26.

Semiconductor interconnection structure and method of fabrication

Номер патента: EP1317772A1. Автор: Stefan Weber,Roy Iggulden. Владелец: Infineon Technologies North America Corp. Дата публикации: 2003-06-11.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: WO2002062593A1. Автор: Ram Sabnis,Douglas J. Guerrero. Владелец: Brewer Science, Inc.. Дата публикации: 2002-08-15.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: EP1397260A1. Автор: Ram Sabnis,Douglas J. Guerrero. Владелец: Brewer Science Inc. Дата публикации: 2004-03-17.

Interconnection system and method for producing the same

Номер патента: US20020045334A1. Автор: Kazunori Matsuura. Владелец: Individual. Дата публикации: 2002-04-18.

Method of forming a conformal oxide film

Номер патента: US20020106907A1. Автор: Ching-Yu Chang. Владелец: Individual. Дата публикации: 2002-08-08.

Optically clear, durable infrared windows, and method of making the same

Номер патента: EP1040213A1. Автор: Stephen A. Gabelich,William W. Chen,Norman H. Harris. Владелец: Raytheon Co. Дата публикации: 2000-10-04.

Method of manufacturing semiconductor device and semiconductor device

Номер патента: US20080272444A1. Автор: Hiroyuki Kitamura. Владелец: Elpida Memory Inc. Дата публикации: 2008-11-06.

Manufacturing method of group of whiskers

Номер патента: US20120094420A1. Автор: Makoto Ishikawa,Toshihiko Takeuchi,Yuki Murakami. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2012-04-19.

Low resistivity tungsten film and method of manufacture

Номер патента: WO2022046320A1. Автор: Wei Lei,Joung Joo Lee,Kai Wu,Zhebo CHEN,Xi CEN,Feihu Wang,Chunming Zhou,Zhibo YUAN. Владелец: Applied Materials, Inc.. Дата публикации: 2022-03-03.

Metal silicide nanowires and methods of their production

Номер патента: US20100279115A1. Автор: Song Jin,Andrew L. Schmitt,Yipu Song. Владелец: WISCONSIN ALUMNI RESEARCH FOUNDATION. Дата публикации: 2010-11-04.

Method of making a field effect transistor having an elevated source and an elevated drain

Номер патента: US6057200A. Автор: Sujit Sharan,Kirk Prall,Pai-Hung Pan. Владелец: Micron Technology Inc. Дата публикации: 2000-05-02.

Method of forming multilayer interconnection structure

Номер патента: US5312773A. Автор: Naoki Nagashima. Владелец: Sony Corp. Дата публикации: 1994-05-17.

Semiconductor device and method of fabricating the same

Номер патента: US6137176A. Автор: Michio Asahina,Yukio Morozumi,Eiji Suzuki,Kazuki Matsumoto,Naohiro Moriya. Владелец: Seiko Epson Corp. Дата публикации: 2000-10-24.

Epitaxial wafer and a method of manufacturing thereof

Номер патента: WO2014086742A1. Автор: Norbert Werner,Peter Storck,Martin Vorderwestner,Peter Tolchinsky,Irwin Yablok. Владелец: SILTRONIC AG. Дата публикации: 2014-06-12.

Display panel and method for manufacturing same

Номер патента: US20200043959A1. Автор: Huailiang He. Владелец: HKC Co Ltd. Дата публикации: 2020-02-06.

Low contact resistance semiconductor structure and method of fabricating the same

Номер патента: US20120241752A1. Автор: Fu-Bang CHEN,Te-Chung Wang,Hsiu-Mu Tang. Владелец: Lextar Electronics Corp. Дата публикации: 2012-09-27.

Epitaxial wafer and a method of manufacturing thereof

Номер патента: EP2959500A1. Автор: Norbert Werner,Peter Storck,Martin Vorderwestner,Peter Tolchinsky,Irwin Yablok. Владелец: Intel Corp. Дата публикации: 2015-12-30.

Epitaxial wafer and a method of manufacturing thereof

Номер патента: US9691632B2. Автор: Norbert Werner,Peter Storck,Martin Vorderwestner,Peter Tolchinsky,Irwin Yablok. Владелец: Intel Corp. Дата публикации: 2017-06-27.

Transistor with pi-gate structure and method for producing the same

Номер патента: US20020063293A1. Автор: Yeon-Sik Chae,Jin-Koo Rhee,Hyun-Sik Park,Dan An. Владелец: Individual. Дата публикации: 2002-05-30.

Epitaxial wafer and a method of manufacturing thereof

Номер патента: US20150303071A1. Автор: Norbert Werner,Peter Storck,Martin Vorderwestner,Peter Tolchinsky,Irwin Yablok. Владелец: Intel Corp. Дата публикации: 2015-10-22.

Apparatus and method for manufacturing thin film encapsulation

Номер патента: EP4033559A1. Автор: Yong-Suk Lee,Myung-Soo Huh,Jeong-Ho Yi. Владелец: Samsung Display Co Ltd. Дата публикации: 2022-07-27.

Methods of forming metal wiring of semiconductor devices

Номер патента: US20040132283A1. Автор: Dong-Ki Jeon,Jae-Won Han. Владелец: Anam Semiconductor Inc. Дата публикации: 2004-07-08.

Organic EL lighting emitting device, method of manufacturing the same, and organic EL light source device

Номер патента: US9196854B2. Автор: Yasuhiko Takamatsu. Владелец: Ricoh Co Ltd. Дата публикации: 2015-11-24.

Silicon thin film transistors, systems, and methods of making same

Номер патента: WO2009094639A1. Автор: John Snyder,John M. Heitzinger. Владелец: Soligie, Inc.. Дата публикации: 2009-07-30.

Method of producing fluid exhaust head

Номер патента: RU2422289C1. Автор: Масатака КАТО,Казухиро ХАЯКАВА. Владелец: Кэнон Кабусики Кайся. Дата публикации: 2011-06-27.

Method of nonstoichiometric cvd dielectric film surface passivation for film roughness control

Номер патента: WO2010056731A1. Автор: Kwanghoon Kim,Lance Kim. Владелец: MICROCHIP TECHNOLOGY INCORPORATED. Дата публикации: 2010-05-20.

Process of forming contact holes

Номер патента: US5500080A. Автор: Yang K. Choi. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1996-03-19.

Method of forming metal connections

Номер патента: US5328868A. Автор: James F. White,Richard A. Conti,Kenneth DeVries. Владелец: International Business Machines Corp. Дата публикации: 1994-07-12.

Ruthenium silicide diffusion barrier layers and methods of forming same

Номер патента: EP1114449A1. Автор: Brian A. Vaartstra,Eugene P. Marsh. Владелец: Micron Technology Inc. Дата публикации: 2001-07-11.

Gate of a transistor and method of forming the same

Номер патента: US20110045667A1. Автор: Ki-Hyun Hwang,Sang-Ryol Yang,Jin-Gyun Kim. Владелец: Individual. Дата публикации: 2011-02-24.

Method of fabricating a metal plug of a semiconductor device using a novel tin barrier layer

Номер патента: US20020016063A1. Автор: Ming-Shing Chen,Bill Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2002-02-07.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: EP1493061A4. Автор: Wu-Sheng Shih,Ram W Sabnis. Владелец: Brewer Science Inc. Дата публикации: 2009-01-07.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: EP1493061A2. Автор: Wu-Sheng Shih,Ram W. Sabnis. Владелец: Brewer Science Inc. Дата публикации: 2005-01-05.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: WO2003087233A2. Автор: Wu-Sheng Shih,Ram W. Sabnis. Владелец: Brewer Science, Inc.. Дата публикации: 2003-10-23.

Gate of a transistor and method of forming the same

Номер патента: US20080048277A1. Автор: Ki-Hyun Hwang,Sang-Ryol Yang,Jin-Gyun Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-02-28.

Process of forming epitaxial substrate and semiconductor device provided on the same

Номер патента: US20180174824A1. Автор: Hajime Matsuda. Владелец: Sumitomo Electric Device Innovations Inc. Дата публикации: 2018-06-21.

Thin film semiconductor device and method of production

Номер патента: US5389580A. Автор: Mitsutoshi Miyasaka. Владелец: Seiko Epson Corp. Дата публикации: 1995-02-14.

Method of manufacturing nitride semiconductor device

Номер патента: US8697466B2. Автор: Satoshi Komada. Владелец: Sharp Corp. Дата публикации: 2014-04-15.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: WO2003087233A3. Автор: Wu-Sheng Shih,Ram W Sabnis. Владелец: Brewer Science Inc. Дата публикации: 2004-02-05.

In-situ p-type activation of iii-nitride films grown via metal organic chemical vapor deposition

Номер патента: US20210151329A1. Автор: Manijeh Razeghi. Владелец: Northwestern University. Дата публикации: 2021-05-20.

Growth of carbon nanotube (cnt) leads on circuits in substrate-free continuous chemical vapor deposition (cvd) process

Номер патента: US20170077370A1. Автор: Keith Daniel Humfeld. Владелец: Boeing Co. Дата публикации: 2017-03-16.

Method of carrying out plasma-enhanced chemical vapor deposition

Номер патента: US6432493B1. Автор: Tetsuya Taguwa. Владелец: NEC Corp. Дата публикации: 2002-08-13.

Nanoscopic wire-based devices, arrays, and method of their manufacture

Номер патента: CA2372707C. Автор: Thomas Rueckes,Kevin Kim,Charles M. Lieber,Ernesto Joselevich. Владелец: Harvard College. Дата публикации: 2014-12-09.

Method of forming floating gate array of flash memory device

Номер патента: US20070141785A1. Автор: Jong Choi. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-06-21.

Apparatus and method for high-accuracy alignment

Номер патента: US5317141A. Автор: Michael E. Thomas. Владелец: National Semiconductor Corp. Дата публикации: 1994-05-31.

Chemical vapor deposition process

Номер патента: US20020058413A1. Автор: Anand Srinivasan,Raj Narasimhan,Sujit Sharon. Владелец: Individual. Дата публикации: 2002-05-16.

Chemical vapor deposition technique for depositing titanium silicide on semiconductor wafers

Номер патента: US5278100A. Автор: Trung T. Doan,Gurtej S. Sandhu. Владелец: Micron Technology Inc. Дата публикации: 1994-01-11.

Diffusion barrier layers and methods of forming same

Номер патента: US6323081B1. Автор: Eugene P. Marsh. Владелец: Micron Technology Inc. Дата публикации: 2001-11-27.

Method of forming polycrystalline silicon thin films for semiconductor devices

Номер патента: US5464795A. Автор: Shizuo Oguro. Владелец: NEC Corp. Дата публикации: 1995-11-07.

System and method for shielding during PECVD deposition processes

Номер патента: EP1921658A2. Автор: Michael W. Stowell. Владелец: Applied Materials Inc. Дата публикации: 2008-05-14.

Multi-layer coating system and method

Номер патента: WO2006125086A2. Автор: David A. Glocker. Владелец: Isoflux, Inc.. Дата публикации: 2006-11-23.

Method of forming a crown-fin shaped capacitor for a high density DRAM cell

Номер патента: US6100135A. Автор: Shye-Lin Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2000-08-08.

High k dielectric film and method for making

Номер патента: WO2003079413A3. Автор: Bich-Yen Nguyen,Xiao-Ping Wang,Hong-Wei Zhou. Владелец: Motorola Inc. Дата публикации: 2003-12-18.

High k dielectric film and method for making

Номер патента: EP1374311A1. Автор: Bich-Yen Nguyen,Srinivas V. Pietambaram,Vidya S. Kaushik,James Kenyon Schaeffer, Iii. Владелец: Motorola Inc. Дата публикации: 2004-01-02.

High k dielectric film and method for making

Номер патента: EP1485941A2. Автор: Bich-Yen Nguyen,Xiao-Ping Wang,Hong-Wei Zhou. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2004-12-15.

High k dielectric film and method for making

Номер патента: WO2003079413A2. Автор: Bich-Yen Nguyen,Xiao-Ping Wang,Hong-Wei Zhou. Владелец: Freescale Semiconductor, Inc.. Дата публикации: 2003-09-25.

Air gap based low dielectric constant interconnect structure and method of making same

Номер патента: US6057226A. Автор: Lawrence D. Wong. Владелец: Intel Corp. Дата публикации: 2000-05-02.

Organic polymeric antireflective coatings deposited by chemical vapor deposition

Номер патента: CA2400157A1. Автор: Ram W. Sabnis,Terry Brewer,Douglas Guerrero,Mary J. Spencer. Владелец: Individual. Дата публикации: 2001-08-30.

Fabricating method of a barrier layer

Номер патента: US6025264A. Автор: Water Lur,Shih-Wei Sun,Yimin Huang,Tri-Rung Yew. Владелец: United Microelectronics Corp. Дата публикации: 2000-02-15.

Method of reducing contact resistance of a metal

Номер патента: US20140035143A1. Автор: Hung-Wen Su,Ya-Lien Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-02-06.

Fabrication method of semiconductor device with capacitor

Номер патента: US20030100156A1. Автор: Kiyoshi Mori. Владелец: Mitsubishi Electric Corp. Дата публикации: 2003-05-29.

Method of filling gaps on a semiconductor wafer

Номер патента: US20020042186A1. Автор: Markus Kirchhoff. Владелец: Semiconductor 300 GmbH and Co KG. Дата публикации: 2002-04-11.

Method of forming a wiring layer for a semiconductor device

Номер патента: US5633207A. Автор: Hiroyuki Yano,Katsuya Okumura. Владелец: Toshiba Corp. Дата публикации: 1997-05-27.

Producing coated textiles using photo-initiated chemical vapor deposition

Номер патента: CA3217114A1. Автор: Adrian J. BEACH,Sayantani NANDY,Trisha Lionel Andrew. Владелец: Individual. Дата публикации: 2022-11-03.

Method of manufacturing flash memory

Номер патента: US20020072175A1. Автор: Cheng-Chen Hsueh,Kent Chang. Владелец: Macronix International Co Ltd. Дата публикации: 2002-06-13.

Method of manufacturing semiconductor memory device

Номер патента: US5858837A. Автор: Ichiro Honma,Takashi Sakoh. Владелец: NEC Corp. Дата публикации: 1999-01-12.

New marking and method of its implementation

Номер патента: RU2405878C2. Автор: Туомас МУСТОНЕН,Теппо ЗАЛЬБЕРГ,Тимо КАЛЛИО. Владелец: М-реал ОИЙ. Дата публикации: 2010-12-10.

Method of providing a thick thermal oxide in trench isolation

Номер патента: US20040038495A1. Автор: Karsten Wieczorek,Stephan Kruegel,Ralf van Bentum. Владелец: Individual. Дата публикации: 2004-02-26.

Method of forming a metal wire in a semiconductor device

Номер патента: US5573978A. Автор: Gyeong S. Cho. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1996-11-12.

Method of manufacturing a copper metal wiring in a semiconductor device

Номер патента: US20020031911A1. Автор: Sung Pyo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-03-14.

Semiconductor device with memory capacitor and method of manufacturing such a device

Номер патента: WO1999003152A2. Автор: Wiebe Barteld De Boer,Marieke Cornelia Martens. Владелец: Philips Ab. Дата публикации: 1999-01-21.

Method of treating CVD titanium nitride with silicon ions

Номер патента: US6080667A. Автор: Koji Urabe. Владелец: NEC Corp. Дата публикации: 2000-06-27.

Method of producing LED lens array

Номер патента: US5301063A. Автор: Ryoichi Tohmon. Владелец: Eastman Kodak Co. Дата публикации: 1994-04-05.

Method of forming composite opening and method of dual damascene process using the same

Номер патента: SG145607A1. Автор: Hong Ma. Владелец: United Microelectronics Corp. Дата публикации: 2008-09-29.

Method of producing epitaxial silicon wafer, epitaxial silicon wafer, and method of producing solid-state image sensing device

Номер патента: US9117676B2. Автор: Takeshi Kadono. Владелец: Sumco Corp. Дата публикации: 2015-08-25.

Integrated circuit device and method of making the same

Номер патента: WO1998000872A1. Автор: Derryl D. J. Allman,John W. Gregory,James P. Yakura,John J. Seliskar,Dim Lee Kwong. Владелец: Gill, David, Alan. Дата публикации: 1998-01-08.

Method of making an electron beam window

Номер патента: US4468282A. Автор: Armand P. Neukermans. Владелец: Hewlett Packard Co. Дата публикации: 1984-08-28.

Systems and methods for selective tungsten deposition in vias

Номер патента: WO2012047571A2. Автор: Wei Lei,Michal Danek,Juwen Gao,Rajkumar Jakkaraju. Владелец: Novellus Systems Inc.. Дата публикации: 2012-04-12.

Heat-sealable chemical vapor-sensor bag

Номер патента: US11009493B2. Автор: Michael L. Bishop,Christopher H. Clark. Владелец: US Department of Navy. Дата публикации: 2021-05-18.

Heat-sealable chemical vapor-sensor bag

Номер патента: US20190234923A1. Автор: Michael L. Bishop,Christopher H. Clark. Владелец: US Department of Navy. Дата публикации: 2019-08-01.

Method of manufacturing a CVD torch

Номер патента: US4813093A. Автор: Philip S. Levin. Владелец: Spectran Corp. Дата публикации: 1989-03-21.

Method of filling a high aspect ratio trench isolation region and resulting structure

Номер патента: WO2007089377A3. Автор: Garo J Derderian. Владелец: Garo J Derderian. Дата публикации: 2007-09-20.

Method of filling a high aspect ratio trench isolation region and resulting structure

Номер патента: WO2007089377A2. Автор: Garo J. Derderian. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2007-08-09.

Method of filling a high aspect ratio trench isolation region and resulting structure

Номер патента: EP1984946A2. Автор: Garo J. Derderian. Владелец: Micron Technology Inc. Дата публикации: 2008-10-29.

Method of forming a copper metal wiring in a semiconductor device

Номер патента: KR20010112891A. Автор: 표성규. Владелец: 박종섭. Дата публикации: 2001-12-22.

Method of forming a copper metal wiring in a semiconductor drvice

Номер патента: KR20010112889A. Автор: 표성규,김시범. Владелец: 박종섭. Дата публикации: 2001-12-22.

Chemically vapor deposited saw guides

Номер патента: US5415069A. Автор: Jerry Collins,John Hoover,Al Latham. Владелец: Texas Instruments Inc. Дата публикации: 1995-05-16.

Decorated resin molded article and method for producing the same

Номер патента: US20090068425A1. Автор: Takane Suzuki,Kaoru Ito,Masumi Noguchi,Hirotoshi Matsui. Владелец: Kojima Press Industry Co Ltd. Дата публикации: 2009-03-12.

Thermal ink jet with chemical vapor deposited nozzle plate

Номер патента: CA2506728C. Автор: Kia Silverbrook. Владелец: SILVERBROOK RESEARCH PTY LTD. Дата публикации: 2010-08-24.

Method of making a semiconductor device

Номер патента: US20160365477A1. Автор: Chun-Yen Chang,Hao-Chung Kuo,Chen-Yu Li. Владелец: National Chiao Tung University NCTU. Дата публикации: 2016-12-15.

Method of making a semiconductor device

Номер патента: US10332974B2. Автор: Chun-Yen Chang,Hao-Chung Kuo,Chen-Yu Li. Владелец: National Chiao Tung University NCTU. Дата публикации: 2019-06-25.

Method of preventing analyte alteration in diagnostic apparatuses involving contact of liquid and electrode

Номер патента: EP1943008A2. Автор: Jie Li,Thomas N. Corso. Владелец: Advion Biosciences Inc. Дата публикации: 2008-07-16.

System for machining seed rods for use in a chemical vapor deposition polysilicon reactor

Номер патента: WO2013135631A1. Автор: Rodolfo Bovo,Paolo Molino. Владелец: MEMC Electronic Materials S.p.A.. Дата публикации: 2013-09-19.

System for machining seed rods for use in a chemical vapor deposition polysilicon reactor

Номер патента: EP2825350A1. Автор: Rodolfo Bovo,Paolo Molino. Владелец: SunEdison Inc. Дата публикации: 2015-01-21.

Package architecture with improved via drill process and method for forming such package

Номер патента: US20190393183A1. Автор: Suddhasattwa NAD,Rahul Manepalli,Marcel WALL. Владелец: Intel Corp. Дата публикации: 2019-12-26.

Metal electrode of ceramic capacitor and method of forming the same

Номер патента: US20240170211A1. Автор: Shih-Ming Wang,Chun-Te Lee,Hsing-I Hsiang,Fu-Su Yen,Kai-Hsun YANG,Chi-Yuen Huang. Владелец: Yageo Corp. Дата публикации: 2024-05-23.

Metal electrode of ceramic capacitor and method of forming the same

Номер патента: EP4372774A1. Автор: Shih-Ming Wang,Chun-Te Lee,Hsing-I Hsiang,Fu-Su Yen,Kai-Hsun YANG,Chi-Yuen Huang. Владелец: Yageo Corp. Дата публикации: 2024-05-22.

Cyclical physical vapor deposition of dielectric layers

Номер патента: US20140273425A1. Автор: Paul Jamison,Juntao Li,Vamsi Paruchuri,Takaaki Tsunoda,Tuan A. Vo,Sanjay Shinde. Владелец: Canon Anelva Corp. Дата публикации: 2014-09-18.

Emblem of automobile part and method of manufacturing the same

Номер патента: US20070228604A1. Автор: Hojin Lee,Changwoo Lee,Sangwoo Shim,Seungmok Park. Владелец: Delphi Korea LLC. Дата публикации: 2007-10-04.

Manufacturing method of low temperature poly-silicon substrate (ltps)

Номер патента: US20210050432A1. Автор: CHEN CHEN. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2021-02-18.

Method of forming a flux concentrating layer of a magnetic device

Номер патента: WO2004079742A2. Автор: Jaynal A. Molla,J. Jack Ren,John J. D'urson. Владелец: Freescale Semiconductor, Inc.. Дата публикации: 2004-09-16.

Method of forming a flux concentrating layer of a magnetic device

Номер патента: WO2004079742A3. Автор: Jaynal A Molla,J Jack Ren,Urson John J D. Владелец: Urson John J D. Дата публикации: 2005-03-17.

Stretchable, extensible composite topsheet for absorbent articles and method for making

Номер патента: AU2457997A. Автор: Megan R. Moore,Beverly J. Manring. Владелец: Procter and Gamble Co. Дата публикации: 1997-10-29.

Production method of reconstituted tea

Номер патента: RU2369122C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2009-10-10.

Production method of reconstituted tea

Номер патента: RU2369134C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2009-10-10.

Production method of reconstituted tea

Номер патента: RU2369138C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2009-10-10.

Production method of reconstituted tea

Номер патента: RU2371928C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2009-11-10.

Production method of reconstituted tea

Номер патента: RU2371926C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2009-11-10.

Production method of reconstituted tea

Номер патента: RU2369152C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2009-10-10.

Production method of reconstituted tea

Номер патента: RU2369121C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2009-10-10.

Production method of reconstituted tea

Номер патента: RU2376788C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2009-12-27.

Production method of reconstituted tea

Номер патента: RU2369132C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2009-10-10.

Production method of reconstituted tea

Номер патента: RU2369131C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2009-10-10.

Production method of reconstituted tobacco

Номер патента: RU2361483C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2009-07-20.

Joint nail package and method and means of forming same

Номер патента: CA632681A. Автор: Ferguson Richard,Gettys D. Hoyle, Jr.. Владелец: Terrell Machine Co. Дата публикации: 1961-12-12.

Vapor-deposited polyamide resin film roll and method for producing the same

Номер патента: JP4449822B2. Автор: 忠嗣 西,克彦 野瀬,尚伸 小田,義紀 宮口. Владелец: Toyobo Co Ltd. Дата публикации: 2010-04-14.

Production method of reconstituted tea

Номер патента: RU2371929C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2009-11-10.

Production method of reconstituted tea

Номер патента: RU2369124C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2009-10-10.

Production method of reconstituted tea

Номер патента: RU2376789C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2009-12-27.

Production method of reconstituted tea

Номер патента: RU2369159C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2009-10-10.

Production method of reconstituted tea

Номер патента: RU2369135C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2009-10-10.

Production method of reconstituted tea

Номер патента: RU2369125C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2009-10-10.

Production method of reconstituted tea

Номер патента: RU2371931C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2009-11-10.

Command processing and screen management method of SMX-1

Номер патента: KR0136490B1. Автор: 박남훈,오현주. Владелец: 조백제. Дата публикации: 1998-07-01.

Nanometer processing and de-aggregating method of corn dietary fiber

Номер патента: CN101642205B. Автор: 彭伟,黄晟,钱海峰,朱科学,周惠明. Владелец: JIANGNAN UNIVERSITY. Дата публикации: 2011-08-17.

Preparation process and quality detection method of polysaccharide-iron complex

Номер патента: CN103641875A. Автор: 姜作玲,王京端,展莜林,张朋言. Владелец: QINGDAO GUOFENG PHARMACEUTICAL CO Ltd. Дата публикации: 2014-03-19.

Command processing and screen management method of SMX-1

Номер патента: KR960024913A. Автор: 박남훈,오현주. Владелец: 조백제. Дата публикации: 1996-07-20.

Aluminum-Doped Zinc Oxide Film And Method Of Forming The Same

Номер патента: SG10201801264VA. Автор: Jun Li,Fei Wang,Xizu Wang,Yen Nan LIANG,Ru Bao Thelese Foong. Владелец: Aja Ind Enterprise S Pte Ltd. Дата публикации: 2018-09-27.

Chemical vapor deposition of metal compound coatings utilizing metal sub-halide

Номер патента: CA1224091A. Автор: M. Javid Hakim. Владелец: Liburdi Engineering Ltd. Дата публикации: 1987-07-14.

Masking techniques in chemical vapor deposition

Номер патента: CA1199715A. Автор: Robert D. Burnham. Владелец: Xerox Corp. Дата публикации: 1986-01-21.