• Главная
  • Plasma enhanced chemical vapor deposited (PECVD) silicon nitride barrier layer for high density plasma chemical vapor deposited (HDP-CVD) dielectric layer

Plasma enhanced chemical vapor deposited (PECVD) silicon nitride barrier layer for high density plasma chemical vapor deposited (HDP-CVD) dielectric layer

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Crack inhibited composite dielectric layer

Номер патента: US20040102055A1. Автор: Shi-Wei Wang,Ching-Lun Lai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2004-05-27.

High density plasma chemical vapor deposition process

Номер патента: US20020030033A1. Автор: Water Lur,Shih-Wei Sun,Chih-Chien Liu,Ta-Shan Tseng,W.B. Shieh,J.Y. Wu. Владелец: Individual. Дата публикации: 2002-03-14.

Method of forming an intermetal dielectric layer

Номер патента: US6410106B2. Автор: Ming-Sheng Yang,Chih-Chien Liu,Cheng-Yuan Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2002-06-25.

Method of forming an intermetal dielectric layer

Номер патента: US20010001678A1. Автор: Ming-Sheng Yang,Chih-Chien Liu,Cheng-Yuan Tsai. Владелец: Individual. Дата публикации: 2001-05-24.

Etch stop layer for a metallization layer with enhanced etch selectivity and hermeticity

Номер патента: US20070096108A1. Автор: Matthias Lehr,Volker Kahlert,Joerg Hohage. Владелец: Individual. Дата публикации: 2007-05-03.

Uniform dielectric layer and method to form same

Номер патента: US20010024886A1. Автор: Trung Doan. Владелец: Individual. Дата публикации: 2001-09-27.

Method of manufacturing isolation trenches using silicon nitride liner

Номер патента: US6277706B1. Автор: Hiraku Ishikawa. Владелец: NEC Corp. Дата публикации: 2001-08-21.

Multi component dielectric layer

Номер патента: US20130087923A1. Автор: Alfred Grill,Satyanarayana V. Nitta,Son V. Nguyen,Stephen M. Gates. Владелец: International Business Machines Corp. Дата публикации: 2013-04-11.

Method for improving adhesion between porous low k dielectric and barrier layer

Номер патента: US09721892B2. Автор: Ming Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-08-01.

Method for improving adhesion between porous low k dielectric and barrier layer

Номер патента: US20170309513A1. Автор: Ming Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-10-26.

Method of forming metal carbide barrier layers for fluorocarbon films

Номер патента: WO2013043512A1. Автор: Yoshiyuki Kikuchi. Владелец: Tokyo Electron America, Inc. Дата публикации: 2013-03-28.

Diffusion barrier layer for semiconductor device and fabrication method thereof

Номер патента: US20030047811A1. Автор: Sung-Man Lee,Jae-Hee Ha,Hong Baik. Владелец: LG Semicon Co Ltd. Дата публикации: 2003-03-13.

Aluminum nitride barrier layer

Номер патента: US09646876B2. Автор: Deenesh Padhi,Alexandros T. Demos,He REN,Bhaskar Kumar,Srinivas Guggilla,Priyanka DASH. Владелец: Applied Materials Inc. Дата публикации: 2017-05-09.

Aluminum nitride barrier layer

Номер патента: US20160254181A1. Автор: Deenesh Padhi,Alexandros T. Demos,He REN,Bhaskar Kumar,Srinivas Guggilla,Priyanka DASH. Владелец: Applied Materials Inc. Дата публикации: 2016-09-01.

Aluminum nitride barrier layer

Номер патента: WO2016137747A1. Автор: Deenesh Padhi,Alexandros T. Demos,He REN,Bhaskar Kumar,Srinivas Guggilla,Priyanka DASH. Владелец: Applied Materials, Inc.. Дата публикации: 2016-09-01.

Method for depositing a silicon nitride film and film deposition apparatus

Номер патента: US20190051513A1. Автор: Hitoshi Kato,Yutaka Takahashi,Kazumi Kubo. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-02-14.

Plasma enhanced deposited, fully oxidized PSG film

Номер патента: US20060001127A1. Автор: Jack Linn,Mark Bordelon,Katie Pentas. Владелец: INTERSIL AMERICAS LLC. Дата публикации: 2006-01-05.

Even nucleation between silicon and oxide surfaces for thin silicon nitride film growth

Номер патента: US20030073285A1. Автор: Er-Xuan Ping. Владелец: Individual. Дата публикации: 2003-04-17.

Even nucleation between silicon and oxide surfaces for thin silicon nitride film growth

Номер патента: US20030073284A1. Автор: Er-Xuan Ping. Владелец: Individual. Дата публикации: 2003-04-17.

Silicon nitride films having reduced interfacial strain

Номер патента: EP4189726A1. Автор: Yong Liang,Ann MELNICHUK. Владелец: Psiquantum Corp. Дата публикации: 2023-06-07.

Methods of forming a silicon nitride film, a capacitor dielectric layer and a capacitor

Номер патента: US6077754A. Автор: Sujit Sharan,Gurtej S. Sandhu,Anand Srinivasan. Владелец: Individual. Дата публикации: 2000-06-20.

Deposition of silicon nitride by plasma-enchanced chemical vapor deposition

Номер патента: US5508067A. Автор: Atsushi Tabata,Tatsuya Sato,Naoaki Kobayashi. Владелец: Applied Materials Inc. Дата публикации: 1996-04-16.

Photo-chemical vapor deposition of silicon nitride film

Номер патента: US4588610A. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 1986-05-13.

Low wet etch rate silicon nitride film

Номер патента: WO2009085974A2. Автор: Jing Wu,Hemant P. Mungekar,Young S. Lee. Владелец: Wang, Anchuan. Дата публикации: 2009-07-09.

Technique to deposit sidewall passivation for high aspect ratio cylinder etch

Номер патента: US09997372B2. Автор: Eric A. Hudson,Joseph Scott Briggs. Владелец: Lam Research Corp. Дата публикации: 2018-06-12.

Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone

Номер патента: EP1204987A4. Автор: ZHENG Yuan,Sanjeev Jain. Владелец: ASML US Inc. Дата публикации: 2002-10-30.

Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone

Номер патента: EP1204987A1. Автор: ZHENG Yuan,Sanjeev Jain. Владелец: ASML US Inc. Дата публикации: 2002-05-15.

Metal-organic (MO) chemical vapor deposition method and MO chemical vapor deposition reactor

Номер патента: US6180541B1. Автор: Jae-Hyun Joo. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2001-01-30.

Low thermal budget chemical vapor deposition processing

Номер патента: US20080119059A1. Автор: Yuji Maeda,R. Suryanarayanan Iyer,Jacob W. Smith. Владелец: Individual. Дата публикации: 2008-05-22.

Method for depositing silicon nitride layer of semiconductor device

Номер патента: US20050118814A1. Автор: Hyung Kim,Sung Jung,Yong Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-06-02.

Selective growth of silicon nitride

Номер патента: US09911595B1. Автор: Dennis M. Hausmann,David Charles Smith. Владелец: Lam Research Corp. Дата публикации: 2018-03-06.

Memory device comprising a silicon nitride charge storage layer doped with boron

Номер патента: WO2009086157A1. Автор: Mark Randolph,Gwyn R. Jones. Владелец: SPANSION LLC. Дата публикации: 2009-07-09.

Technique to deposit sidewall passivation for high aspect ratio cylinder etch

Номер патента: US09543158B2. Автор: Eric A. Hudson,Nikhil Dole. Владелец: Lam Research Corp. Дата публикации: 2017-01-10.

Method for forming silicon oxide cap layer for solid state diffusion process

Номер патента: US09607837B1. Автор: Kunitoshi Namba. Владелец: ASM IP Holding BV. Дата публикации: 2017-03-28.

Multi-deposition process for high quality gallium nitride device manufacturing

Номер патента: US20200258741A1. Автор: Cem Basceri,Vladimir Odnoblyudov. Владелец: Qromis Inc. Дата публикации: 2020-08-13.

Divot-free planarization dielectric layer for replacement gate

Номер патента: US20160276457A1. Автор: Sanjay Mehta,Hemanth Jagannathan. Владелец: International Business Machines Corp. Дата публикации: 2016-09-22.

Divot-free planarization dielectric layer for replacement gate

Номер патента: US09356121B2. Автор: Sanjay Mehta,Hemanth Jagannathan. Владелец: International Business Machines Corp. Дата публикации: 2016-05-31.

Divot-free planarization dielectric layer for replacement gate

Номер патента: US09876091B2. Автор: Sanjay Mehta,Hemanth Jagannathan. Владелец: International Business Machines Corp. Дата публикации: 2018-01-23.

Deposition of silicon nitride with enhanced selectivity

Номер патента: EP4449478A1. Автор: Han Wang,Eric CONDO,Bryan Clark Hendrix. Владелец: Entegris Inc. Дата публикации: 2024-10-23.

Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures

Номер патента: US20020037635A1. Автор: Zhuang Li,Kent Rossman,Tzuyuan Yiin. Владелец: Individual. Дата публикации: 2002-03-28.

Polysilicon films by high density plasma chemical vapor deposition (HDP-CVD)

Номер патента: CN103329250A. Автор: X·陈,A·王,Y·S·李. Владелец: Applied Materials Inc. Дата публикации: 2013-09-25.

Plasma-enhanced atomic layer deposition of conductive material over dielectric layers

Номер патента: WO2010088015A2. Автор: Dong Li,Steven Marcus,Robert B. Milligan. Владелец: ASM AMERICA, INC.. Дата публикации: 2010-08-05.

Plasma-enhanced atomic layer deposition of conductive material over dielectric layers

Номер патента: US20140008803A1. Автор: Dong Li,Steven Marcus,Robert B. Milligan. Владелец: ASM America Inc. Дата публикации: 2014-01-09.

Plasma-enhanced atomic layer deposition of conductive material over dielectric layers

Номер патента: US09466574B2. Автор: Dong Li,Steven Marcus,Robert B. Milligan. Владелец: ASM America Inc. Дата публикации: 2016-10-11.

Preparation of p-zno film by plasma enhanced metal-organic chemical vapor deposition

Номер патента: KR100803950B1. Автор: 이영국,정택모,김창균,이선숙,안기석. Владелец: 한국화학연구원. Дата публикации: 2008-02-18.

Vapor deposition reactor and method for forming thin film

Номер патента: US20100310771A1. Автор: Sang In LEE. Владелец: Synos Technology Inc. Дата публикации: 2010-12-09.

Plasma enhanced wafer soak for thin film deposition

Номер патента: US12014921B2. Автор: Ming Li,Tu Hong,Arul N. Dhas. Владелец: Lam Research Corp. Дата публикации: 2024-06-18.

Plasma enhanced wafer soak for thin film deposition

Номер патента: WO2020081235A1. Автор: Ming Li,Tu Hong,Arul N. Dhas. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2020-04-23.

PECVD protective layers for semiconductor devices

Номер патента: US09761439B2. Автор: Zoltan Ring,Daniel Namishia,Sei-Hyung Ryu. Владелец: Cree Inc. Дата публикации: 2017-09-12.

Silicon precursors for silicon nitride deposition

Номер патента: US20240297039A1. Автор: Hideaki Fukuda,Charles DEZELAH,Viljami J. Pore. Владелец: ASM IP Holding BV. Дата публикации: 2024-09-05.

Silicon precursors for silicon nitride deposition

Номер патента: US11996286B2. Автор: Hideaki Fukuda,Viljami Pore,Charles DEZELAH. Владелец: ASM IP Holding BV. Дата публикации: 2024-05-28.

Nitride film formed by plasma-enhanced and thermal atomic layer deposition process

Номер патента: US09865455B1. Автор: James Samuel Sims,Kathryn Merced Kelchner. Владелец: Lam Research Corp. Дата публикации: 2018-01-09.

System and process for high-density, low-energy plasma enhanced vapor phase epitaxy

Номер патента: US09466479B2. Автор: Hans Von Känel. Владелец: OERLIKON METCO AG. Дата публикации: 2016-10-11.

Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films

Номер патента: US12057310B2. Автор: Manchao Xiao,Matthew R Macdonald. Владелец: Versum Materials US LLC. Дата публикации: 2024-08-06.

Low temperature silicon nitride films using remote plasma CVD technology

Номер патента: US09583333B2. Автор: Abhijit Basu Mallick,Nitin K. Ingle,Amit Chatterjee. Владелец: Applied Materials Inc. Дата публикации: 2017-02-28.

High density plasma chemical vapor deposition apparatus for manufacturing semiconductor

Номер патента: US20060137606A1. Автор: Soo Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-06-29.

Ultra low loss silicon nitride based waveguide

Номер патента: WO2023163994A1. Автор: Vimal Kamineni,Ann MELNICHUK. Владелец: PsiQuantum Corp.. Дата публикации: 2023-08-31.

Ultra low loss silicon nitride based waveguide

Номер патента: WO2023163994A9. Автор: Vimal Kamineni,Ann MELNICHUK. Владелец: PsiQuantum Corp.. Дата публикации: 2023-12-21.

Oxide/organic polymer multilayer thin films deposited by chemical vapor deposition

Номер патента: WO1999057330A9. Автор: Seshu B Desu,John J Senkevich. Владелец: Seshu B Desu. Дата публикации: 2000-02-10.

Barrier layer for preventing aluminum diffusion

Номер патента: US20230377879A1. Автор: Srinivas Gandikota,Yixiong Yang,Chi-Chou Lin,Tianyi Huang,Elizabeth Mao,Tengzhou Ma. Владелец: Applied Materials Inc. Дата публикации: 2023-11-23.

Barrier layer for preventing aluminum diffusion

Номер патента: WO2023225138A1. Автор: Srinivas Gandikota,Yixiong Yang,Chi-Chou Lin,Tianyi Huang,Elizabeth Mao,Tengzhou Ma. Владелец: Applied Materials, Inc.. Дата публикации: 2023-11-23.

Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition

Номер патента: EP1238421A4. Автор: Ofer Sneh,Carl Galewski. Владелец: Genus Inc. Дата публикации: 2006-06-21.

In-situ p-type activation of iii-nitride films grown via metal organic chemical vapor deposition

Номер патента: US20210151329A1. Автор: Manijeh Razeghi. Владелец: Northwestern University. Дата публикации: 2021-05-20.

Methods for forming a laminate film by cyclical plasma-enhanced deposition processes

Номер патента: US12040177B2. Автор: Yoshio SUSA. Владелец: ASM IP Holding BV. Дата публикации: 2024-07-16.

High density mosfet array with self-aligned contacts enhancement plug and method

Номер патента: US20160035846A1. Автор: Hong Chang,Yeeheng Lee,Jongoh Kim. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2016-02-04.

Chemical vapor deposition of low density silicon dioxide films

Номер патента: US6054206A. Автор: Thomas Weller Mountsier. Владелец: Novellus Systems Inc. Дата публикации: 2000-04-25.

RF Power Source Operation In Plasma Enhanced Processes

Номер патента: US20210125820A1. Автор: Farhad Moghadam,Hari Ponnekanti,Dmitry A. Dzilno. Владелец: Applied Materials Inc. Дата публикации: 2021-04-29.

Method and apparatus for producing silicon nitride film

Номер патента: US20130109154A1. Автор: Seiji Nishikawa,Tadashi Shimazu,Hidetaka Kafuku. Владелец: Mitsubishi Heavy Industries Ltd. Дата публикации: 2013-05-02.

Nitrogen-rich silicon nitride films for thin film transistors

Номер патента: US12094796B2. Автор: Soo Young Choi,Yi Cui,Jung Bae Kim,Jiarui Wang,Dong Kil Yim,Rodney S. LIM. Владелец: Applied Materials Inc. Дата публикации: 2024-09-17.

High Power Pulse Ionized Physical Vapor Deposition

Номер патента: US20100326815A1. Автор: Roman Chistyakov. Владелец: Zond LLC. Дата публикации: 2010-12-30.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: WO2002062593A1. Автор: Ram Sabnis,Douglas J. Guerrero. Владелец: Brewer Science, Inc.. Дата публикации: 2002-08-15.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: EP1397260A1. Автор: Ram Sabnis,Douglas J. Guerrero. Владелец: Brewer Science Inc. Дата публикации: 2004-03-17.

Method for forming pecvd silicon nitride film

Номер патента: US20080029021A1. Автор: Gwang Su Kim. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-02-07.

Chemical vapor deposition of chalcogenide materials

Номер патента: CA2595761A1. Автор: Stanford R. Ovshinsky,Smuruthi Kamepalli. Владелец: Individual. Дата публикации: 2006-08-10.

Metalorganic chemical vapor deposition system and method

Номер патента: US20200115800A1. Автор: Kazuhiro Ohkawa. Владелец: King Abdullah University of Science and Technology KAUST. Дата публикации: 2020-04-16.

Vapor deposition method for the gaas thin film

Номер патента: CA1274429A. Автор: Seiji Kojima,Hiroshi Kikuchi,Masakiyo Ikeda,Yuzo Kashiwayanagi. Владелец: Furukawa Electric Co Ltd. Дата публикации: 1990-09-25.

Method and system for inline chemical vapor deposition

Номер патента: WO2012170166A3. Автор: Piero Sferlazzo,Thomas Michael Lampros. Владелец: AVENTA TECHNOLOGIES LLC. Дата публикации: 2013-04-25.

Chemical vapor deposition of chalcogenide materials via alternating layers

Номер патента: US20090022883A1. Автор: Stanford R. Ovshinsky,Smuruthi Kamepalli. Владелец: Ovonyx Inc. Дата публикации: 2009-01-22.

High pressure spatial chemical vapor deposition system and related process

Номер патента: US20240209502A1. Автор: Siddha Pimputkar. Владелец: Lehigh University. Дата публикации: 2024-06-27.

Reactor and method for production of silicon by chemical vapor deposition

Номер патента: US09793116B2. Автор: Werner O. Filtvedt,Josef Filtvedt. Владелец: Dynatec Engineering AS. Дата публикации: 2017-10-17.

Chemical vapor deposition apparatus

Номер патента: US09410247B2. Автор: Jung Hyun Lee,Jong Hyun Lee,Young Sun Kim,Ki Sung Kim,Suk Ho Yoon,Hyun Seok Ryu. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-08-09.

Metalorganic chemical vapor deposition system and method

Номер патента: US11661655B2. Автор: Kazuhiro Ohkawa. Владелец: King Abdullah University of Science and Technology KAUST. Дата публикации: 2023-05-30.

Metal organic chemical vapor deposition system and method

Номер патента: EP3652358A1. Автор: Kazuhiro Ohkawa. Владелец: King Abdullah University of Science and Technology KAUST. Дата публикации: 2020-05-20.

Vapor phase epitaxial growth method by organometallic chemical vapor deposition

Номер патента: CA1242623A. Автор: Yoshinobu Matsuda,Akio Sasaki,Shigeo Fujita. Владелец: Sumitomo Chemical Co Ltd. Дата публикации: 1988-10-04.

Particle reduction in a physical vapor deposition chamber

Номер патента: US09773665B1. Автор: Yong Cao,Thanh X. Nguyen,Weimin Zeng. Владелец: Applied Materials Inc. Дата публикации: 2017-09-26.

High pressure spatial chemical vapor deposition system and related process

Номер патента: US11885018B2. Автор: Siddha Pimputkar. Владелец: Lehigh University. Дата публикации: 2024-01-30.

Laser-assisted metal-organic chemical vapor deposition devices and methods of use thereof

Номер патента: US20210355581A1. Автор: Hongping Zhao,Zhaoying Chen. Владелец: Ohio State Innovation Foundation. Дата публикации: 2021-11-18.

Wafer support, chemical vapor phase growth device, epitaxial wafer and manufacturing method thereof

Номер патента: US10208398B2. Автор: Daisuke Muto,Jun NORIMATSU. Владелец: Showa Denko KK. Дата публикации: 2019-02-19.

Method for forming III-V semiconductor structures including aluminum-silicon nitride passivation

Номер патента: US09991360B2. Автор: Richard Brown,James R. Shealy. Владелец: CORNELL UNIVERSITY. Дата публикации: 2018-06-05.

Fabricating method of a barrier layer

Номер патента: US6025264A. Автор: Water Lur,Shih-Wei Sun,Yimin Huang,Tri-Rung Yew. Владелец: United Microelectronics Corp. Дата публикации: 2000-02-15.

Plasma enhanced tungsten nucleation for low resistivity

Номер патента: WO2024005892A1. Автор: Yu Lei,Yi Xu,Xianmin Tang,Rongjun Wang,Tsung-Han Yang,Wenting Hou,Junyeong YUN. Владелец: Applied Materials, Inc.. Дата публикации: 2024-01-04.

Plasma-enhanced cyclic layer deposition process for barrier layers

Номер патента: US20060292864A1. Автор: Ming Xi,Michael Yang,Toshio Itoh. Владелец: Individual. Дата публикации: 2006-12-28.

Metal and metal silicide nitridization in a high density, low pressure plasma reactor

Номер патента: EP1016130A1. Автор: Ching-Hwa Chen,Yun-Yen Jack Yang,Yea-Jer Arthur Chen. Владелец: Lam Research Corp. Дата публикации: 2000-07-05.

Plasma enhanced tungsten nucleation for low resistivity

Номер патента: US20240006236A1. Автор: Yu Lei,Yi Xu,Xianmin Tang,Rongjun Wang,Tsung-Han Yang,Wenting Hou,Junyeong YUN. Владелец: Applied Materials Inc. Дата публикации: 2024-01-04.

Method of fabricating a metal plug of a semiconductor device using a novel tin barrier layer

Номер патента: US20020016063A1. Автор: Ming-Shing Chen,Bill Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2002-02-07.

Catalyst-enhanced chemical vapor deposition

Номер патента: WO2024137050A1. Автор: Robert Clark,Tadahiro Ishizaka,Hiroaki Niimi,Kai-Hung YU. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2024-06-27.

Capacitor/antifuse structure having a barrier-layer electrode and improved barrier layer

Номер патента: US20030036223A1. Автор: Michael Nuttall,Randhir Thakur,Garry Mercaldi. Владелец: Individual. Дата публикации: 2003-02-20.

Ruthenium silicide diffusion barrier layers and methods of forming same

Номер патента: EP1114449A1. Автор: Brian A. Vaartstra,Eugene P. Marsh. Владелец: Micron Technology Inc. Дата публикации: 2001-07-11.

Method for forming a tungsten plug and a barrier layer in a contact of high aspect ratio

Номер патента: US5990004A. Автор: Yu-Ru Yang,Horng-Bor Lu,Jenn-Tarng Lin. Владелец: United Microelectronics Corp. Дата публикации: 1999-11-23.

Catalyst-enhanced chemical vapor deposition

Номер патента: US20240213093A1. Автор: Tadahiro Ishizaka,Robert D. Clark,Kai-Hung YU,Hiroak Niimi. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-06-27.

METHOD OF PLASMA ENHANCED ATOMIC LAYER DEPOSITION OF TaC AND TaCN FILMS HAVING GOOD ADHESION TO COPPER

Номер патента: WO2007111780A3. Автор: Tadahiro Ishizaka. Владелец: Tadahiro Ishizaka. Дата публикации: 2008-01-17.

Electropositive metal containing layers for semiconductor applications

Номер патента: US09390932B2. Автор: Scott B. Clendenning,Patricio E. Romero. Владелец: Intel Corp. Дата публикации: 2016-07-12.

Semiconductor device structure with barrier layer

Номер патента: US11784240B2. Автор: Ting-Chun Wang,Shiu-Ko Jangjian,Chia-Yang Wu,Yung-Si YU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-10.

Chemical vapor deposition processes using ruthenium precursor and reducing gas

Номер патента: WO2020096976A1. Автор: Thomas H. Baum,Bryan C. Hendrix,Philip S.H. Chen. Владелец: ENTEGRIS, INC.. Дата публикации: 2020-05-14.

Diffusion barrier layers and methods of forming same

Номер патента: US6323081B1. Автор: Eugene P. Marsh. Владелец: Micron Technology Inc. Дата публикации: 2001-11-27.

Chemical vapor deposition processes using ruthenium precursor and reducing gas

Номер патента: US11987878B2. Автор: Thomas H. Baum,Bryan C. Hendrix,Philip S. H. Chen. Владелец: Entegris Inc. Дата публикации: 2024-05-21.

Chemical vapor deposition technique for depositing titanium silicide on semiconductor wafers

Номер патента: US5278100A. Автор: Trung T. Doan,Gurtej S. Sandhu. Владелец: Micron Technology Inc. Дата публикации: 1994-01-11.

Self-aligned barrier layers for interconnects

Номер патента: US20110254164A1. Автор: Hoon Kim,Roy G. Gordon. Владелец: Harvard College. Дата публикации: 2011-10-20.

Self-aligned barrier layers for interconnects

Номер патента: US20090263965A1. Автор: Hoon Kim,Roy G. Gordon. Владелец: Harvard College. Дата публикации: 2009-10-22.

Composite barrier layers

Номер патента: US20240006235A1. Автор: FENG CHEN,Jiajie Cen,Zheng JU,Jeffrey W. Antis,Bengamin Schmiege. Владелец: Applied Materials Inc. Дата публикации: 2024-01-04.

Plasma enhanced nitride layer

Номер патента: WO2006039028A1. Автор: Jian Chen,Tab A. Stephens,Stanley M. Filipiak,Yongjoo Jeon. Владелец: Freescale Semiconductor, Inc.. Дата публикации: 2006-04-13.

Process for forming improved titanium-containing barrier layers

Номер патента: US6007684A. Автор: Jianming Fu,Fusen Chen. Владелец: Applied Materials Inc. Дата публикации: 1999-12-28.

Process for forming improved titanium-containing barrier layers

Номер патента: US5858184A. Автор: Jianming Fu,Fusen Chen. Владелец: Applied Materials Inc. Дата публикации: 1999-01-12.

Very low dielectric constant plasma-enhanced CVD films

Номер патента: US20050153574A1. Автор: Robert Mandal. Владелец: Individual. Дата публикации: 2005-07-14.

Chemical vapor deposition of titanium

Номер патента: US6903462B2. Автор: Gurtej Singh Sandhu,Donald L. Westmoreland. Владелец: Micron Technology Inc. Дата публикации: 2005-06-07.

Plasma enhanced ALD of tantalum nitride and bilayer

Номер патента: US20050095443A1. Автор: Hyungjun Kim,Stephen Rossnagel,Andrew Kellock. Владелец: Individual. Дата публикации: 2005-05-05.

Chemical vapor deposition of titanium

Номер патента: US20090039517A1. Автор: Gurtej Singh Sandhu,Donald L. Westmoreland. Владелец: Micron Technology Inc. Дата публикации: 2009-02-12.

Chemical vapor deposition process

Номер патента: US20020058413A1. Автор: Anand Srinivasan,Raj Narasimhan,Sujit Sharon. Владелец: Individual. Дата публикации: 2002-05-16.

Methods for forming planarized hermetic barrier layers and structures formed thereby

Номер патента: WO2012067955A3. Автор: Sean King,Hui Jae Yoo. Владелец: Intel Corporation. Дата публикации: 2012-08-02.

Methods for forming planarized hermetic barrier layers and structures formed thereby

Номер патента: WO2012067955A2. Автор: Sean King,Hui Jae Yoo. Владелец: Intel Corporation. Дата публикации: 2012-05-24.

Bit line barrier metal layer for semiconductor device and process for preparing the same

Номер патента: US7435670B2. Автор: Byung Soo Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-10-14.

Method for making a high density ROM or EPROM integrated circuit

Номер патента: US5318921A. Автор: Chen-Chiu Hsue,Ming-Tzong Yang. Владелец: United Microelectronics Corp. Дата публикации: 1994-06-07.

Method of forming a wiring layer for a semiconductor device

Номер патента: US5633207A. Автор: Hiroyuki Yano,Katsuya Okumura. Владелец: Toshiba Corp. Дата публикации: 1997-05-27.

Bit line barrier metal layer for semiconductor device and process for preparing the same

Номер патента: US7276725B2. Автор: Byung Soo Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-10-02.

Barrier Layer Formation for Conductive Feature

Номер патента: US20200083096A1. Автор: Chia-Pang Kuo,Ya-Lien Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-12.

Barrier Layer Formation for Conductive Feature

Номер патента: US20200083095A1. Автор: Chia-Pang Kuo,Ya-Lien Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-12.

Barrier layer for electroplating processes

Номер патента: US20020092772A1. Автор: Tse-Yong Yao,Peijun Ding,Barry Chin,Tony Chiang. Владелец: Applied Materials Inc. Дата публикации: 2002-07-18.

Nitridized ruthenium layer for formation of cobalt interconnects

Номер патента: US09941212B2. Автор: Chih-Chao Yang,Daniel C Edelstein. Владелец: International Business Machines Corp. Дата публикации: 2018-04-10.

Schemes for forming barrier layers for copper in interconnect structures

Номер патента: US09881871B2. Автор: Chen-Hua Yu,Tien-I Bao,Hai-Ching Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-30.

Methods of forming barrier structures in high density package substrates

Номер патента: WO2018125094A1. Автор: Srinivas V. Pietambaram,David Unruh. Владелец: Intel Corporation. Дата публикации: 2018-07-05.

Graded layer for use in semiconductor circuits and method for making same

Номер патента: US20010033027A1. Автор: Salman Akram,Scott Meikle. Владелец: Individual. Дата публикации: 2001-10-25.

Adhesion layer for through silicon via metallization

Номер патента: US20150050808A1. Автор: Artur Kolics. Владелец: Lam Research Corp. Дата публикации: 2015-02-19.

A silicon nitride-teos oxide salicide blocking layer for deep sub-micron devices

Номер патента: SG72872A1. Автор: Kin-Leong Pey. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2000-05-23.

High sheet resistance structure for high density integrated circuits

Номер патента: CA1102011A. Автор: Augustine W. Chang,Narasipur G. Anantha. Владелец: International Business Machines Corp. Дата публикации: 1981-05-26.

Silicon nitride-free isolation methods for integrated circuits

Номер патента: US5966614A. Автор: Tai-su Park,Ho-kyu Kang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-10-12.

Notched gate configuration for high performance integrated circuits

Номер патента: US20020187646A1. Автор: Giuseppe Curello. Владелец: Infineon Technologies North America Corp. Дата публикации: 2002-12-12.

Inverted positive vertical flow chemical vapor deposition chamber

Номер патента: CA1209330A. Автор: James D. Parsons. Владелец: Hughes Aircraft Co. Дата публикации: 1986-08-12.

Wet etching method for silicon nitride film

Номер патента: US8741168B2. Автор: Hiroshi Tomita,Hisashi Okuchi,Yasuhito Yoshimizu. Владелец: Toshiba Corp. Дата публикации: 2014-06-03.

Process for silicon nitride removal selective to SiGex

Номер патента: US09691628B2. Автор: Jeffery W. Butterbaugh,Anthony S. Ratkovich. Владелец: TEL FSI Inc. Дата публикации: 2017-06-27.

Gas-phase silicon nitride selective etch

Номер патента: US09576815B2. Автор: Fei Wang,Robert Jan Visser,Nitin K. Ingle,Jingjing Xu,Anchuan Wang. Владелец: Applied Materials Inc. Дата публикации: 2017-02-21.

Unitary wafer plasma enhanced chemical vapor deposition holding device

Номер патента: US5478399A. Автор: Calvin K. Willard. Владелец: Micron Technology Inc. Дата публикации: 1995-12-26.

Device, system and method for plasma-enhanced chemical vapor deposition

Номер патента: CA3192596A1. Автор: Jens-Uwe FUCHS,Ralf Reize,Mirko Tröller,Roland Leichtle. Владелец: Individual. Дата публикации: 2022-03-24.

Method of carrying out plasma-enhanced chemical vapor deposition

Номер патента: US6432493B1. Автор: Tetsuya Taguwa. Владелец: NEC Corp. Дата публикации: 2002-08-13.

Pulsed plasma enhanced CVD of metal silicide conductive films such as TiSi2

Номер патента: US5344792A. Автор: Trung T. Doan,Gurtej S. Sandhu. Владелец: Micron Technology Inc. Дата публикации: 1994-09-06.

Equipment for chemical vapor deposition

Номер патента: KR20070002277A. Автор: 나민재. Владелец: 삼성전자주식회사. Дата публикации: 2007-01-05.

Methods for fabricating CVD TiN barrier layers for capacitor structures

Номер патента: US6010940A. Автор: Hyeon-deok Lee,Myoung-Bum Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-01-04.

Semiconductor device and method for high-k gate dielectrics

Номер патента: US7355235B2. Автор: Chih-Hao Wang,Ching-Wei Tsai,Shang-Chih Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2008-04-08.

Metal chemical vapor deposition process using a shadow ring

Номер патента: US5328722A. Автор: Steve Ghanayem,Virendra Rana. Владелец: Applied Materials Inc. Дата публикации: 1994-07-12.

Variable high temperature chuck for high density plasma chemical vapor deposition

Номер патента: AU4507297A. Автор: Michael Barnes,Brian McMillin,Huong Nguyen,Butch Berney. Владелец: Lam Research Corp. Дата публикации: 1998-04-24.

Variable high temperature chuck for high density plasma chemical vapor deposition

Номер патента: US5835334A. Автор: Michael Barnes,Brian McMillin,Huong Nguyen,Butch Berney. Владелец: Lam Research Corp. Дата публикации: 1998-11-10.

Semiconductor device having gate insulating film of silicon oxide and silicon nitride films

Номер патента: US20010019158A1. Автор: Masahiro Ushiyama,Toshiyuki Mine,Shimpei Tsujikawa. Владелец: Individual. Дата публикации: 2001-09-06.

Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)

Номер патента: US09972501B1. Автор: Birol Kuyel. Владелец: Nano Master Inc. Дата публикации: 2018-05-15.

Systems and methods for controlling the temperature of a vapor deposition apparatus

Номер патента: US5968587A. Автор: Jonathan Frankel. Владелец: Applied Materials Inc. Дата публикации: 1999-10-19.

Logic cell layout design for high density transistors

Номер патента: US12046651B2. Автор: Jia ZENG,Motoi Ichihashi,Elizabeth Strehlow,Xuelian ZHU,James P. Mazza. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-07-23.

Chemical vapor deposition apparatus

Номер патента: US6110283A. Автор: Takaaki Kawahara,Mikio Yamamuka,Tsuyoshi Horikawa,Masayoshi Tarutani. Владелец: Mitsubishi Electric Corp. Дата публикации: 2000-08-29.

Linear batch chemical vapor deposition system

Номер патента: WO2011149678A3. Автор: Piero Sferlazzo. Владелец: Aventa Systems, Llc. Дата публикации: 2012-04-19.

Method for tungsten chemical vapor deposition on a semiconductor substrate

Номер патента: EP1219725A1. Автор: Joris Baele,Hans Vercammen. Владелец: Alcatel SA. Дата публикации: 2002-07-03.

High density fan out package structure

Номер патента: WO2016069112A1. Автор: Shiqun Gu,Dong Wook Kim,Jae Sik Lee,Hong Bok We. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2016-05-06.

High density fan out package structure

Номер патента: EP3213345A1. Автор: Shiqun Gu,Dong Wook Kim,Jae Sik Lee,Hong Bok We. Владелец: Qualcomm Inc. Дата публикации: 2017-09-06.

High density pick and sequential place transfer process and tool

Номер патента: US12057331B2. Автор: Dariusz Golda,Hyeun-Su Kim,Chae Hyuck Ahn,Kevin T. Huang,Eric B. Newton. Владелец: Apple Inc. Дата публикации: 2024-08-06.

Multifunctional wafer pretreatment chamber and chemical vapor deposition device

Номер патента: US20240337011A1. Автор: Yongjun Feng,Dongping Zhou,Weicong SONG. Владелец: Betone Technology Shanghai Inc. Дата публикации: 2024-10-10.

Chemical vapor deposition reactor

Номер патента: US8778079B2. Автор: Michael J. Begarney,Frank J. Campanale. Владелец: Valence Process Equipment Inc. Дата публикации: 2014-07-15.

Multiple step thin film deposition method for high conformality

Номер патента: US09859403B1. Автор: Praneet Adusumilli,Domingo A. Ferrer,Nicolas L. Breil,Neal A. Makela. Владелец: Globalfoundries Inc. Дата публикации: 2018-01-02.

Wafer carrier for metal organic chemical vapor deposition

Номер патента: EP3907308A1. Автор: Yuxi Wan,Zetao PENG. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2021-11-10.

Method for forming self-aligned, dual silicon nitride liner for cmos devices

Номер патента: WO2006093730A1. Автор: Haining Yang,Thomas W. Dyer. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2006-09-08.

Process for using a removeable plating bus layer for high density substrates

Номер патента: US5981311A. Автор: Chok J. Chia,Patrick Variot,Seng Sooi Lim. Владелец: LSI Logic Corp. Дата публикации: 1999-11-09.

Barrier Layer On Polymer Passivation For Integrated Circuit Packaging

Номер патента: US20110012239A1. Автор: Shiqun Gu,Yiming Li,Arvind Chandrasekaran,Urmi Ray. Владелец: Qualcomm Inc. Дата публикации: 2011-01-20.

High Density Pick and Sequential Place Transfer Process and Tool

Номер патента: US20220013379A1. Автор: Antoine Manens,Dariusz Golda,Hyeun-Su Kim. Владелец: Apple Inc. Дата публикации: 2022-01-13.

High density pick and sequential place transfer process and tool

Номер патента: US20220013380A1. Автор: Dariusz Golda,Hyeun-Su Kim,Chae Hyuck Ahn,Kevin T. Huang,Eric B. Newton. Владелец: Apple Inc. Дата публикации: 2022-01-13.

High density pick and sequential place transfer process and tool

Номер патента: US11948815B2. Автор: Antoine Manens,Dariusz Golda,Hyeun-Su Kim. Владелец: Apple Inc. Дата публикации: 2024-04-02.

Method for forming self-aligned, dual silicon nitride liner for cmos devices

Номер патента: EP1856726A1. Автор: Haining Yang,Thomas W. Dyer. Владелец: International Business Machines Corp. Дата публикации: 2007-11-21.

Blanket well counter doping process for high speed/low power MOSFETs

Номер патента: US5963799A. Автор: Shye-Lin Wu. Владелец: Texas Instruments Acer Inc. Дата публикации: 1999-10-05.

High density organic interconnect structures

Номер патента: US20240355641A1. Автор: Siddharth K. Alur,Sri Chaitra Jyotsna Chavali,Lilia May,Amanda E. Shuckman. Владелец: Intel Corp. Дата публикации: 2024-10-24.

High density organic interconnect structures

Номер патента: US12062551B2. Автор: Siddharth K. Alur,Sri Chaitra Jyotsna Chavali,Lilia May,Amanda E. Schuckman. Владелец: Intel Corp. Дата публикации: 2024-08-13.

Method for manufacturing absorber layers for solar cell

Номер патента: EP1649520A1. Автор: In-Hwan Choi. Владелец: In-Solar-Tech Co Ltd. Дата публикации: 2006-04-26.

Silicon nitride metal layer covers

Номер патента: US20240153888A1. Автор: Jonathan Andrew Montoya,Salvatore Franks PAVONE. Владелец: Texas Instruments Inc. Дата публикации: 2024-05-09.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: AU2003232015A1. Автор: Ram W. Sabnis. Владелец: Brewer Science Inc. Дата публикации: 2003-11-17.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: EP1502292B1. Автор: Ram W. Sabnis. Владелец: Brewer Science Inc. Дата публикации: 2010-08-25.

Plasma enhanced chemical vapor reactor with shaped electrodes

Номер патента: US5628869A. Автор: Thomas G. Mallon. Владелец: LSI Logic Corp. Дата публикации: 1997-05-13.

Plasma enhanced chemical vapor deposited vertical resistor

Номер патента: GB2186116A. Автор: Leopoldo D Yau,Shih-Ou Chen,Yih Shung Lin. Владелец: Intel Corp. Дата публикации: 1987-08-05.

Technique for forming a silicon nitride layer having high intrinsic compressive stress

Номер патента: US20070254492A1. Автор: Volker Kahlert,Joerg Hohage,Steffen Baer. Владелец: Individual. Дата публикации: 2007-11-01.

Method of making primary current detector using plasma enhanced chemical vapor deposition

Номер патента: US4851367A. Автор: David J. Wolf. Владелец: Eastman Kodak Co. Дата публикации: 1989-07-25.

Low temperature deposition method for high quality aluminum oxide films

Номер патента: US4675089A. Автор: Earl R. Lory,Leonard J. Olmer. Владелец: AT&T Technologies Inc. Дата публикации: 1987-06-23.

Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs

Номер патента: US6114201A. Автор: Shye-Lin Wu. Владелец: Texas Instruments Acer Inc. Дата публикации: 2000-09-05.

Chemical vapor deposition tool and process for fabrication of photovoltaic structures

Номер патента: US09972740B2. Автор: Jianming Fu,Yongkee Chae. Владелец: Tesla Inc. Дата публикации: 2018-05-15.

Cleaning apparatus of a high density plasma chemical vapor deposition chamber and cleaning thereof

Номер патента: US20050211279A1. Автор: Sung Hwang,Kyoung Chin. Владелец: Individual. Дата публикации: 2005-09-29.

Semiconductor device with silicon nitride film on nitride semiconductor layer and manufacturing method thereof

Номер патента: US09761682B2. Автор: Yasuhiro Okamoto. Владелец: Renesas Electronics Corp. Дата публикации: 2017-09-12.

Technique for high efficiency metalorganic chemical vapor deposition

Номер патента: US20030049932A1. Автор: Sam Yang,Weimin Li. Владелец: Individual. Дата публикации: 2003-03-13.

Chemical vapor deposition coating, article, and method

Номер патента: US09777368B2. Автор: David A. Smith,James B. Mattzela,Paul H. Silvis,Gary A. Barone. Владелец: Silcotek Corp. Дата публикации: 2017-10-03.

Method of forming a crown-fin shaped capacitor for a high density DRAM cell

Номер патента: US6100135A. Автор: Shye-Lin Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2000-08-08.

Improved a-si:h absorber layer for a-si single- and multijunction thin film silicon solar cells

Номер патента: EP2612367A1. Автор: Marian Fecioru-Morariu. Владелец: Oerlikon Solar AG. Дата публикации: 2013-07-10.

Methods relating to a group III HFET with a graded barrier layer

Номер патента: US09525033B2. Автор: Miroslav Micovic,David F. Brown. Владелец: HRL LABORATORIES LLC. Дата публикации: 2016-12-20.

A chemical vapor deposition chamber article

Номер патента: WO2020242292A8. Автор: Marcus Gerardus Van Munster,Guiming SONG. Владелец: Schunk Xycarb Technology B.V.. Дата публикации: 2021-01-28.

High density vertical structure nitride flash memory

Номер патента: WO2009102458A1. Автор: Seiki Ogura,Nori Ogura,Tomoko Ogura Iwasaki. Владелец: Gumbo Logic, Inc.. Дата публикации: 2009-08-20.

High Density Vertical Structure Nitride Flash Memory

Номер патента: US20140219030A1. Автор: Seiki Ogura,Nori Ogura,Tomoko Iwasaki. Владелец: Halo LSI Inc. Дата публикации: 2014-08-07.

Connection patterns for high-density device packaging

Номер патента: US09824978B2. Автор: Arun Ramakrishnan. Владелец: Avago Technologies General IP Singapore Pte Ltd. Дата публикации: 2017-11-21.

Diffusion barrier layer for resistive random access memory cells

Номер патента: US9246097B2. Автор: Imran Hashim,Yun Wang. Владелец: Intermolecular Inc. Дата публикации: 2016-01-26.

Platinum source compositions for chemical vapor deposition of platinum

Номер патента: US5783716A. Автор: Thomas H. Baum,Peter S. Kirlin,Sofia Pombrik. Владелец: Advanced Technology Materials Inc. Дата публикации: 1998-07-21.

Localized atmospheric laser chemical vapor deposition

Номер патента: EP2842156A1. Автор: Selim Elhadj,Manyalibo Joseph Matthews. Владелец: Lawrence Livermore National Security LLC. Дата публикации: 2015-03-04.

Passivation layer for semiconductor device packaging

Номер патента: US20120208321A1. Автор: David Keating Foote,James Donald Getty. Владелец: Nordson Corp. Дата публикации: 2012-08-16.

Metal Organic Chemical Vapor Deposition of Embedded Resistors for ReRAM Cells

Номер патента: US20150179937A1. Автор: Yun Wang,Chien-Lan Hsueh. Владелец: Intermolecular Inc. Дата публикации: 2015-06-25.

Metalorganic chemical vapor deposition of zinc oxide

Номер патента: WO2009131842A1. Автор: Bunmi T. Adekore,Jonathan Pierce. Владелец: Lumenz, Inc.. Дата публикации: 2009-10-29.

Chemical Vapor Deposition Diamond (CVDD) Wires for Thermal Transport

Номер патента: US20210143080A1. Автор: Philip Andrew Swire,Nina Biddle. Владелец: Microsemi Semiconductor Ltd. Дата публикации: 2021-05-13.

Growth of carbon nanotube (cnt) leads on circuits in substrate-free continuous chemical vapor deposition (cvd) process

Номер патента: US20170077370A1. Автор: Keith Daniel Humfeld. Владелец: Boeing Co. Дата публикации: 2017-03-16.

Metalorganic chemical vapor deposition of zinc oxide

Номер патента: EP2279284A1. Автор: Bunmi T. Adekore,Jonathan Pierce. Владелец: LUMENZ Inc. Дата публикации: 2011-02-02.

Growth of carbon nanotube (CNT) leads on circuits in substrate-free continuous chemical vapor deposition (CVD) process

Номер патента: US09825210B2. Автор: Keith Daniel Humfeld. Владелец: Boeing Co. Дата публикации: 2017-11-21.

Growth of carbon nanotube (CNT) leads on circuits in substrate-free continuous chemical vapor deposition (CVD) process

Номер патента: US09544998B1. Автор: Keith Daniel Humfeld. Владелец: Boeing Co. Дата публикации: 2017-01-10.

Fabrication method for high-output quantum wire array diode structure

Номер патента: US5827754A. Автор: Suk-Ki Min,Eun Kyu Kim. Владелец: Korea Advanced Institute of Science and Technology KAIST. Дата публикации: 1998-10-27.

Metal organic chemical vapor deposition apparatus for solar cell

Номер патента: US20160225933A1. Автор: Heonmin Lee,Dongjoo YOU,Wonki Yoon. Владелец: LG ELECTRONICS INC. Дата публикации: 2016-08-04.

Transmission line for high performance radio frequency applications

Номер патента: US09679869B2. Автор: Hardik Bhupendra Modi,Sandra Louise Petty-Weeks,Guohao Zhang. Владелец: Skyworks Solutions Inc. Дата публикации: 2017-06-13.

High density MOSFET array with self-aligned contacts enhancement plug and method

Номер патента: US09401409B2. Автор: Hong Chang,Yeeheng Lee,Jongoh Kim. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2016-07-26.

Temperature-controlled purge gate valve for chemical vapor deposition chamber

Номер патента: US20090205563A1. Автор: Chantal Arena,Christiaan Werkhoven. Владелец: Soitec SA. Дата публикации: 2009-08-20.

High throughput chemical vapor deposition electrode

Номер патента: US20160329456A1. Автор: Moon Chun. Владелец: Individual. Дата публикации: 2016-11-10.

High throughput chemical vapor deposition electrode

Номер патента: WO2016182824A1. Автор: Moon Chun. Владелец: SunPower Corporation. Дата публикации: 2016-11-17.

P-type doping in gan leds for high speed operation at low current densities

Номер патента: EP4229685A1. Автор: Bardia Pezeshki,Cameron DANESH. Владелец: Avicenatech Corp. Дата публикации: 2023-08-23.

Cadmium stannate tco structure with diffusion barrier layer and separation layer

Номер патента: EP2433307A1. Автор: Yu Yang,Zhibo Zhao,Dale Roberts,Scott Mills. Владелец: First Solar Inc. Дата публикации: 2012-03-28.

Systems, devices, and methods for high-density power converters

Номер патента: WO2023064672A2. Автор: David Giuliano,Sebastien Kouassi. Владелец: pSemi Corporation. Дата публикации: 2023-04-20.

Chemical vapor deposition of perovskite thin films

Номер патента: US20190074439A1. Автор: Xiao Chen,Parag Banerjee,Peifu Cheng,Yoon Myung. Владелец: Washington University in St Louis WUSTL. Дата публикации: 2019-03-07.

Chemical vapor deposition method for fabricating two-dimensional materials

Номер патента: EP3443138A1. Автор: Nigel Pickett,Ombretta Masala,Nicky Prabhudas SAVJANI. Владелец: Nanoco Technologies Ltd. Дата публикации: 2019-02-20.

Connection Patterns for High-Density Device Packaging

Номер патента: US20170033054A1. Автор: Arun Ramakrishnan. Владелец: Avago Technologies General IP Singapore Pte Ltd. Дата публикации: 2017-02-02.

Silicon nitride passivation for a solar cell

Номер патента: WO2008127920A3. Автор: Soo Young Choi,Lisong Zhou,Sangeeta Dixit. Владелец: Applied Materials, Inc.. Дата публикации: 2010-09-23.

High density metal-insulator-metal capacitor

Номер патента: WO2022086635A1. Автор: Xia Li,Bin Yang,Jun Yuan,Haining Yang. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2022-04-28.

High density metal-insulator-metal capacitor

Номер патента: EP4229677A1. Автор: Xia Li,Bin Yang,Jun Yuan,Haining Yang. Владелец: Qualcomm Inc. Дата публикации: 2023-08-23.

TFT device with silicon nitride film and manufacturing method thereof

Номер патента: US09864247B1. Автор: Dongzi Gao. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-01-09.

Silicon nitride substrate and silicon nitride circuit board using the same

Номер патента: US09630846B2. Автор: Katsuyuki Aoki,Takashi Sano,Noritaka Nakayama. Владелец: Toshiba Materials Co Ltd. Дата публикации: 2017-04-25.

Anti-reflective coating with high optical absorption layer for backside contact solar cells

Номер патента: US09577120B2. Автор: Denis De Ceuster,Hsin-Chiao Luan. Владелец: SunPower Corp. Дата публикации: 2017-02-21.

Sot-mram cell in high density applications

Номер патента: US20220359816A1. Автор: Shy-Jay Lin,Ming Yuan Song. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-10.

Passivation layer for semiconductor device packaging

Номер патента: SG183618A1. Автор: Keating Foote David,Donald Getty James. Владелец: Nordson Corp. Дата публикации: 2012-09-27.

Perpendicular magnetic tunnel junction with multi-interface free layer for magnetoelectric devices

Номер патента: US20230255121A1. Автор: Weigang Wang,Pravin Khanal. Владелец: University of Arizona. Дата публикации: 2023-08-10.

STRAINED AlGaInP LAYERS FOR EFFICIENT ELECTRON AND HOLE BLOCKING IN LIGHT EMITTING DEVICES

Номер патента: US20190035977A1. Автор: Parijat DEB,Theodore Chung,Lekhnath Bhusal. Владелец: LUMILEDS LLC. Дата публикации: 2019-01-31.

Silicon nitride sintered body, method for producing same, multilayer body and power module

Номер патента: US20220177376A1. Автор: Makoto Takeda,Shinichi Takada,Shoji Iwakiri. Владелец: Denka Co Ltd. Дата публикации: 2022-06-09.

Silicon nitride substrate, circuit substrate and electronic device using the same

Номер патента: US20120281362A1. Автор: Masayuki Moriyama,Kenji Komatsubara,Yuusaku Ishimine. Владелец: Kyocera Corp. Дата публикации: 2012-11-08.

Integrated germanium photodetector with silicon nitride launch waveguide

Номер патента: US12078857B2. Автор: Dawei Zheng,Tongqing Wang,Xingyu Zhang. Владелец: Alpine Optoelectronics Inc. Дата публикации: 2024-09-03.

Thermo-controllable high-density chips for multiplex analyses

Номер патента: US09909171B2. Автор: VICTOR Joseph,Jie Zhou,Amjad Huda,Alnoor Shivji. Владелец: Takara Bio Usa Inc. Дата публикации: 2018-03-06.

Dual interface free layer with amorphous cap layer for perpendicular magnetic tunnel junction

Номер патента: US09601687B2. Автор: Seung Hyuk KANG,Chando Park,Kangho Lee. Владелец: Qualcomm Inc. Дата публикации: 2017-03-21.

Silicon nitride circuit board

Номер патента: US5998000A. Автор: Kazuo Ikeda,Nobuyuki Mizunoya,Michiyasu Komatsu,Hiroshi Komorita,Yoshitoshi Sato. Владелец: Toshiba Corp. Дата публикации: 1999-12-07.

Intra-module spare routing for high density electronic packages

Номер патента: US5414637A. Автор: Claude L. Bertin,Christopher P. Miller,David J. Perlman. Владелец: International Business Machines Corp. Дата публикации: 1995-05-09.

Branched proximal connectors for high density neural interfaces

Номер патента: US11395923B2. Автор: Kedar Shah,Bo Lu. Владелец: Verily Life Sciences LLC. Дата публикации: 2022-07-26.

Plasma enhanced chemical vapor deposition of graphene on optical fibers

Номер патента: US20230212743A1. Автор: Nai-Chang Yeh,Deepan Kishore Kumar. Владелец: California Institute of Technology CalTech. Дата публикации: 2023-07-06.

RF powered plasma enhanced chemical vapor deposition reactor and methods

Номер патента: US6112697A. Автор: Paul Smith,Sujit Sharan,Gurtej S. Sandhu. Владелец: Micron Technology Inc. Дата публикации: 2000-09-05.

Plasma enhanced chemical vapor deposition system for forming carbon nanotubes

Номер патента: US20060008594A1. Автор: Sung Kang,Woo Bae. Владелец: JAPAN ASIA INVESTMENT Co Ltd. Дата публикации: 2006-01-12.

Plasma enhanced chemical vapor deposition system for forming carbon nanotubes

Номер патента: WO2006017340A2. Автор: Sung Gu Kang,Woo Kyung Bae. Владелец: Cdream Corporation. Дата публикации: 2006-02-16.

Plasma enhanced chemical vapour deposition apparatus; producing carbon nanotubes

Номер патента: GB2380494A. Автор: Jin Pyo Hong,Chae Ok Kim,Ho Suck Kang,Hyoung Joo Yoon. Владелец: Hanyang Hak Won Co Ltd. Дата публикации: 2003-04-09.

Process for preparing contact lens with film by plasma enhanced chemical vapor deposition

Номер патента: US20190310494A1. Автор: Wen-Pin Lin,Meng-Jiy WANG. Владелец: Brighten Optix Corp. Дата публикации: 2019-10-10.

Method for improving film uniformity in plasma enhanced chemical vapor deposition system

Номер патента: US20050025906A1. Автор: Hui-Chu Lin,Wen-Cheng Lu. Владелец: Toppoly Optoelectronics Corp. Дата публикации: 2005-02-03.

Migration and plasma enhanced chemical vapor deposition

Номер патента: CA2756994C. Автор: Kenneth Scott Alexander Butcher. Владелец: Individual. Дата публикации: 2017-03-07.

Pulsed plasma enhanced chemical vapor deposition process and system

Номер патента: WO2022036147A3. Автор: Robert Abrams,Matthew Wills,Ahmad Taha. Владелец: SiO2 Medical Products, Inc.. Дата публикации: 2022-04-14.

Pulsed plasma enhanced chemical vapor deposition process and system

Номер патента: WO2022036147A4. Автор: Robert Abrams,Matthew Wills,Ahmad Taha. Владелец: SiO2 Medical Products, Inc.. Дата публикации: 2022-06-23.

Pulsed plasma enhanced chemical vapor deposition process, system, and coated vessels

Номер патента: US20230340670A1. Автор: Robert Abrams,Matthew Wills,Ahmad Taha. Владелец: SIO2 Medical Products Inc. Дата публикации: 2023-10-26.

Electrode active material grown by plasma-enhanced chemical vapour deposition

Номер патента: GB2595877A. Автор: Paul Shashi. Владелец: De Montfort University. Дата публикации: 2021-12-15.

Apparatus and method for inductively-coupled-plasma-enhanced ionized physical-vapor deposition

Номер патента: WO1999027153A1. Автор: Mehrdad M. Moslehi. Владелец: Cvc, Inc.. Дата публикации: 1999-06-03.

Laser-enhanced chemical vapor deposition

Номер патента: US20230203660A1. Автор: Rodney S. Harris,Stephen G. Topping. Владелец: River Electro Optics LLC. Дата публикации: 2023-06-29.

High density plasma chemical vapor deposition chamber

Номер патента: US20020112666A1. Автор: Pei-Ren Jeng. Владелец: Macronix International Co Ltd. Дата публикации: 2002-08-22.

Physical vapor deposition chamber with capacitive tuning at wafer support

Номер патента: US09593411B2. Автор: Daniel J. Hoffman,Karl M. Brown,Ying Rui,John Pipitone. Владелец: Applied Materials Inc. Дата публикации: 2017-03-14.

Apparatus and method for plasma enhanced chemical vapour deposition

Номер патента: NL2031257B1. Автор: Didden Arjen,Kudlacek Pavel. Владелец: Leydenjar Tech B V. Дата публикации: 2023-09-20.

Apparatus and method for plasma enhanced chemical vapour deposition

Номер патента: WO2023172140A1. Автор: Pavel KUDLACEK,Arjen DIDDEN. Владелец: Leydenjar Technologies B.V.. Дата публикации: 2023-09-14.

Plasma-enhanced chemical vapour deposition apparatus

Номер патента: WO2023128764A1. Автор: Pavel KUDLACEK,Arjen DIDDEN. Владелец: Leydenjar Technologies B.V. Дата публикации: 2023-07-06.

Method for efficiently eliminating graphene wrinkles formed by chemical vapor deposition

Номер патента: US12116281B2. Автор: Jie Xu,Libo GAO,Guowen Yuan. Владелец: NANJING UNIVERSITY. Дата публикации: 2024-10-15.

Plasma enhancement member, and plasma supplying apparatus and medical instrument including the same

Номер патента: US20180366298A1. Автор: Youngmin Kim,Jeonghae CHOI,Seeun YUN. Владелец: Feagle Co Ltd. Дата публикации: 2018-12-20.

Combinatorial plasma enhanced deposition techniques

Номер патента: EP2279518A2. Автор: Tony Chiang,Sunil Shanker. Владелец: Intermolecular Inc. Дата публикации: 2011-02-02.

Combinatorial plasma enhanced deposition techniques

Номер патента: WO2009135182A2. Автор: Tony Chiang,Sunil Shanker. Владелец: INTERMOLECULAR, INC.. Дата публикации: 2009-11-05.

High density card edge connector with hybrid interface

Номер патента: US20240322465A1. Автор: Peng Huang,Chao ZOU,Zhineng Fan,Luyun Yi. Владелец: Amphenol Commercial Products Chengdu Co Ltd. Дата публикации: 2024-09-26.

Methods and apparatus for microwave plasma assisted chemical vapor deposition reactors

Номер патента: US11702749B2. Автор: Jing Lu,Jes Asmussen,Yajun Gu,Shreya Nad. Владелец: Michigan State University MSU. Дата публикации: 2023-07-18.

Microwave plasma chemical vapor deposition device

Номер патента: US20240158910A1. Автор: Zhiwen Kang,Bingfeng CAI,Kangfu GUO. Владелец: Wuhan Youmeike Automation Co ltd. Дата публикации: 2024-05-16.

Chemical vapor deposition tool and operating method thereof

Номер патента: US20170032940A1. Автор: Chien-Ta Lee,Pen-Li HUNG,Yu-Shan SHIH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-02.

Chemical vapor deposition of dense and transparent zirconia films

Номер патента: US5145720A. Автор: Toshio Hirai,Hisanori Yamane. Владелец: SUMITOMO METAL MINING CO LTD. Дата публикации: 1992-09-08.

Plasma chemical vapor deposition device

Номер патента: US10151033B2. Автор: Yuji Takano,Hiromichi Nakata,Yoji Sato,Takayasu Sato,Kazutaka Tachibana,Osamu Ariyada,Ryo TSURUMOTO. Владелец: Toyota Motor Corp. Дата публикации: 2018-12-11.

High density, high speed, high performance card edge connector

Номер патента: US20240275089A1. Автор: Xiaodong Hu,Kui YANG. Владелец: Amphenol Commercial Products Chengdu Co Ltd. Дата публикации: 2024-08-15.

Plasma chemical vapor deposition device

Номер патента: US20160376707A1. Автор: Yuji Takano,Hiromichi Nakata,Yoji Sato,Takayasu Sato,Kazutaka Tachibana,Osamu Ariyada,Ryo TSURUMOTO. Владелец: Toyota Motor Corp. Дата публикации: 2016-12-29.

High density TiN RF/DC PVD deposition with stress tuning

Номер патента: US09499901B2. Автор: Yong Cao,Xianmin Tang,Tza-Jing Gung,Adolph Miller Allen. Владелец: Applied Materials Inc. Дата публикации: 2016-11-22.

Chemical vapor deposition graphene foam electrodes for pseudo-capacitors

Номер патента: US9263196B2. Автор: Thomas A. Yager. Владелец: EMPIRE TECHNOLOGY DEVELOPMENT LLC. Дата публикации: 2016-02-16.

Chemical vapor deposition method of growing oxide films with giant magnetoresistance

Номер патента: US5487356A. Автор: Jiming Zhang,Yi-Oun Li. Владелец: Advanced Technology Materials Inc. Дата публикации: 1996-01-30.

Methods and apparatus for microwave plasma assisted chemical vapor deposition reactors

Номер патента: US11854775B2. Автор: Timothy A. Grotjohn,Jes Asmussen. Владелец: Michigan State University MSU. Дата публикации: 2023-12-26.

High density laser optics

Номер патента: US20140376580A1. Автор: David A. Fattal,Wayne V. Sorin,Michael Renne Ty Tan,Sagi Varghese Mathai. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2014-12-25.

Connectors for high density neural interfaces

Номер патента: US12053636B2. Автор: Benjamin K. Yaffe,Bo Lu. Владелец: Verily Life Sciences LLC. Дата публикации: 2024-08-06.

Multicathode pvd system for high aspect ratio barrier seed deposition

Номер патента: WO2024155779A1. Автор: Harish V. PENMETHSA,Ming-Jui LI. Владелец: Applied Materials, Inc.. Дата публикации: 2024-07-25.

Connectors for high density neural interfaces

Номер патента: US20240342493A1. Автор: Bo Lu,Benjamin K Yaffe. Владелец: Verily Life Sciences LLC. Дата публикации: 2024-10-17.

Diamond producing method and DC plasma enhanced CVD apparatus

Номер патента: US09534315B2. Автор: Hitoshi Noguchi. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2017-01-03.

Apparatus and method for high density detachable electrical interface

Номер патента: WO2021101749A1. Автор: Susant Patra. Владелец: Lawrence Livermore National Security, LLC. Дата публикации: 2021-05-27.

Apparatus and method for high density detachable electrical interface

Номер патента: US11742605B2. Автор: Susant Patra. Владелец: Lawrence Livermore National Security LLC. Дата публикации: 2023-08-29.

Multiple Chamber System for Plasma Chemical Vapor Deposition of Diamond and Related Materials

Номер патента: US20230392255A1. Автор: William Holber. Владелец: PLASMABILITY LLC. Дата публикации: 2023-12-07.

Connector apparatus for high density coaxial cables

Номер патента: CA1300700C. Автор: Kouji Ishikawa,Jerzy R. Sochor,Kyoichiro Kawano,Teruo Murase,C. Timothy Norman. Владелец: Amdahl Corp. Дата публикации: 1992-05-12.

Diamond producing method and dc plasma enhanced cvd apparatus

Номер патента: US20170073836A1. Автор: Hitoshi Noguchi. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2017-03-16.

Connector for high amperage applications

Номер патента: US3732530A. Автор: R Linn,G Shea. Владелец: UNDERWRITERS SAFETY DEVICE Co. Дата публикации: 1973-05-08.

Monolithic high density arrays of independently addressable semiconductor laser sources

Номер патента: US4870652A. Автор: Robert L. Thornton. Владелец: Xerox Corp. Дата публикации: 1989-09-26.

Connectors for high density neural interfaces

Номер патента: WO2020219295A1. Автор: Benjamin K. Yaffe,Bo Lu. Владелец: Verily Life Sciences LLC. Дата публикации: 2020-10-29.

System for high density testing of batteries within an environmental test chamber

Номер патента: US11802914B1. Автор: Beran Peter,Brockton Kenyon. Владелец: Associated Environmental Systems Inc. Дата публикации: 2023-10-31.

High density laser optics

Номер патента: EP2805391A1. Автор: David A. Fattal,Sagi V. Mathai,Wayne V. Sorin,Michael Renne Ty Tan. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2014-11-26.

High-density edge connector

Номер патента: US11870171B2. Автор: Qian Feng,Rongzhe Guo,Tao Zeng. Владелец: Amphenol Commercial Products Chengdu Co Ltd. Дата публикации: 2024-01-09.

Conductive porous layer for batteries and fabrication method for same

Номер патента: US09666872B2. Автор: Hiroshi Kishimoto,Kasumi Oi,Naoya Takeuchi. Владелец: DAI NIPPON PRINTING CO LTD. Дата публикации: 2017-05-30.

Titanium silicon nitride barrier layer

Номер патента: US20240276896A1. Автор: Niloy Mukherjee,Jae Seok Heo,Somilkumar J. Rathi,Jerry Mack. Владелец: Eugenus Inc. Дата публикации: 2024-08-15.

Support layer for small pitch fill

Номер патента: US20230309295A1. Автор: Sung-Kwan Kang,Fredrick FISHBURN. Владелец: Applied Materials Inc. Дата публикации: 2023-09-28.

Support layer for small pitch fill

Номер патента: WO2023183233A1. Автор: Sung-Kwan Kang,Fredrick FISHBURN. Владелец: Applied Materials, Inc.. Дата публикации: 2023-09-28.

Titanium silicon nitride barrier layer

Номер патента: US11832537B2. Автор: Niloy Mukherjee,Jae Seok Heo,Somilkumar J. Rathi,Jerry Mack. Владелец: Eugenus Inc. Дата публикации: 2023-11-28.

Titanium silicon nitride barrier layer

Номер патента: WO2021071629A1. Автор: Niloy Mukherjee,Jae Seok Heo,Somilkumar J. Rathi,Jerry Mack. Владелец: Eugenus, Inc.. Дата публикации: 2021-04-15.

Source and method for generating high-density plasma with inductive power coupling

Номер патента: US5397962A. Автор: Mehrdad M. Moslehi. Владелец: Texas Instruments Inc. Дата публикации: 1995-03-14.

Embedded wire chemical vapor deposition (ewcvd)

Номер патента: EP4363630A1. Автор: Joseph Pegna,Kirk L. Williams,Shay L. Harrison. Владелец: Free Form Fibers LLC. Дата публикации: 2024-05-08.

A hybrid relay for high density venues

Номер патента: EP4029350A1. Автор: Vishal Satyendra Desai,Jerome Henry,Robert E. BARTON,Indermeet Singh Gandhi. Владелец: Cisco Technology Inc. Дата публикации: 2022-07-20.

A hybrid relay for high density venues

Номер патента: WO2021050373A1. Автор: Vishal Satyendra Desai,Jerome Henry,Robert E. BARTON,Indermeet Singh Gandhi. Владелец: CISCO TECHNOLOGY, INC.. Дата публикации: 2021-03-18.

High density storage of information on a compact disc

Номер патента: CA1296424C. Автор: Lowell A. Noble. Владелец: Individual. Дата публикации: 1992-02-25.

Different HDD gap architecture to reduce upstream preheat for high-density storage

Номер патента: US09968005B2. Автор: Chao-Jung Chen,Wei-Chun Chang,Yi-Chieh Chen,Jen-Mao CHEN. Владелец: QUANTA COMPUTER INC. Дата публикации: 2018-05-08.

Chemical vapor deposition process for producing diamond

Номер патента: US11905594B2. Автор: Neil Fox,Hugo DOMINGUEZ ANDRADE,Thomas B SCOTT,Edward JD MAHONEY,Alexander CROOT. Владелец: University of Bristol. Дата публикации: 2024-02-20.

Low profile, high density memory system

Номер патента: WO2002017328A1. Автор: Zhineng Fan,Che-Yu Li,Ai D. Le. Владелец: High Connection Density, Inc.. Дата публикации: 2002-02-28.

Data center having rack clusters with high density, air-cooled server racks

Номер патента: US20200288606A1. Автор: Alex R. Naderi. Владелец: Nvidia Corp. Дата публикации: 2020-09-10.

Mg discontinuous insertion layer for improving mt j shunt

Номер патента: WO2014109978A1. Автор: Ru-Ying Tong,Yu-Jen Wang,Takahiro Moriyama. Владелец: HEADWAY TECHNOLOGIES, INC.. Дата публикации: 2014-07-17.

Decoding apparatus for high-density recording medium

Номер патента: US8341494B2. Автор: Yi-Kai Chen,Sih-Kai Wang,Sun-How Jiang. Владелец: Sunplus Technology Co Ltd. Дата публикации: 2012-12-25.

Decoding apparatus for high-density recording medium

Номер патента: US20100064202A1. Автор: Yi-Kai Chen,Sih-Kai Wang,Sun-How Jiang. Владелец: Sunplus Technology Co Ltd. Дата публикации: 2010-03-11.

Anti-static spacer for high temperature curing process of flexible printed circuit board

Номер патента: EP1839467A4. Автор: Tae Young Kim,Jong Eun Kim,Kwang Suck Suh. Владелец: Individual. Дата публикации: 2009-07-29.

Anti-static spacer for high temperature curing process of flexible printed circuit board

Номер патента: WO2006073295A1. Автор: Tae Young Kim,Jong Eun Kim,Kwang Suck Suh. Владелец: Kwang Suck Suh. Дата публикации: 2006-07-13.

Multilayered circuit board for high-speed, differential signals

Номер патента: WO2005074336A3. Автор: John Mitchell,James Clink,John E Benham. Владелец: John E Benham. Дата публикации: 2005-10-06.

Silicon nitride core rib waveguides and methods of manufacturing the same

Номер патента: WO2024186512A1. Автор: Haitao Zhang,Bin Zhu,Barry J. Paddock,Sukru Ekin Kocabas. Владелец: CORNING INCORPORATED. Дата публикации: 2024-09-12.

Sense threshold amplifier for high density memory

Номер патента: US3911293A. Автор: Philip E Shafer. Владелец: Burroughs Corp. Дата публикации: 1975-10-07.

SOT-MRAM cell in high density applications

Номер патента: US11903326B2. Автор: Shy-Jay Lin,Ming Yuan Song. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-13.

Dual interface free layer with amorphous cap layer for perpendicular magnetic tunnel junction

Номер патента: WO2015122963A1. Автор: Seung Hyuk KANG,Chando Park,Kangho Lee. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2015-08-20.

Dual interface free layer with amorphous cap layer for perpendicular magnetic tunnel junction

Номер патента: EP3105760A1. Автор: Seung Hyuk KANG,Chando Park,Kangho Lee. Владелец: Qualcomm Inc. Дата публикации: 2016-12-21.

Coding/decoding method for high density data recording and reproduction

Номер патента: US6072410A. Автор: Jin-Sook Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-06-06.

Memory redundancy for high density memory

Номер патента: US5889711A. Автор: Nien Chao Yang,Chung Ju Chen,Chun Jung Lin. Владелец: Macronix International Co Ltd. Дата публикации: 1999-03-30.

Shell connection device and chemical vapor deposition apparatus

Номер патента: LU505605B1. Автор: Haibo Huo. Владелец: Univ Zhengzhou Aeronautics. Дата публикации: 2024-05-24.

Cooled mirror construction by chemical vapor deposition

Номер патента: US4378626A. Автор: Frederick G. Eitel. Владелец: United Technologies Corp. Дата публикации: 1983-04-05.

Silicon nitride components with protective coating

Номер патента: US6582779B2. Автор: Derek Raybould,Chien-Wei Li,Milton Ortiz,Thomas Edward Strangman. Владелец: AlliedSignal Inc. Дата публикации: 2003-06-24.

Automated conveyance of articles in chemical vapor processing

Номер патента: US11946141B2. Автор: Michael Anthony Crockett. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2024-04-02.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: WO2003087233A3. Автор: Wu-Sheng Shih,Ram W Sabnis. Владелец: Brewer Science Inc. Дата публикации: 2004-02-05.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: EP1493061A4. Автор: Wu-Sheng Shih,Ram W Sabnis. Владелец: Brewer Science Inc. Дата публикации: 2009-01-07.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: EP1493061A2. Автор: Wu-Sheng Shih,Ram W. Sabnis. Владелец: Brewer Science Inc. Дата публикации: 2005-01-05.

Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition

Номер патента: WO2003087233A2. Автор: Wu-Sheng Shih,Ram W. Sabnis. Владелец: Brewer Science, Inc.. Дата публикации: 2003-10-23.

Systems and methods for production of graphene by plasma-enhanced chemical vapor deposition

Номер патента: US20140255621A1. Автор: Peter V. Bedworth,Steven W. Sinton. Владелец: Lockheed Martin Corp. Дата публикации: 2014-09-11.

Low Temperature Fabrication of Silicon Nitride Photonic Devices

Номер патента: US20240210625A1. Автор: Jiawei Wang,Daniel J. Blumenthal,Debapam Bose. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2024-06-27.

Low temperature plasma-enhanced formation of integrated circuits

Номер патента: US5975912A. Автор: Robert F. Foster,Joseph T. Hillman. Владелец: Materials Research Corp. Дата публикации: 1999-11-02.

Method of forming silicon nitride films

Номер патента: US20090087586A1. Автор: Toshiya Takahashi. Владелец: Fujifilm Corp. Дата публикации: 2009-04-02.

Plasma-enhanced chemical vapor deposition coating system

Номер патента: US20220275509A1. Автор: Attila Nagy,Benjamin Lawrence,Ludmil Zambov,Daniel Pulsipher,Pravin Chaubey,John Winterroth. Владелец: HZO Inc. Дата публикации: 2022-09-01.

Atmospheric pressure plasma enhanced chemical vapor deposition process

Номер патента: US20100323127A1. Автор: John Matthew Warakomski,Christina Ann Rhoton. Владелец: Individual. Дата публикации: 2010-12-23.

Plasma-enhanced chemical vapor deposition coating system

Номер патента: EP4298665A1. Автор: Attila Nagy,Benjamin Lawrence,Ludmil Zambov,Daniel Pulsipher,Pravin Chaubey,John Winterroth. Владелец: HZO Inc. Дата публикации: 2024-01-03.

Silicon-nitride-containing thermal chemical vapor deposition coating

Номер патента: US20170167015A1. Автор: David A. Smith,Min YUAN,James B. Mattzela. Владелец: Silcotek Corp. Дата публикации: 2017-06-15.

Prevention of low pressure chemical vapor deposition silicon dioxide undercutting and flaking

Номер патента: CA1166129A. Автор: Bernard M. Kemlage. Владелец: International Business Machines Corp. Дата публикации: 1984-04-24.

Chemical vapor deposition system and method

Номер патента: WO2006116776A3. Автор: Eunsung Park,Kevin Casey,Catherine E Talor. Владелец: Catherine E Talor. Дата публикации: 2007-05-03.

Electrical insulator for a plasma enhanced chemical vapor processor

Номер патента: US4761301A. Автор: Charles E. Ellenberger,Hayden K. Piper. Владелец: Pacific Western Systems Inc. Дата публикации: 1988-08-02.

Plasma-enhanced CVD coating process

Номер патента: US5030475A. Автор: Volker Paquet,Ulrich Ackermann,Heinz-Werner Etzkorn,Ralf T. Kersten,Uwe Rutze. Владелец: Schott Glaswerke AG. Дата публикации: 1991-07-09.

Chemical vapor deposition of silicon nitride using a remote plasma

Номер патента: WO2024102586A1. Автор: Andrew J. McKerrow,Shane Tang,Gopinath Bhimarasetti. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2024-05-16.

Synthesis of advanced scintillators via vapor deposition techniques

Номер патента: US20100200757A1. Автор: Vinod K. Sarin,Stephen Gibson Topping. Владелец: Individual. Дата публикации: 2010-08-12.

Synthesis of advanced scintillators via vapor deposition techniques

Номер патента: US20130341513A1. Автор: Vinod K. Sarin,Stephen Gibson Topping. Владелец: Boston University. Дата публикации: 2013-12-26.

Chemical vapor deposition system arrangement

Номер патента: US20160053375A1. Автор: William David Grove,Nicholas Peter Deskevich. Владелец: Silcotek Corp. Дата публикации: 2016-02-25.

Continuous chemical vapor deposition reactor

Номер патента: CA1068582A. Автор: Roger N. Anderson. Владелец: Texas Instruments Inc. Дата публикации: 1979-12-25.

Compact head and compact system for vapor deposition

Номер патента: US12043894B2. Автор: David MUNOZ-ROJAS. Владелец: CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE CNRS. Дата публикации: 2024-07-23.

Chemical vapor deposition process and coated article

Номер патента: US09915001B2. Автор: David A. Smith,Min YUAN,James B. Mattzela,Paul H. Silvis. Владелец: Silcotek Corp. Дата публикации: 2018-03-13.

Chemical vapor deposition coatings on titanium

Номер патента: US3787223A. Автор: C Reedy. Владелец: Texas Instruments Inc. Дата публикации: 1974-01-22.

Chemical vapor deposition

Номер патента: US4107352A. Автор: Mohammad Javid Hakim. Владелец: Westinghouse Canada Inc. Дата публикации: 1978-08-15.

Fluoro-containing thermal chemical vapor deposition process and article

Номер патента: US20180163308A1. Автор: David A. Smith. Владелец: Silcotek Corp. Дата публикации: 2018-06-14.

High density, hard tip arrays

Номер патента: WO2012158838A3. Автор: Joseph S. Fragala,Jason R. Haaheim,Albert K. Henning,Nabil A. Amro,Raymond Roger Shile. Владелец: NANOINK, INC.. Дата публикации: 2013-03-07.

Antimicrobial nanolaminates using vapor deposited methods such as atomic layer deposition

Номер патента: EP4395800A1. Автор: Prerna Goradia,Neil Amit GORADIA. Владелец: Individual. Дата публикации: 2024-07-10.

CHEMICAL VAPOR DEPOSITION OF CuInXGa1- X(SeyS1-y)2 THIN FILMS AND USES THEREOF

Номер патента: WO2008151067A3. Автор: Tim Anderson,W K Kim. Владелец: W K Kim. Дата публикации: 2009-02-19.

Processing system and method for chemical vapor deposition

Номер патента: EP1100980A2. Автор: Joseph T. Hillman. Владелец: Tokyo Electron Ltd. Дата публикации: 2001-05-23.

Methods and systems for demulsification and generation of plasma enhanced treatment fluids using plasma

Номер патента: US20240199962A1. Автор: David Wragg,Jamie Kraus. Владелец: Individual. Дата публикации: 2024-06-20.

Microwave plasma chemical vapor deposition apparatus comprising an inclined rotating substrate holder

Номер патента: US5234502A. Автор: Osamu Mochizuki,Toshiharu Hoshi,Hiroaki Itoh. Владелец: Yamaha Corp. Дата публикации: 1993-08-10.

Chemical vapor deposition functionalization

Номер патента: US09975143B2. Автор: David A. Smith,Paul H. Silvis. Владелец: Silcotek Corp. Дата публикации: 2018-05-22.

Chemical vapor deposition process for depositing titanium nitride films from an organo-metallic compound

Номер патента: US20020051847A1. Автор: Salman Akram. Владелец: Individual. Дата публикации: 2002-05-02.

Saw for cutting silicon into seed rods for use in a chemical vapor deposition polysilicon reactor

Номер патента: EP2731770A1. Автор: Rodolfo Bovo,Paolo Molino. Владелец: SunEdison Inc. Дата публикации: 2014-05-21.

Method for preventing vacuum pump pipeline from blockage, and chemical vapor deposition machine

Номер патента: US20200208262A1. Автор: Jianfeng SHAN. Владелец: HKC Co Ltd. Дата публикации: 2020-07-02.

Chemical vapor deposition process for depositing a titanium oxide coating

Номер патента: US09938619B2. Автор: Jun Ni,Srikanth Varanasi,Douglas M. Nelson. Владелец: Pilkington Group Ltd. Дата публикации: 2018-04-10.

Advanced process flow for high quality FCVD films

Номер патента: US09777378B2. Автор: Jun Xue,Srinivas D. Nemani,Erica Chen,Ludovic Godet,Ellie Y. Yieh. Владелец: Applied Materials Inc. Дата публикации: 2017-10-03.

Growth of silicon and boron nitride nanomaterials on carbon fibers by chemical vapor deposition

Номер патента: US09676627B2. Автор: Lingchuan Li. Владелец: University of Dayton. Дата публикации: 2017-06-13.

Chemical vapor deposition process and coated article

Номер патента: US20160060763A1. Автор: David A. Smith,Min YUAN,James B. Mattzela,Paul H. Silvis. Владелец: Silcotek Corp. Дата публикации: 2016-03-03.

Initiated chemical vapor deposition and structuration of polyoxymethylene

Номер патента: US20220372201A1. Автор: Kenneth K.S. Lau,Zhengtao CHEN. Владелец: DREXEL UNIVERSITY. Дата публикации: 2022-11-24.

Producing coated textiles using photo-initiated chemical vapor deposition

Номер патента: EP4329948A1. Автор: Adrian J. BEACH,Sayantani NANDY,Trisha Lionel Andrew. Владелец: Soliyarn LLC. Дата публикации: 2024-03-06.

Initiated chemical vapor deposition and structuration of polyoxymethylene

Номер патента: WO2021163025A1. Автор: Kenneth K.S. Lau,Zhengtao CHEN. Владелец: Drexel Uniiversity. Дата публикации: 2021-08-19.

Chemical vapor deposition of thick inorganic coating on a polarizer

Номер патента: US11746418B2. Автор: Brian Johnson,Matthew R. Linford,Anubhav Diwan. Владелец: Moxtek Inc. Дата публикации: 2023-09-05.

Continuous Measuring System for High-density Resistivity of Capacitor Electrodes and Measuring Method

Номер патента: LU500628B1. Автор: Zhixin Liu,Zhanguo Lu,Xingang Xu. Владелец: Univ China Mining. Дата публикации: 2022-03-07.

Triangular deposition chamber for a vapor deposition system

Номер патента: CA2120092C. Автор: Jitendra S. Goela,Lee E. Burns,James C. Macdonald,Alexander Teverovsky. Владелец: CVD Inc. Дата публикации: 1997-05-20.

High-packing silicon nitride powder and method for making

Номер патента: US5348919A. Автор: Akio Otsuka,Meguru Kashida,Haruyoshi Kuwabara,Yasuyuki Maki. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 1994-09-20.

Thermal chemical vapor deposition coating

Номер патента: US20180258529A1. Автор: James B. Mattzela,Paul H. Silvis,Gary A. Barone,Thomas F. Vezza,William David Grove. Владелец: Silcotek Corp. Дата публикации: 2018-09-13.

Method of operating filament assisted chemical vapor deposition system

Номер патента: WO2012112334A2. Автор: Jacques Faguet,Eric M. Lee. Владелец: Tokyo Electron America, Inc.. Дата публикации: 2012-08-23.

Corrosion-resistant coated article and thermal chemical vapor deposition coating process

Номер патента: US12036765B2. Автор: Min YUAN. Владелец: Silcotek Corp. Дата публикации: 2024-07-16.

Metal organic chemical vapor deposition apparatus

Номер патента: US20240175135A1. Автор: Sung-Chul Choi,Kwang-Il Cho. Владелец: Tes Co Ltd. Дата публикации: 2024-05-30.

Chemical vapor deposition system and method

Номер патента: EP1204782A1. Автор: Robert J. Bailey,Thomas E. Kane,Lisa H. Michael. Владелец: Silicon Valley Group Thermal Systems LLC. Дата публикации: 2002-05-15.

Process for the deposition of thin layers by chemical vapor deposition

Номер патента: US20020127338A1. Автор: Annette Saenger. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2002-09-12.

Variable-temperature vapor deposition process

Номер патента: US20240209498A1. Автор: Paul Connolly Quayle. Владелец: Great Lakes Crystal Technologies Inc. Дата публикации: 2024-06-27.

Variable-temperature vapor deposition process

Номер патента: WO2024138229A1. Автор: . Владелец: Great Lakes Crystal Technologies, Inc.. Дата публикации: 2024-06-27.

Chemical vapor deposition device capable of reciprocating rotation and lifting

Номер патента: US20240327986A1. Автор: Xueqin Pan,Xiaoliang Jin,Weicong SONG. Владелец: Betone Technology Shanghai Inc. Дата публикации: 2024-10-03.

Thermal chemical vapor deposition coating process

Номер патента: US20240359430A1. Автор: Min YUAN. Владелец: Silcotek Corp. Дата публикации: 2024-10-31.

Gas shower device, chemical vapor deposition device and method

Номер патента: US09945031B2. Автор: Yong Jiang,Zhiyou Du. Владелец: Advanced Micro Fabrication Equipment Inc. Дата публикации: 2018-04-17.

Method of forming very reactive metal layers by a high vacuum plasma enhanced atomic layer deposition system

Номер патента: US09828673B2. Автор: Feng Niu,Peter Chow. Владелец: Svt Associates Inc. Дата публикации: 2017-11-28.

Selective area chemical vapor deposition

Номер патента: CA2028438C. Автор: Michael A. Pickering,Raymond L. Taylor,Joseph T. Keeley,Jitendra Singh Goela. Владелец: CVD Inc. Дата публикации: 1993-11-30.

Chemical vapor deposition

Номер патента: CA1251100A. Автор: Guy Brien,Richard Cloutier,Laszlo Szolgyemy,Edward C.D. Darwall. Владелец: Edward C.D. Darwall. Дата публикации: 1989-03-14.

Antimicrobial nanolaminates using vapor deposited methods

Номер патента: US20230072705A1. Автор: Prerna Goradia. Владелец: Individual. Дата публикации: 2023-03-09.

Tritertbutyl aluminum reactants for vapor deposition

Номер патента: US10556799B2. Автор: Mohith E. Verghese,Eric J. Shero. Владелец: ASM IP Holding BV. Дата публикации: 2020-02-11.

Antimicrobial nanolaminates using vapor deposited methods such as atomic layer deposition

Номер патента: WO2023031951A1. Автор: Prerna Goradia,Neil Amit GORADIA. Владелец: Prerna Goradia. Дата публикации: 2023-03-09.

Tritertbutyl aluminum reactants for vapor deposition

Номер патента: US20170096345A1. Автор: Mohith E. Verghese,Eric J. Shero. Владелец: ASM IP Holding BV. Дата публикации: 2017-04-06.

Chemical vapor deposition functionalization

Номер патента: US20160059260A1. Автор: David A. Smith,Paul H. Silvis. Владелец: Silcotek Corp. Дата публикации: 2016-03-03.

Devices including a gas barrier layer

Номер патента: US20150248905A1. Автор: Michael Allen Seigler,Kurt W. Wierman,Yuhang Cheng,Scott Franzen,Ed F. Rejda. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2015-09-03.

Tritertbutyl aluminum reactants for vapor deposition

Номер патента: US20180339907A1. Автор: Mohith E. Verghese,Eric J. Shero. Владелец: ASM IP Holding BV. Дата публикации: 2018-11-29.

Method of forming very reactive metal layers by a high vacuum plasma enhanced atomic layer deposition system

Номер патента: US20160083842A1. Автор: Feng Niu,Peter Chow. Владелец: Individual. Дата публикации: 2016-03-24.

Pneumatic feeding device for high-density pond culture

Номер патента: LU501561B1. Автор: Xiaolong Chen. Владелец: Fishery Machinery & Instrument Res Inst Cafs. Дата публикации: 2023-09-05.

Manufacturing of diffractive pigments by fluidized bed chemical vapor deposition

Номер патента: US09732228B2. Автор: Alberto Argoitia. Владелец: VIAVI SOLUTIONS INC. Дата публикации: 2017-08-15.

Organic polymeric antireflective coatings deposited by chemical vapor deposition

Номер патента: CA2400157A1. Автор: Ram W. Sabnis,Terry Brewer,Douglas Guerrero,Mary J. Spencer. Владелец: Individual. Дата публикации: 2001-08-30.

Inverted diffusion stagnation point flow reactor for vapor deposition of thin films

Номер патента: CA2016970A1. Автор: Prasad N. Gadgil. Владелец: SIMON FRASER UNIVERSITY. Дата публикации: 1991-11-16.

Sintering of silicon nitride to high density

Номер патента: US4379110A. Автор: Svante Prochazka,Charles D. Greskovich,John A. Palm. Владелец: General Electric Co. Дата публикации: 1983-04-05.

Chemical vapor deposition method for the gaas thin film

Номер патента: CA1305910C. Автор: Seiji Kojima,Hiroshi Kikuchi,Masakiyo Ikeda,Yuzo Kashiwayanagi. Владелец: Furukawa Electric Co Ltd. Дата публикации: 1992-08-04.

Atmospheric pressure chemical vapor deposition apparatus

Номер патента: US4834020A. Автор: Lawrence D. Bartholomew,Nicholas M. Gralenski,Michael A. Richie,Michael L. Hersh. Владелец: Watkins Johnson Co. Дата публикации: 1989-05-30.

Method of producing tungsten rhenium alloys by chemical vapor deposition

Номер патента: US3637374A. Автор: Frederick A Glaski,Robert A Holzi,James R Humphrey. Владелец: Fansteel Inc. Дата публикации: 1972-01-25.

Chemical vapor deposition process for depositing titanium nitride films from an organo-metallic compound

Номер патента: US20030165619A1. Автор: Salman Akram. Владелец: Individual. Дата публикации: 2003-09-04.

Method of forming a film on a substrate by chemical vapor deposition

Номер патента: US11885022B2. Автор: Waichi Yamamura,Chikara MORI. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-01-30.

Gas injection system for chemical vapor deposition using sequenced valves

Номер патента: WO2012082225A1. Автор: William E. Quinn,Eric A. Armour. Владелец: VEECO INSTRUMENTS INC.. Дата публикации: 2012-06-21.

Gas Injection System For Chemical Vapor Deposition Using Sequenced Valves

Номер патента: US20160168710A1. Автор: William E. Quinn,Eric A. Armour. Владелец: Veeco Instruments Inc. Дата публикации: 2016-06-16.

Devices including a gas barrier layer

Номер патента: US20160035379A1. Автор: Michael Allen Seigler,Kurt W. Wierman,Yuhang Cheng,Scott Franzen,Ed F. Rejda. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2016-02-04.

Chemical vapor deposition method of silicon dioxide film

Номер патента: US5360646A. Автор: Katsumi Morita. Владелец: Applied Materials Inc. Дата публикации: 1994-11-01.

Chemical vapor deposition apparatus

Номер патента: US8298338B2. Автор: Ji Hye Shim,Changsung Sean KIM,Sang Duk Yoo,Jong Pa HONG,Won Shin LEE. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2012-10-30.

Apparatus for producing diamonds by chemical vapor deposition and articles produced therefrom

Номер патента: US5204145A. Автор: Steven M. Gasworth. Владелец: General Electric Co. Дата публикации: 1993-04-20.

Apparatus and method for high-accuracy alignment

Номер патента: US5317141A. Автор: Michael E. Thomas. Владелец: National Semiconductor Corp. Дата публикации: 1994-05-31.

Combination gas curtains for continuous chemical vapor deposition production of silicon bodies

Номер патента: CA1178179A. Автор: Henry W. Gutsche. Владелец: Monsanto Co. Дата публикации: 1984-11-20.

High density silicon nitride bodies

Номер патента: US4879080A. Автор: Martin Y. Hsieh. Владелец: GTE Products Corp. Дата публикации: 1989-11-07.

High density high strength alpha sialon based article and process for producing same

Номер патента: US5032553A. Автор: Christopher A. Tarry. Владелец: GTE Products Corp. Дата публикации: 1991-07-16.

Producing coated textiles using photo-initiated chemical vapor deposition

Номер патента: CA3217114A1. Автор: Adrian J. BEACH,Sayantani NANDY,Trisha Lionel Andrew. Владелец: Individual. Дата публикации: 2022-11-03.

Method of chemical vapor deposition of metal films

Номер патента: EP1021589A1. Автор: Chantal Arena,Joseph T. Hillman,Emmanuel Guidotti,Ronald T. Bertram. Владелец: Tokyo Electron Arizona Inc. Дата публикации: 2000-07-26.

Producing coated textiles using photo-initiated chemical vapor deposition

Номер патента: WO2022232583A8. Автор: Adrian J. BEACH,Sayantani NANDY,Trisha Lionel Andrew. Владелец: Soliyarn, Llc. Дата публикации: 2023-12-21.

Chemical vapor deposition reactor in polysilicon production process

Номер патента: US20240084480A1. Автор: David Keck,Chad Fero. Владелец: Advanced Material Solutions. Дата публикации: 2024-03-14.

Producing coated textiles using photo-initiated chemical vapor deposition

Номер патента: US20240209567A1. Автор: Adrian J. BEACH,Sayantani NANDY,Trisha Lionel Andrew. Владелец: Soliyarn Inc. Дата публикации: 2024-06-27.

Modular tray for solid chemical vaporizing chamber

Номер патента: WO2023059827A1. Автор: Jacob Thomas,John N. Gregg,Scott L. Battle,Benjamin H. OLSON. Владелец: ENTEGRIS, INC.. Дата публикации: 2023-04-13.

Methods and systems for stabilizing filaments in a chemical vapor deposition reactor

Номер патента: MY174019A. Автор: Wenjun Qin,Aaron D Rhodes,Chad Fero,Jeffrey C Gum. Владелец: OCI Co Ltd. Дата публикации: 2020-03-04.

Methods and systems for stabilizing filaments in a chemical vapor deposition reactor

Номер патента: WO2014100401A1. Автор: Jeffrey C. Gum,Wenjun Qin,Chad Fero,Aaron D. RHODES. Владелец: GTAT CORPORATION. Дата публикации: 2014-06-26.

Modular tray for solid chemical vaporizing chamber

Номер патента: WO2023059827A9. Автор: Jacob Thomas,John N. Gregg,Scott L. Battle,Benjamin H. OLSON. Владелец: ENTEGRIS, INC.. Дата публикации: 2024-02-15.

Methods for forming a boron nitride film by a plasma enhanced atomic layer deposition process

Номер патента: US20230272527A1. Автор: Atsuki Fukazawa. Владелец: ASM IP Holding BV. Дата публикации: 2023-08-31.

Wafer carrier and metal organic chemical vapor deposition apparatus

Номер патента: US20220064791A1. Автор: Yen-Lin LAI,Jyun-De Wu,Shen-Jie Wang,Chien-Chih Yen. Владелец: PlayNitride Display Co Ltd. Дата публикации: 2022-03-03.

Modular tray for solid chemical vaporizing chamber

Номер патента: EP4413177A1. Автор: Jacob Thomas,John N. Gregg,Scott L. Battle,Benjamin H. OLSON. Владелец: Entegris Inc. Дата публикации: 2024-08-14.

Methods and Systems for Stabilizing Filaments in a Chemical Vapor Deposition Reactor

Номер патента: US20140170337A1. Автор: Jeffrey C. Gum,Wenjun Qin,Chad Fero,Aaron Dean Rhodes. Владелец: GTAT Corp. Дата публикации: 2014-06-19.

Gas distribution for chemical vapor deposition/infiltration

Номер патента: US20200040447A1. Автор: Ying She,Zissis A. Dardas,Xiaodan Cai,Thomas P. Filburn,Naveen G. Menon. Владелец: Goodrich Corp. Дата публикации: 2020-02-06.

Gas distribution for chemical vapor deposition/infiltration

Номер патента: US10975467B2. Автор: Ying She,Zissis A. Dardas,Xiaodan Cai,Thomas P. Filburn,Naveen G. Menon. Владелец: Goodrich Corp. Дата публикации: 2021-04-13.

Gas distribution for chemical vapor deposition/infiltration

Номер патента: US20190085446A1. Автор: Ying She,Zissis A. Dardas,Xiaodan Cai,Thomas P. Filburn,Naveen G. Menon. Владелец: Goodrich Corp. Дата публикации: 2019-03-21.

Auxiliary gasline-heating unit in chemical vapor deposition

Номер патента: US20010042930A1. Автор: Chien-Hsin Lai,Juen-Kuen Lin,Peng-Yih Peng,Fu-Yang Yu. Владелец: Individual. Дата публикации: 2001-11-22.

Chemical vapor deposition apparatus

Номер патента: WO2023160793A1. Автор: Hristo Strakov,Vasileios PAPAGEORGIOU,Manfred Pfitzner,Anja BÄUMCHEN. Владелец: Ihi Bernex Ag. Дата публикации: 2023-08-31.

Compounds for forming alumina films using chemical vapor deposition method and process for preparing the compound

Номер патента: US20030010256A1. Автор: Hyun-koock Shin. Владелец: Shipley Co LLC. Дата публикации: 2003-01-16.

Lightpipe for high temperature substrate processing

Номер патента: US20240142310A1. Автор: Ji-Dih Hu. Владелец: Veeco Instruments Inc. Дата публикации: 2024-05-02.

Lightpipe for high temperature substrate processing

Номер патента: WO2024091827A3. Автор: Ji-Dih Hu. Владелец: VEECO INSTRUMENTS INC.. Дата публикации: 2024-07-04.

Lightpipe for high temperature substrate processing

Номер патента: WO2024091827A2. Автор: Ji-Dih Hu. Владелец: VEECO INSTRUMENTS INC.. Дата публикации: 2024-05-02.

Methods and systems for stabilizing filaments in a chemical vapor deposition reactor

Номер патента: US09701541B2. Автор: Jeffrey C. Gum,Wenjun Qin,Chad Fero,Aaron Dean Rhodes. Владелец: OCI Co Ltd. Дата публикации: 2017-07-11.

Chemical vapor deposition using N,O polydentate ligand complexes of metals

Номер патента: US09528182B2. Автор: Gary S. Silverman,Roman Y. Korotkov,Martin E. Bluhm. Владелец: Arkema Inc. Дата публикации: 2016-12-27.

Shower head unit and chemical vapor deposition apparatus

Номер патента: US09493875B2. Автор: Pyung-Yong Um. Владелец: Eugene Technology Co Ltd. Дата публикации: 2016-11-15.

Apparatus for low pressure chemical vapor deposition

Номер патента: US5441570A. Автор: Chul-Ju Hwang. Владелец: Jein Technics Co Ltd. Дата публикации: 1995-08-15.

Chemical vapor deposition method

Номер патента: US3565676A. Автор: Robert A Holzl. Владелец: Fansteel Inc. Дата публикации: 1971-02-23.

Chemical vapor deposition

Номер патента: US5871586A. Автор: John A. Crawley,Victor J. Saywell. Владелец: Thomas Swan and Co Ltd. Дата публикации: 1999-02-16.

Chemical vapor deposition wafer boat

Номер патента: CA1234972A. Автор: Arthur J. Learn,Dale R. DuBois. Владелец: Anicon Inc. Дата публикации: 1988-04-12.

Plasma-enhanced vacuum drying

Номер патента: CA2160208A1. Автор: Robert M. Spencer,Tralance O. Addy. Владелец: Johnson & Johnson Medical, Inc.. Дата публикации: 1996-04-12.

Apparatus for growing epitaxial layers on wafers by chemical vapor deposition

Номер патента: US6547876B2. Автор: Michael Spencer,Ian Ferguson,Alexander Gurary. Владелец: Emcore Corp. Дата публикации: 2003-04-15.

Plasma enhanced polymer ultra-thin multi-layer packaging

Номер патента: CA2770610C. Автор: Juergen Burger,Herbert Keppner,Andreas Hogg,Thierry Aellen. Владелец: INTEGRA LIFESCIENCES SWITZERLAND SÀRL. Дата публикации: 2018-12-11.

Method of forming high density beta silicon nitride

Номер патента: CA1092325A. Автор: Gerald Q. Weaver. Владелец: Norton Co. Дата публикации: 1980-12-30.

Gas delivering apparatus for chemical vapor deposition

Номер патента: US6123776A. Автор: Kuen-Jian Chen,Horng-Bor Lu. Владелец: United Microelectronics Corp. Дата публикации: 2000-09-26.

Chemical vapor deposition apparatus

Номер патента: US5803974A. Автор: Tadahiro Ohmi,Nobumasa Suzuki,Nobuo Mikoshiba,Kazuo Tsubouchi,Kazuya Masu. Владелец: Canon Inc. Дата публикации: 1998-09-08.

Plasma-enhanced vacuum drying

Номер патента: US6060019A. Автор: Robert M. Spencer,Tralance O. Addy. Владелец: Ethicon Inc. Дата публикации: 2000-05-09.

Chemical vapor deposition apparatus

Номер патента: US4539933A. Автор: Dale R. DuBois,Bryant A. Campbell,Nicholas E. Miller,Ralph F. Manriquez. Владелец: Anicon Inc. Дата публикации: 1985-09-10.

Organometallic antimony compounds useful in chemical vapor deposition processes

Номер патента: US4960916A. Автор: John C. Pazik. Владелец: US Department of Navy. Дата публикации: 1990-10-02.

Apparatus and method for chemical vapor deposition control

Номер патента: EP2580368A1. Автор: Eric J. Strang,Jacques Faguet,Eric M. Lee. Владелец: Tokyo Electron Ltd. Дата публикации: 2013-04-17.

Metal organic chemical vapor deposition device

Номер патента: US20240167159A1. Автор: Sung-Chul Choi,Kwang-Il Cho. Владелец: Tes Co Ltd. Дата публикации: 2024-05-23.

Depositing ruthenium films using ionized physical vapor deposition (ipvd)

Номер патента: WO2007118042A2. Автор: Frank M. Cerio, Jr.. Владелец: Tokyo Electron America, Inc.. Дата публикации: 2007-10-18.

Multicathode pvd system for high aspect ratio barrier seed deposition

Номер патента: US20240247365A1. Автор: Harish V. PENMETHSA,Ming-Jui LI. Владелец: Applied Materials Inc. Дата публикации: 2024-07-25.

Deposition metalizing bulk material by chemical vapor

Номер патента: US4606941A. Автор: William C. Jenkin. Владелец: Jenkin William C. Дата публикации: 1986-08-19.

Chemical vapor deposition manifold

Номер патента: US6024799A. Автор: Karl Anthony Littau,Chen-An Chen. Владелец: Applied Materials Inc. Дата публикации: 2000-02-15.

Radiation heated reactor for chemical vapor deposition on substrates

Номер патента: US4263872A. Автор: Vladimir S. Ban. Владелец: RCA Corp. Дата публикации: 1981-04-28.

Chemical vapor deposition method for the thin film of semiconductor

Номер патента: CA1268688A. Автор: Seiji Kojima,Hiroshi Kikuchi,Masakiyo Ikeda,Yuzo Kashiwayanagi. Владелец: Furukawa Electric Co Ltd. Дата публикации: 1990-05-08.

Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes

Номер патента: US5230929A. Автор: Gerardo Caporiccio,Riccardo D'agostino,Pietro Favia. Владелец: Dow Corning Corp. Дата публикации: 1993-07-27.

Method of chemical vapor deposition in a continuous treatment line

Номер патента: US5352490A. Автор: Masahiro Abe,Kazuhisa Okada,Shuzo Fukuda. Владелец: NKK Corp. Дата публикации: 1994-10-04.

Apparatus for chemical vapor deposition (CVD) with showerhead

Номер патента: US8298370B2. Автор: Chul Soo Byun. Владелец: Piezonics Co Ltd. Дата публикации: 2012-10-30.

Chemical vapor deposition of mullite coatings and powders

Номер патента: AU4963496A. Автор: Rao Mulpuri,Vinod Sarin. Владелец: Boston University. Дата публикации: 1996-07-24.

Method for chemical vapor deposition of titanium nitride films at low temperatures

Номер патента: US5378501A. Автор: Robert F. Foster,Joseph T. Hillman. Владелец: Materials Research Corp. Дата публикации: 1995-01-03.

Chemical vapor deposition process

Номер патента: US4547404A. Автор: Bryant A. Campbell,Nicholas E. Miller. Владелец: Anicon Inc. Дата публикации: 1985-10-15.

Internal coating of a glass tube by plasma pulse-induced chemical vapor deposition

Номер патента: US5059231A. Автор: Volker Paquet,Ulrich Ackermann,Hartmut Bauch. Владелец: Schott Glaswerke AG. Дата публикации: 1991-10-22.

Chemical vapor deposition method for the thin film of semiconductor

Номер патента: US4705700A. Автор: Seiji Kojima,Hiroshi Kikuchi,Masakiyo Ikeda,Yuzo Kashiwayanagi. Владелец: Furukawa Electric Co Ltd. Дата публикации: 1987-11-10.

Halogen-assisted chemical vapor deposition of diamond

Номер патента: US5071677A. Автор: Donald E. Patterson,Robert H. Hauge,John L. Margrave,C. Judith Chu. Владелец: Houston Advanced Research Center HARC. Дата публикации: 1991-12-10.

Halogen-assisted chemical vapor deposition of diamond

Номер патента: WO1992019791A1. Автор: Donald E. Patterson,Robert H. Hauge,Judith C. Chu,John L. Margrave. Владелец: Houston Advanced Research Center. Дата публикации: 1992-11-12.

Directed vapor deposition and assembly of polymer micro - and nanostructures

Номер патента: WO2023081751A1. Автор: Kenneth K.S. Lau,Zhengtao CHEN,Tien Hong NGUYEN. Владелец: DREXEL UNIVERSITY. Дата публикации: 2023-05-11.

Chemical vapor deposition method and apparatus

Номер патента: EP3377671A1. Автор: Daniel J. DESROSIER,Chad R. FERO. Владелец: GTAT Corp. Дата публикации: 2018-09-26.

Silicon-rich silicon nitrides as etch stops in mems manufacture

Номер патента: WO2007084317A2. Автор: Teruo Sasagawa,Wonsuk Chung,Steve Zee. Владелец: QUALCOMM MEMS Technologies, Inc.. Дата публикации: 2007-07-26.

Additive chemical vapor deposition methods and systems

Номер патента: EP4208583A1. Автор: Dmitri S. Terekhov. Владелец: Tcm Research Ltd. Дата публикации: 2023-07-12.

Additive chemical vapor deposition methods and systems

Номер патента: CA3193161A1. Автор: Dmitri S. Terekhov. Владелец: Tcm Research Ltd. Дата публикации: 2022-03-10.

Methods of vapor deposition of ruthenium using an oxygen-free co-reactant

Номер патента: US11976352B2. Автор: Jacob Woodruff,Guo Liu,Ravindra Kanjolia. Владелец: Merck Patent GmBH. Дата публикации: 2024-05-07.

Photonic integrated circuit structure with polarization device for high power applications

Номер патента: US20230417989A1. Автор: Yusheng Bian. Владелец: GlobalFoundries US Inc. Дата публикации: 2023-12-28.

Photonic integrated circuit structure with polarization device for high power applications

Номер патента: US20240241313A1. Автор: Yusheng Bian. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-07-18.

Method for high-density microarray mediated gene expression profiling

Номер патента: US20040002094A1. Автор: Yan Wei,Robert Larossa. Владелец: Individual. Дата публикации: 2004-01-01.

Pallet tower for high density pallet storage and method

Номер патента: CA3240167A1. Автор: Paul Redman. Владелец: Qtek Design Ltd. Дата публикации: 2023-06-15.

Metalloboranes for high density hydrogen storage

Номер патента: US10125151B2. Автор: Alireza AKBARZADEH,Christopher John Tymczak,Daniel Vrinceanu. Владелец: Texas Southern University. Дата публикации: 2018-11-13.

Compressor resources for high density storage units

Номер патента: US09778885B2. Автор: Rodney N. Mullendore,Radoslav Danilak. Владелец: Skyera LLC. Дата публикации: 2017-10-03.

Monolithic tape head and actuator for high density recording

Номер патента: US09466334B1. Автор: Robert G. Biskeborn,David H. F. Harper. Владелец: International Business Machines Corp. Дата публикации: 2016-10-11.

Chemical vapor deposition wafer boat

Номер патента: US4694778A. Автор: Arthur J. Learn,Dale R. DuBois. Владелец: Anicon Inc. Дата публикации: 1987-09-22.

Exhaust system for chemical vapor deposition apparatus

Номер патента: US4608063A. Автор: Takashi Kurokawa. Владелец: Canon Inc. Дата публикации: 1986-08-26.

Chemical vapor deposition apparatus for forming thin film

Номер патента: US5209182A. Автор: Tomohiro Ohta,Eiichi Kondoh,Kenichi Otsuka,Tohru Mitomo,Hiroshi Sekihashi. Владелец: Kawasaki Steel Corp. Дата публикации: 1993-05-11.

Chemical vapor deposition chamber having an adjustable flow flange

Номер патента: US6080241A. Автор: Tingkai Li,Dane C. Scott,Brian Wyckoff. Владелец: Emcore Corp. Дата публикации: 2000-06-27.

Method for etching and controlled chemical vapor deposition

Номер патента: US4468283A. Автор: Irfan Ahmed. Владелец: Individual. Дата публикации: 1984-08-28.

Chemical vapor deposition apparatus

Номер патента: US7217326B2. Автор: Ho Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-05-15.

Chemical vapor deposition system cleaner

Номер патента: US5109562A. Автор: John W. Albrecht. Владелец: C V D System Cleaners Corp. Дата публикации: 1992-05-05.

Precursor for chemical vapor deposition and thin film formation process using the same

Номер патента: US20040086643A1. Автор: Hiroki Sato,Kazuhisa Onozawa. Владелец: Asahi Denka Kogyo KK. Дата публикации: 2004-05-06.

Chemical vapor deposition of aluminum films using dimethylethylamine alane

Номер патента: US5191099A. Автор: Everett C. Phillips,Wayne L. Gladfelter. Владелец: University of Minnesota. Дата публикации: 1993-03-02.

Chemical vapor deposition

Номер патента: CA1087040A. Автор: Jeffrey N. Crosby,Robert S. Hanley. Владелец: Vale Canada Ltd. Дата публикации: 1980-10-07.

Hot pressed, high strength silicon nitride

Номер патента: US3830652A. Автор: G Gazza. Владелец: US Department of Army. Дата публикации: 1974-08-20.

Chemical vapor deposition

Номер патента: US4250210A. Автор: Jeffrey N. Crosby,Robert S. Hanley. Владелец: International Nickel Co Inc. Дата публикации: 1981-02-10.

Chemical vapor deposition apparatus

Номер патента: CA1216419A. Автор: Dale R. DuBois,Bryant A. Campbell,Nicholas E. Miller,Ralph F. Manriquez. Владелец: Anicon Inc. Дата публикации: 1987-01-13.

Pyrolytic chemical vapor deposition of silicone films

Номер патента: US6045877A. Автор: Karen K. Gleason,Michael C. Kwan. Владелец: Massachusetts Institute of Technology. Дата публикации: 2000-04-04.

Chemical vapor deposition apparatus

Номер патента: US20040200413A1. Автор: Ho Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-10-14.

Chemically vapor deposited saw guides

Номер патента: US5415069A. Автор: Jerry Collins,John Hoover,Al Latham. Владелец: Texas Instruments Inc. Дата публикации: 1995-05-16.

Chemical vapor deposition method and apparatus

Номер патента: US4638762A. Автор: Montri Viriyayuthakorn,Myung K. Kim. Владелец: AT&T Technologies Inc. Дата публикации: 1987-01-27.

Chemical vapor deposition apparatus for flat display

Номер патента: CN101016622A. Автор: 金南珍,金俊洙. Владелец: SFA Engineering Corp. Дата публикации: 2007-08-15.

Gas distribution for chemical vapor deposition/infiltration

Номер патента: US10480065B2. Автор: Ying She,Zissis A. Dardas,Xiaodan Cai,Thomas P. Filburn,Naveen G. Menon. Владелец: Goodrich Corp. Дата публикации: 2019-11-19.

Single ended ultra-high vacuum chemical vapor deposition (uhv/cvd) reactor

Номер патента: US5181964A. Автор: Bernard S. Meyerson. Владелец: International Business Machines Corp. Дата публикации: 1993-01-26.

Thermal ink jet with chemical vapor deposited nozzle plate

Номер патента: CA2506728C. Автор: Kia Silverbrook. Владелец: SILVERBROOK RESEARCH PTY LTD. Дата публикации: 2010-08-24.

Seal configuration for high density lubrication oils

Номер патента: WO2024039747A1. Автор: Charles Collins,David Tanner,Ryan Semple,Aron MEYER. Владелец: Baker Hughes Oilfield Operations LLC. Дата публикации: 2024-02-22.

Seal configuration for high density lubrication oils

Номер патента: US20240060502A1. Автор: Charles Collins,David Tanner,Ryan Semple,Aron MEYER. Владелец: Baker Hughes Oilfield Operations LLC. Дата публикации: 2024-02-22.

Vertical chemical vapor deposition apparatus having nozzle for spraying reaction gas toward wafers

Номер патента: US20090159004A1. Автор: Takahiro Yoshioka. Владелец: Elpida Memory Inc. Дата публикации: 2009-06-25.

A method for operating a chemical vapor deposition process

Номер патента: SE2250842A1. Автор: Henrik Pedersen,Jens Birch,Choolakkal Arun Haridas. Владелец: CANATU OY. Дата публикации: 2024-01-05.

Chemical vapor deposition apparatus

Номер патента: US20230105104A1. Автор: Jinsan Moon,Wonbae Park. Владелец: LG ELECTRONICS INC. Дата публикации: 2023-04-06.

Chemical vapor deposition during additive manufacturing

Номер патента: US11851763B2. Автор: Scott Alan Gold. Владелец: General Electric Co. Дата публикации: 2023-12-26.

A method for operating a chemical vapor deposition process

Номер патента: WO2024008472A2. Автор: Henrik Pedersen,Jens Birch,Arun HARIDAS CHOOLAKKAL. Владелец: CANATU OY. Дата публикации: 2024-01-11.

In-situ solid chemical vapor deposition precursor delivery

Номер патента: US20240060178A1. Автор: Ying She,Olivier H. Sudre,Jun NABLE. Владелец: Raytheon Technologies Corp. Дата публикации: 2024-02-22.

High-density magneto-optical disk apparatus

Номер патента: US5719830A. Автор: Yong-Jae Lee,Kyo-bang Chung. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1998-02-17.

In-situ solid chemical vapor deposition precursor delivery

Номер патента: EP4328351A1. Автор: Ying She,Olivier H. Sudre,Jun NABLE. Владелец: RTX Corp. Дата публикации: 2024-02-28.

System for machining seed rods for use in a chemical vapor deposition polysilicon reactor

Номер патента: WO2013135631A1. Автор: Rodolfo Bovo,Paolo Molino. Владелец: MEMC Electronic Materials S.p.A.. Дата публикации: 2013-09-19.

Selenophene-Based Low Band Gap Active Layers by Chemical Vapor Deposition

Номер патента: US20130089659A1. Автор: Karen K. Gleason,Dhiman Bhattacharyya. Владелец: Massachusetts Institute of Technology. Дата публикации: 2013-04-11.

A method for operating a chemical vapor deposition process

Номер патента: WO2024008472A3. Автор: Henrik Pedersen,Jens Birch,Arun HARIDAS CHOOLAKKAL. Владелец: CANATU OY. Дата публикации: 2024-02-29.

Chemical vapor deposition during additive manufacturing

Номер патента: US20240076779A1. Автор: Scott Alan Gold. Владелец: General Electric Co. Дата публикации: 2024-03-07.

Multi-disc chemical vapor deposition system

Номер патента: WO2024118468A1. Автор: Bojan Mitrovic,Ajit Paranjpe,Alexander Gurary. Владелец: VEECO INSTRUMENTS INC.. Дата публикации: 2024-06-06.

Multi-disc chemical vapor deposition system with cross flow gas injection

Номер патента: WO2024118472A1. Автор: Johannes Kaeppeler,Ajit Paranjpe,Alexander Gurary. Владелец: VEECO INSTRUMENTS INC.. Дата публикации: 2024-06-06.

Multi-disc chemical vapor deposition system with cross flow gas injection

Номер патента: US20240175133A1. Автор: Johannes Kaeppeler,Ajit Paranjpe,Alexander Gurary. Владелец: Veeco Instruments Inc. Дата публикации: 2024-05-30.

Multi-disc chemical vapor deposition system

Номер патента: US20240175132A1. Автор: Bojan Mitrovic,Ajit Paranjpe,Alexander Gurary. Владелец: Veeco Instruments Inc. Дата публикации: 2024-05-30.

Species controlled chemical vapor deposition

Номер патента: US20170327950A1. Автор: Keith Daniel Humfeld,De'Andre James Cherry. Владелец: Boeing Co. Дата публикации: 2017-11-16.

System for machining seed rods for use in a chemical vapor deposition polysilicon reactor

Номер патента: EP2825350A1. Автор: Rodolfo Bovo,Paolo Molino. Владелец: SunEdison Inc. Дата публикации: 2015-01-21.

Selenophene-based low band gap active layers by chemical vapor deposition

Номер патента: WO2013095733A3. Автор: Karen K. Gleason,Dhiman Bhattacharyya. Владелец: Massachusetts Institute of Technology. Дата публикации: 2013-08-22.

Selenophene-based low band gap active layers by chemical vapor deposition

Номер патента: WO2013095733A2. Автор: Karen K. Gleason,Dhiman Bhattacharyya. Владелец: Massachusetts Institute of Technology. Дата публикации: 2013-06-27.

Gas barrier layered body and packaging bag

Номер патента: EP4403359A1. Автор: Hiroyuki Wakabayashi,Yumiko Kojima,Junichi Kaminaga,Yoshiki Koshiyama,Rika Ishii. Владелец: Toppan Holdings Inc. Дата публикации: 2024-07-24.

Methods for manufacturing silicon nitride materials

Номер патента: WO2023049804A1. Автор: Bryan J. McEntire,Ryan M. Bock,Bhajanjit Singh Bal,Clayton Ashcroft. Владелец: Sintx Technologies, Inc.. Дата публикации: 2023-03-30.

Methods for manufacturing silicon nitride materials

Номер патента: EP4404875A1. Автор: Bryan J. McEntire,Ryan M. Bock,Bhajanjit Singh Bal,Clayton Ashcroft. Владелец: Sintx Technologies Inc. Дата публикации: 2024-07-31.

Temperature sensor for high temperature

Номер патента: US09927303B2. Автор: Takashi Mihara,Mitsuaki Mochizuki. Владелец: Okazaki Manufacturing Co Ltd. Дата публикации: 2018-03-27.

Crosslinked polyethylene pipe having a high density polyethylene liner

Номер патента: US7086421B2. Автор: Arthur L. Backman,Carl M. Mahabir,Girish T. Dalal. Владелец: Noveon IP Holdings Corp. Дата публикации: 2006-08-08.

Silicon nitride sintered body and method of producing the same

Номер патента: CA1239943A. Автор: Minoru Matsui. Владелец: NGK Insulators Ltd. Дата публикации: 1988-08-02.

Method of molding silicon nitride ceramics

Номер патента: US5385701A. Автор: Akira Yamakawa,Tomoyuki Awazu,Osamu Komura,Yasushi Tsuzuki. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 1995-01-31.

Silicon nitride ceramic sintered bodies

Номер патента: US5017531A. Автор: Tadaaki Matsuhisa,Issei Hayakawa,Noriyuki Ukai,Mithuru Miyamoto. Владелец: NGK Insulators Ltd. Дата публикации: 1991-05-21.

High density magnetic storage system

Номер патента: US3930265A. Автор: Noboru Kimura. Владелец: Vrc California Inc. Дата публикации: 1975-12-30.

Valve construction for high density pulp cleaner

Номер патента: US5725104A. Автор: Gerald O. Walraven. Владелец: Individual. Дата публикации: 1998-03-10.

A plasma enhanced atomic layer deposition system

Номер патента: WO2006104863A3. Автор: Tadahiro Ishizaka,Kaoru Yamamoto,Tsukasa Matsuda,Jr Frankm Cerio. Владелец: Jr Frankm Cerio. Дата публикации: 2007-10-04.

High Damage Threshold and Highly Reliable Broad-band Mid-IR Coatings for High Power Fluoride Fiber Laser

Номер патента: US20230287557A1. Автор: Wei Lu,Feng Niu,Yimin Hu. Владелец: Individual. Дата публикации: 2023-09-14.

Optical pickup for high density recording/reproduction and method to detect a reproduction signal

Номер патента: US20020006101A1. Автор: Kun-Ho Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-01-17.

High damage threshold and highly reliable broad-band mid-IR coatings for high power fluoride fiber laser

Номер патента: US11959164B2. Автор: Wei Lu,Feng Niu,Yimin Hu. Владелец: Individual. Дата публикации: 2024-04-16.

A plasma enhanced atomic layer deposition system

Номер патента: WO2006104864A2. Автор: Tadahiro Ishizaka,Kaoru Yamamoto. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2006-10-05.

Optical Fiber Plug For High Density Optical Fiber Connections

Номер патента: US20130202252A1. Автор: Qiyue Wang,Zhigang Xia. Владелец: Sunsea Telecommunications Co Ltd. Дата публикации: 2013-08-08.

Silicon nitride containers for sintering silicon nitride

Номер патента: WO1992001898A1. Автор: James P. Edler. Владелец: EATON CORPORATION. Дата публикации: 1992-02-06.

Zirconia-coated silicon nitride sintered member

Номер патента: US4816349A. Автор: Tomonori Takahashi,Minoru Matsui. Владелец: NGK Insulators Ltd. Дата публикации: 1989-03-28.

Ceramic phase in silicon nitride containing cerium

Номер патента: WO1992001647A1. Автор: Bohdan Lisowsky,James P. Edler. Владелец: EATON CORPORATION. Дата публикации: 1992-02-06.

Silicon nitride wear resistant member and method for producing silicon nitride sintered compact

Номер патента: US09663407B2. Автор: Haruhiko Yamaguti. Владелец: Toshiba Materials Co Ltd. Дата публикации: 2017-05-30.

Vessel for high purity chemicals of carbon filled high density polyethylene

Номер патента: WO1995027754A1. Автор: Cor Jansen,Peter Marriott,Joachim Leifels. Владелец: Micro-Image Technology Ltd.. Дата публикации: 1995-10-19.

Stabilising mixture for high chlorine resistance

Номер патента: RU2408617C2. Автор: Майкл Е. ГЕЛБИН. Владелец: Кемтура Корпорейшн. Дата публикации: 2011-01-10.

High density magnetic storage system

Номер патента: CA1063718A. Автор: Noboru Kimura. Владелец: Vrc California Inc. Дата публикации: 1979-10-02.

Method for manufacturing a stamper for high-density recording discs

Номер патента: US5480763A. Автор: Tetsuya Kondo,Yoshikazu Nagai,Kei Murata,Katunori Ohshima. Владелец: Victor Company of Japan Ltd. Дата публикации: 1996-01-02.

Method and Device for High Density Optical Disk Data Storage

Номер патента: US20070086309A1. Автор: Jianwen Yang. Владелец: New Span Opto Tech Inc. Дата публикации: 2007-04-19.

Cold water solubility for high density detergent powders

Номер патента: US5415806A. Автор: John A. Hockey,Teri-Anne Pepe,Daniel J. Fox. Владелец: Lever Brothers Co. Дата публикации: 1995-05-16.

Method and apparatus for high density storage and handling of bit-plane data

Номер патента: US7593016B2. Автор: Woodrow L. Meeker. Владелец: Teranex Systems Inc. Дата публикации: 2009-09-22.

Polyethylene naphthalate film for high-density magnetic recording media of floppy disk

Номер патента: US4876137A. Автор: Shigeo Utsumi. Владелец: Diafoil Co Ltd. Дата публикации: 1989-10-24.

Fabrication process for high density powder composite hardfacing rod

Номер патента: EP1231014A3. Автор: Eric F. Drake,Harold Arthur Sreshta. Владелец: Camco International UK Ltd. Дата публикации: 2004-02-04.

Depositing ruthenium films using ionized physical vapor deposition (ipvd)

Номер патента: WO2007118042A3. Автор: Frank M Cerio Jr. Владелец: Frank M Cerio Jr. Дата публикации: 2008-11-27.

Silicon nitride sintered body, machine part, and bearing

Номер патента: EP4397643A1. Автор: Yuta Ito,Yasutake Hayakawa. Владелец: NTN Toyo Bearing Co Ltd. Дата публикации: 2024-07-10.

Sheet member, high-density region-containing sheet manufacturing method and disposable diaper using sheet member

Номер патента: EP2039504A4. Автор: Satoru Sakaguchi. Владелец: Unicharm Corp. Дата публикации: 2009-07-29.

Evoh barrier layer for particulate coffee

Номер патента: AU2007203581A1. Автор: Leonard S. Scarola. Владелец: Kraft Foods Holdings Inc. Дата публикации: 2008-02-21.

Barrier layers for anisotropic magneto-resistive sensors

Номер патента: US20240102830A1. Автор: Fuchao Wang,William French,Erika Mazotti,Ricky A. Jackson. Владелец: Texas Instruments Inc. Дата публикации: 2024-03-28.

Porous silicon nitride article and method for production thereof

Номер патента: EP1298111A4. Автор: Shinji Kawasaki,Hiroaki Sakai,Kenji Morimoto,Katsuhiro Inoue,Masaaki Masuda. Владелец: NGK Insulators Ltd. Дата публикации: 2007-02-14.

High density nanofluidics

Номер патента: US20190210020A1. Автор: Robert Dubrow,Andrew Golden,Laurent Menard,Hardeep Singh,Jeff KROGMEIER,Samrudhi SHARMA. Владелец: Genturi Inc. Дата публикации: 2019-07-11.

Silicon nitride vacuum furnace process

Номер патента: AU622092B2. Автор: Stephen D. Hartline,Normand P. Arsenault,Craig A. Willkins. Владелец: Norton Co. Дата публикации: 1992-03-26.

Conductive silicon nitride composite sintered body and a process for the production thereof

Номер патента: US20030155555A1. Автор: Masashi Yoshimura. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2003-08-21.

Sintered silicon nitride and method for producing the same

Номер патента: US20080220963A1. Автор: Naohito Yamada,Takahiro Takahashi,Kazuhiro Nobori,Hideyuki Baba. Владелец: NGK Insulators Ltd. Дата публикации: 2008-09-11.

Electroconductive silicon nitride based composite sintered body and method for preparation thereof

Номер патента: EP1361202A4. Автор: Masashi Yoshimura. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2004-07-28.

Barrier layer for glucose sensor

Номер патента: US09970940B2. Автор: William Paterson,Nicholas Paul Barwell,Barry Crane,Bruce Culbert. Владелец: Lightship Medical Ltd. Дата публикации: 2018-05-15.

Method of producing sintered silicon nitrides

Номер патента: US5394015A. Автор: Akira Yamakawa,Tomoyuki Awazu,Yasushi Tsuzuki. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 1995-02-28.

Silicon nitride sintered body and wear resistant member using the same

Номер патента: US09440887B2. Автор: Katsuyuki Aoki,Michiyasu Komatsu,Kai Funaki,Haruhiko Yamaguti. Владелец: Toshiba Materials Co Ltd. Дата публикации: 2016-09-13.

Transdermal patch incorporating active agent migration barrier layer

Номер патента: US09358373B2. Автор: II Kenneth J. Miller. Владелец: Mylan Technologies Inc. Дата публикации: 2016-06-07.

Multistage solvent extraction method for high-density oil pools

Номер патента: RU2547861C2. Автор: Джон НЕННИГЕР. Владелец: Н-Солв Хеви Ойл Корпорейшн. Дата публикации: 2015-04-10.

Crosslinking high density polyethylene with t-octyl silicon peroxides

Номер патента: US4245056A. Автор: Lawrence A. Bock,Reidar Halle. Владелец: Argus Chemical Corp. Дата публикации: 1981-01-13.

Methods and systems for high density RFID part scanning

Номер патента: US10163046B1. Автор: Edward Li,Kevin Yong Ung,Jack Fredrickson,William David Kelsey,John Jiang Yu,Brian James Smith. Владелец: Boeing Co. Дата публикации: 2018-12-25.

Tote bin for high density articles and material handling system

Номер патента: CA1057216A. Автор: Robert J. Troller. Владелец: CECOR. Дата публикации: 1979-06-26.

Lead-free glaze for high density alumina

Номер патента: US3927238A. Автор: Frank Vincent Dimarcello. Владелец: Bell Telephone Laboratories Inc. Дата публикации: 1975-12-16.

High density protein arrays for screening of protein activity

Номер патента: CA2408291C. Автор: Mark Reed,Michael Snyder,Heng Zhu,James Frank Klemic. Владелец: YALE UNIVERSITY. Дата публикации: 2014-07-15.

Plate Cooling Line for Semiconductor High Density Plasma-Chemical Vapor Deposition (HDP-CVD)

Номер патента: KR19980065203A. Автор: 정영철,김철희. Владелец: 김광호. Дата публикации: 1998-10-15.

Replacement Gate Approach for High-K Metal Gate Stacks Based on a Non-Conformal Interlayer Dielectric

Номер патента: US20120001263A1. Автор: Richter Ralf,Frohberg Kai. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

SILICON NITRIDE LIGHT PIPES FOR IMAGE SENSORS

Номер патента: US20120001284A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Chemical vapor deposition of metal compound coatings utilizing metal sub-halide

Номер патента: CA1224091A. Автор: M. Javid Hakim. Владелец: Liburdi Engineering Ltd. Дата публикации: 1987-07-14.

Masking techniques in chemical vapor deposition

Номер патента: CA1199715A. Автор: Robert D. Burnham. Владелец: Xerox Corp. Дата публикации: 1986-01-21.

Contact retention arrangement for high density cable connector assembly

Номер патента: CA2095684A1. Автор: Scott J. Lapraik,Eric D. Juntwait. Владелец: Eric D. Juntwait. Дата публикации: 1993-11-30.

METHOD OF FABRICATING A SOLAR CELL WITH A TUNNEL DIELECTRIC LAYER

Номер патента: US20120000528A1. Автор: Smith David,Dennis Tim,Harrington Scott,Manning Jane,Waldhauer Ann. Владелец: . Дата публикации: 2012-01-05.

High density ceramic blocks and composite armor comprising them

Номер патента: RU2462682C2. Автор: Майкл КОЭН. Владелец: Майкл КОЭН. Дата публикации: 2012-09-27.