• Главная
  • Divot-free planarization dielectric layer for replacement gate

Divot-free planarization dielectric layer for replacement gate

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Divot-free planarization dielectric layer for replacement gate

Номер патента: US20160276457A1. Автор: Sanjay Mehta,Hemanth Jagannathan. Владелец: International Business Machines Corp. Дата публикации: 2016-09-22.

Field-effect transistors with deposited gate dielectric layers

Номер патента: EP4421878A1. Автор: Francois Hebert,James A. Cooper,Hema Lata Rao MADDI. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-08-28.

Field-effect transistors with deposited gate dielectric layers

Номер патента: US20240290879A1. Автор: Francois Hebert,James A. Cooper,Hema Lata Rao MADDI. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-08-29.

Replacement Gate Process for FinFET

Номер патента: US20200013779A1. Автор: Yen-Ming Chen,Yee-Chia Yeo,Cheng-Yi Peng,Tsung-Yao Wen,Hung-Li Chiang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-09.

Replacement Gate Process for FinFET

Номер патента: US20190109134A1. Автор: Yen-Ming Chen,Yee-Chia Yeo,Cheng-Yi Peng,Tsung-Yao Wen,Hung-Li Chiang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-04-11.

Replacement Gate Process for FinFET

Номер патента: US20210082919A1. Автор: Yen-Ming Chen,Yee-Chia Yeo,Cheng-Yi Peng,Tsung-Yao Wen,Hung-Li Chiang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-03-18.

Capping layer for gate electrodes

Номер патента: US12080779B2. Автор: Teng-Chun Tsai,Huang-Lin Chao,Chin-Hsiang Lin,Akira Mineji. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-03.

Capping layer for gate electrodes

Номер патента: US20210057543A1. Автор: Teng-Chun Tsai,Huang-Lin Chao,Chin-Hsiang Lin,Akira Mineji. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-02-25.

Capping layer for guide electrodes

Номер патента: US20240363726A1. Автор: Teng-Chun Tsai,Huang-Lin Chao,Chin-Hsiang Lin,Akira Mineji. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Methods of forming replacement gate structures on finfet devices and the resulting devices

Номер патента: US20160133719A1. Автор: Xiuyu Cai,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2016-05-12.

Atomic layer deposited dielectric layers

Номер патента: EP1599899A2. Автор: Leonard Forbes,Kie Y. Ahn. Владелец: Micron Technology Inc. Дата публикации: 2005-11-30.

Integrated circuits including replacement gate structures and methods for fabricating the same

Номер патента: US20160163824A1. Автор: Min-Hwa Chi,Xusheng Wu,Dong-woon Shin. Владелец: Globalfoundries Inc. Дата публикации: 2016-06-09.

Dielectric layer for electronic devices

Номер патента: US20070145453A1. Автор: Yiliang Wu,Beng Ong. Владелец: Xerox Corp. Дата публикации: 2007-06-28.

DIVOT-FREE PLANARIZATION DIELECTRIC LAYER FOR REPLACEMENT GATE

Номер патента: US20150001598A1. Автор: Mehta Sanjay,Jagannathan Hemanth. Владелец: . Дата публикации: 2015-01-01.

Stacked field effect transistor devices with replacement gate

Номер патента: US12094937B2. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2024-09-17.

DIVOT-FREE PLANARIZATION DIELECTRIC LAYER FOR REPLACEMENT GATE

Номер патента: US20160276457A1. Автор: Mehta Sanjay,Jagannathan Hemanth. Владелец: . Дата публикации: 2016-09-22.

Fringe capacitance reduction for replacement gate CMOS

Номер патента: US09780192B2. Автор: Mahalingam Nandakumar,Hiroaki Niimi. Владелец: Texas Instruments Inc. Дата публикации: 2017-10-03.

Partially recessed channel core transistors in replacement gate flow

Номер патента: US20160284596A1. Автор: Mahalingam Nandakumar. Владелец: Texas Instruments Inc. Дата публикации: 2016-09-29.

Method for forming air gap between gate dielectric layer and spacer

Номер патента: US12107121B2. Автор: Zhi-Cheng Lee,Kai-Lin Lee,Chuang-Han Hsieh. Владелец: United Microelectronics Corp. Дата публикации: 2024-10-01.

Higher ‘K’ gate dielectric cap for replacement metal gate (RMG) FINFET devices

Номер патента: US09741720B1. Автор: Balaji Kannan,Shahab Siddiqui,Siddarth Krishnan. Владелец: Globalfoundries Inc. Дата публикации: 2017-08-22.

Replacement gate structure for enhancing conductivity

Номер патента: US09508826B2. Автор: Arvind Kumar,Anthony I. Chou,Sungjae Lee. Владелец: Globalfoundries Inc. Дата публикации: 2016-11-29.

Dielectric preservation in a replacement gate process

Номер патента: US09916982B1. Автор: John Zhang,Xusheng Wu,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2018-03-13.

Selector transistor with metal replacement gate wordline

Номер патента: US20210391386A1. Автор: Dafna Beery,Amitay Levi,Andrew J. Walker,Peter Cuevas. Владелец: Spin Assignment for Benefit of Creditors LLC. Дата публикации: 2021-12-16.

Semiconductor device structure with dielectric layer

Номер патента: US12051746B2. Автор: Chung-Liang Cheng,Ziwei Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-30.

Single diffusion breaks including stacked dielectric layers

Номер патента: US20220052193A1. Автор: Haiting Wang,Yue Hu,Sipeng Gu,Rinus LEE. Владелец: GlobalFoundries US Inc. Дата публикации: 2022-02-17.

Semiconductor device having a dielectric layer with different thicknesses and method for forming

Номер патента: US20180261676A1. Автор: Jan Claes,Jan Sonsky,Viet Thanh Dinh. Владелец: NXP BV. Дата публикации: 2018-09-13.

Controlled doping in a gate dielectric layer

Номер патента: US11777014B2. Автор: Shahaji B. More,Chandrashekhar Prakash SAVANT. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-03.

Controlled doping in a gate dielectric layer

Номер патента: US20230378329A1. Автор: Shahaji B. More,Chandrashekhar Prakash SAVANT. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

Method for manufacturing semiconductor structure and capable of controlling thicknesses of dielectric layers

Номер патента: US20210111180A1. Автор: Te-Hsun Hsu. Владелец: eMemory Technology Inc. Дата публикации: 2021-04-15.

Method for Producing a Semiconductor Device with a Vertical Dielectric Layer

Номер патента: US20140220758A1. Автор: Anton Mauder,Franz Hirler,Andreas Meiser. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2014-08-07.

Method to improve reliability of replacement gate device

Номер патента: US09972697B2. Автор: Takashi Ando,Vijay Narayanan,Eduard A. Cartier,Kisik Choi. Владелец: International Business Machines Corp. Дата публикации: 2018-05-15.

Method to improve reliability of replacement gate device

Номер патента: US09960252B2. Автор: Takashi Ando,Vijay Narayanan,Eduard A. Cartier,Kisik Choi. Владелец: International Business Machines Corp. Дата публикации: 2018-05-01.

Method to improve reliability of replacement gate device

Номер патента: US9391164B2. Автор: Takashi Ando,Vijay Narayanan,Eduard A. Cartier,Kisik Choi. Владелец: International Business Machines Corp. Дата публикации: 2016-07-12.

Replacement gate structures for semiconductor devices

Номер патента: US20130270656A1. Автор: HAO Zhang,Dina Triyoso. Владелец: Globalfoundries Inc. Дата публикации: 2013-10-17.

Dielectric layer stack for wide gate cut structures

Номер патента: US20240203739A1. Автор: Matthew J. Prince,Andrew Arnold,Alison V. DAVIS,Swapnadip Ghosh,Yulia Gotlib,Chun Chen Kuo,Cun Wen. Владелец: Intel Corp. Дата публикации: 2024-06-20.

Self-aligned silicidation for replacement gate process

Номер патента: US20130092957A1. Автор: Andreas Knorr,Thorsten Kammler,Akif Sultan,Indradeep SEN. Владелец: Globalfoundries Inc. Дата публикации: 2013-04-18.

Gap fill of metal stack in replacement gate process

Номер патента: US09935174B2. Автор: Victor Chan,Jin Ping HAN,Shangbin KO. Владелец: International Business Machines Corp. Дата публикации: 2018-04-03.

Gap fill of metal stack in replacement gate process

Номер патента: US09748358B2. Автор: Victor Chan,Jin Ping HAN,Shangbin KO. Владелец: International Business Machines Corp. Дата публикации: 2017-08-29.

Self-aligned contact structure for replacement metal gate

Номер патента: US20140377927A1. Автор: Soon-Cheon Seo,Alexander Reznicek,Balasubramanian S. Haran. Владелец: International Business Machines Corp. Дата публикации: 2014-12-25.

Self-aligned contact for replacement gate devices

Номер патента: US20130175587A1. Автор: Ying Li,Ravikumar Ramachandran,Richard S. Wise. Владелец: International Business Machines Corp. Дата публикации: 2013-07-11.

Inner L-spacer for replacement gate flow

Номер патента: US09768078B2. Автор: Seung-Chul Song,Brian K. Kirkpatrick,Chet Vernon Lenox. Владелец: Texas Instruments Inc. Дата публикации: 2017-09-19.

Inner l-spacer for replacement gate flow

Номер патента: US20150069516A1. Автор: Seung-Chul Song,Brian K. Kirkpatrick,Chet Vernon Lenox. Владелец: Texas Instruments Inc. Дата публикации: 2015-03-12.

Inner l-spacer for replacement gate flow

Номер патента: US20150311304A1. Автор: Seung-Chul Song,Brian K. Kirkpatrick,Chet Vernon Lenox. Владелец: Texas Instruments Inc. Дата публикации: 2015-10-29.

Inner l-spacer for replacement gate flow

Номер патента: US20150279966A1. Автор: Seung-Chul Song,Brian K. Kirkpatrick,Chet Vernon Lenox. Владелец: Texas Instruments Inc. Дата публикации: 2015-10-01.

Inner L-spacer for replacement gate flow

Номер патента: US9178037B2. Автор: Seung-Chul Song,Brian K. Kirkpatrick,Chet Vernon Lenox. Владелец: Texas Instruments Inc. Дата публикации: 2015-11-03.

Replacement gate with tera cap

Номер патента: US20060128055A1. Автор: Kangguo Cheng,Ramachandra Divakaruni,Kenneth Settlemyer. Владелец: International Business Machines Corp. Дата публикации: 2006-06-15.

Cyclical physical vapor deposition of dielectric layers

Номер патента: US20140273425A1. Автор: Paul Jamison,Juntao Li,Vamsi Paruchuri,Takaaki Tsunoda,Tuan A. Vo,Sanjay Shinde. Владелец: Canon Anelva Corp. Дата публикации: 2014-09-18.

Active regions with compatible dielectric layers

Номер патента: US09847420B2. Автор: Pushkar Ranade. Владелец: Intel Corp. Дата публикации: 2017-12-19.

Active regions with compatible dielectric layers

Номер патента: US09646822B2. Автор: Pushkar Ranade. Владелец: Intel Corp. Дата публикации: 2017-05-09.

Replacement gate process for semiconductor devices

Номер патента: US09917017B2. Автор: Ying-Ho Chen,Yung-Cheng Lu,Yu-Jen Shen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-13.

Replacement gate process for semiconductor devices

Номер патента: US20230386937A1. Автор: Ying-Ho Chen,Yung-Cheng Lu,Yu-Jen Shen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-30.

Etch stop layer for use in forming contacts that extend to multiple depths

Номер патента: US20200105886A1. Автор: Wei Hong,Hui Zang,Hsien-Ching Lo. Владелец: Globalfoundries Inc. Дата публикации: 2020-04-02.

Work Function Layers For Transistor Gate Electrodes

Номер патента: US20240363711A1. Автор: Huang-Lin Chao,Chung-Liang Cheng,Ziwei Fang,Chun-I Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Work function layers for transistor gate electrodes

Номер патента: US12132091B2. Автор: Huang-Lin Chao,Chung-Liang Cheng,Ziwei Fang,Chun-I Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-29.

Integrated circuits including replacement gate structures and methods for fabricating the same

Номер патента: US09761691B2. Автор: Min-Hwa Chi,Xusheng Wu,Dong-woon Shin. Владелец: Globalfoundries Inc. Дата публикации: 2017-09-12.

Method for Reducing Loss of Dielectric Layer in IO Silicon Oxide Removal Process

Номер патента: US20240071760A1. Автор: Zhenquan Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-02-29.

Verfahren zum Bilden von Replacement-Gate-Strukturen für vertikale Transistoren

Номер патента: DE102019208418A1. Автор: Steven Bentley,Steven Soss. Владелец: Globalfoundries Inc. Дата публикации: 2020-01-09.

Dual gate dielectric layers grown with an inhibitor layer

Номер патента: US20210305042A1. Автор: Damien Thomas Gilmore,Mark Francis Arendt. Владелец: Texas Instruments Inc. Дата публикации: 2021-09-30.

Dual gate dielectric layers grown with an inhibitor layer

Номер патента: US20220254627A1. Автор: Damien Thomas Gilmore,Mark Francis Arendt. Владелец: Texas Instruments Inc. Дата публикации: 2022-08-11.

Dual gate dielectric layers grown with an inhibitor layer

Номер патента: US11935740B2. Автор: Damien Thomas Gilmore,Mark Francis Arendt. Владелец: Texas Instruments Inc. Дата публикации: 2024-03-19.

Capacitor comprising anti-ferroelectric layers and high-k dielectric layers

Номер патента: US20240313040A1. Автор: Se Hun Kang. Владелец: SK hynix Inc. Дата публикации: 2024-09-19.

Integrated circuit including a stressed dielectric layer with stable stress

Номер патента: US20110316085A1. Автор: Huang Liu,Wei Lu,Luona Goh,Jeff Shu. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2011-12-29.

An integrated circuit including a stressed dielectric layer with stable stress

Номер патента: SG175578A1. Автор: Huang Liu,Wei Lu,Shu Jeff,Goh Luona. Владелец: Globalfoundries Sg Pte Ltd. Дата публикации: 2011-11-28.

Stressed dielectric layer with stable stress

Номер патента: SG155845A1. Автор: Lu Wei,Liu Huang,Luona Goh,Jeff Shu. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2009-10-29.

Cocktail layer over gate dielectric layer of FET FeRAM

Номер патента: US12127411B2. Автор: Hai-Ching Chen,Chung-Te Lin,Rainer Yen-Chieh Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-22.

Cocktail layer over gate dielectric layer of FET FeRAM

Номер патента: US11818896B2. Автор: Hai-Ching Chen,Chung-Te Lin,Rainer Yen-Chieh Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-14.

Cocktail layer over gate dielectric layer of fet feram

Номер патента: US20220254793A1. Автор: Hai-Ching Chen,Chung-Te Lin,Rainer Yen-Chieh Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-08-11.

Cocktail layer over gate dielectric layer of fet feram

Номер патента: US20220359544A1. Автор: Hai-Ching Chen,Chung-Te Lin,Rainer Yen-Chieh Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-10.

Cocktail layer over gate dielectric layer of fet feram

Номер патента: US20230380177A1. Автор: Hai-Ching Chen,Chung-Te Lin,Rainer Yen-Chieh Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

Nanosheet channel post replacement gate process

Номер патента: US20190229218A1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2019-07-25.

Process flow for replacement metal gate transistors

Номер патента: US20140377885A1. Автор: Ying Zhang,Steven Sherman. Владелец: Varian Semiconductor Equipment Associates Inc. Дата публикации: 2014-12-25.

Process flow for replacement metal gate transistors

Номер патента: WO2014204810A1. Автор: Ying Zhang,Steven Sherman. Владелец: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.. Дата публикации: 2014-12-24.

Method of forming gate dielectric layer for MOS transistor

Номер патента: US09761687B2. Автор: Po-Lun Cheng,Chun-Liang Chen,Meng-Che Yeh,Shih-Jung Tu,Han-Lin Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2017-09-12.

Selective capping of contact layer for cmos devices

Номер патента: US20240014076A1. Автор: BALASUBRAMANIAN Pranatharthiharan,Avgerinos V. Gelatos,Nicolas Louis BREIL. Владелец: Applied Materials Inc. Дата публикации: 2024-01-11.

Selective capping of contact layer for cmos devices

Номер патента: WO2024010660A1. Автор: BALASUBRAMANIAN Pranatharthiharan,Avgerinos V. Gelatos. Владелец: Breil, Nicolas Louis. Дата публикации: 2024-01-11.

Semiconductor Devices Including Dehydrogenated Interlayer Dielectric Layers

Номер патента: US20110163386A1. Автор: Dong-Suk Shin,Yong-kuk Jeong,Andrew-tae Kim. Владелец: Individual. Дата публикации: 2011-07-07.

Method for forming gate dielectric layer

Номер патента: US9312138B2. Автор: Chia-Ming Tsai,Miin-Jang Chen,Liang-Chen Chi,Chin-Kun Wang,Jhih-Jie Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-04-12.

Semiconductor device with conformal dielectric layer and fabricating method thereof

Номер патента: US11990539B2. Автор: Po-Yu YANG. Владелец: United Microelectronics Corp. Дата публикации: 2024-05-21.

Memory stacks having silicon nitride gate-to-gate dielectric layers and methods for forming the same

Номер патента: US11849582B2. Автор: Li Hong XIAO. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2023-12-19.

Integrated circuit with replacement gate stacks and method of forming same

Номер патента: US09589806B1. Автор: John A. Fitzsimmons,Unoh Kwon,Ruqiang Bao,Huihang Dong. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-07.

Replacement gate pfet materials having improved nbti performance

Номер патента: WO2016094126A1. Автор: Balaji Kannan,Takashi Ando,Vijay Narayanan. Владелец: Globalfoundries U.S.2 Llc. Дата публикации: 2016-06-16.

Method of fabricating a gate dielectric layer for a thin film transistor

Номер патента: US20020090767A1. Автор: David Jones,Richard Bullock. Владелец: ESM Ltd. Дата публикации: 2002-07-11.

Gate dielectric layer protection

Номер патента: US11769765B2. Автор: Yoshikazu Moriwaki. Владелец: Micron Technology Inc. Дата публикации: 2023-09-26.

Method for integrating replacement gate in semiconductor device

Номер патента: US20130005097A1. Автор: Qiuxia Xu,Gaobo Xu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-01-03.

Integrating formation of a replacement gate transistor and a non-volatile memory cell using a high-k dielectric

Номер патента: US20130330893A1. Автор: Mehul D. Shroff,Mark D. Hall. Владелец: Individual. Дата публикации: 2013-12-12.

Methods of forming interfacial layers for high-k gates by ozone oxidation

Номер патента: WO2004012237A3. Автор: Yoshihide Senzaki,Robert Herring. Владелец: Aviza Technology. Дата публикации: 2004-09-10.

High quality dielectric for hi-k last replacement gate transistors

Номер патента: US20150187659A1. Автор: Mahalingam Nandakumar,Hiroaki Niimi. Владелец: Texas Instruments Inc. Дата публикации: 2015-07-02.

Integrated circuit and method for fabricating the same having a replacement gate structure

Номер патента: US09666690B2. Автор: Hoon Kim,Kisik Choi. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-30.

Plasma-enhanced atomic layer deposition of conductive material over dielectric layers

Номер патента: WO2010088015A2. Автор: Dong Li,Steven Marcus,Robert B. Milligan. Владелец: ASM AMERICA, INC.. Дата публикации: 2010-08-05.

Plasma-enhanced atomic layer deposition of conductive material over dielectric layers

Номер патента: US20140008803A1. Автор: Dong Li,Steven Marcus,Robert B. Milligan. Владелец: ASM America Inc. Дата публикации: 2014-01-09.

Process for fabricating a structure having a buried dielectric layer of uniform thickness

Номер патента: US09929040B2. Автор: Carole David,Anne-Sophie Cocchi. Владелец: Soitec SA. Дата публикации: 2018-03-27.

Semiconductor device including multilayer stack including seed layer and high-k dielectric layer

Номер патента: US11664413B2. Автор: Se Ho LEE,Dong Ik SUH. Владелец: SK hynix Inc. Дата публикации: 2023-05-30.

Interconnect structure with a low permittivity dielectric layer

Номер патента: EP1019959A1. Автор: Robin Cheung,Charles May. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-07-19.

Method for forming a planarized dielectric layer

Номер патента: US5899751A. Автор: Ting-Chang Chang,Yu-Jane Mei. Владелец: United Microelectronics Corp. Дата публикации: 1999-05-04.

Etch stop layer for a metallization layer with enhanced etch selectivity and hermeticity

Номер патента: US20070096108A1. Автор: Matthias Lehr,Volker Kahlert,Joerg Hohage. Владелец: Individual. Дата публикации: 2007-05-03.

Electricoacoustic component with structured conductor and dielectric layer

Номер патента: US09941858B2. Автор: Werner Ruile,Markus Hauser,Christoph Eggs,Hans-Peter Kirschner. Владелец: SnapTrack Inc. Дата публикации: 2018-04-10.

Method of improving the planarizaton of an inter-metal dielectric layer

Номер патента: US5913142A. Автор: Ming-lun Chang. Владелец: Winbond Electronics Corp. Дата публикации: 1999-06-15.

Method for forming an inter-metal dielectric layer

Номер патента: US20010019883A1. Автор: Water Lur,Wen-Yi Hsieh,Chih-Chien Liu,Cheng-Yuan Tsai. Владелец: Individual. Дата публикации: 2001-09-06.

Capacitance dielectric layer, capacitor and forming method thereof

Номер патента: US20080113481A1. Автор: Chih-Chun Wang,Hsin-Hsing Chen,Yu-Ho Chiang. Владелец: United Microelectronics Corp. Дата публикации: 2008-05-15.

Methods for manufacturing a soft error and defect resistant pre-metal dielectric layer

Номер патента: US20050287782A1. Автор: Mark Nelson,John Naughton. Владелец: AMI Semiconductor Inc. Дата публикации: 2005-12-29.

Integrated circuit having ultralow-k dielectric layer

Номер патента: SG144066A1. Автор: Liu Huang,Lim Sin Leng,Johnny Widodo. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2008-07-29.

Method of forming an intermetal dielectric layer

Номер патента: US6410106B2. Автор: Ming-Sheng Yang,Chih-Chien Liu,Cheng-Yuan Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2002-06-25.

Capacitors, methods of forming capacitors, and methods of forming capacitor dielectric layers

Номер патента: US20030045050A1. Автор: John Moore,Scott DeBoer. Владелец: Individual. Дата публикации: 2003-03-06.

Method for fabricating dielectric layer with improved insulating properties

Номер патента: US20120190213A1. Автор: SHU QIN. Владелец: Nanya Technology Corp. Дата публикации: 2012-07-26.

Crack inhibited composite dielectric layer

Номер патента: US20040102055A1. Автор: Shi-Wei Wang,Ching-Lun Lai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2004-05-27.

Method of forming an intermetal dielectric layer

Номер патента: US20010001678A1. Автор: Ming-Sheng Yang,Chih-Chien Liu,Cheng-Yuan Tsai. Владелец: Individual. Дата публикации: 2001-05-24.

Method of forming a capacitor dielectric layer

Номер патента: US20030207592A1. Автор: John Moore,Scott DeBoer. Владелец: Individual. Дата публикации: 2003-11-06.

Integrated Circuit Having Ultralow-K Dielectric Layer

Номер патента: US20080153310A1. Автор: Huang Liu,Johnny Widodo,Sin Leng Lim. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2008-06-26.

Integrated circuit having ultralow-k dielectric layer

Номер патента: SG162775A1. Автор: Liu Huang,Lim Sin Leng,Johnny Widodo. Владелец: Globalfoundries Singapore Pte. Дата публикации: 2010-07-29.

Methods of forming semiconductor devices including low-k dielectric layer

Номер патента: US09633836B2. Автор: Kyu-hee Han,Seung-Hyuk Choi,Sang-hoon Ahn. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-04-25.

Method and system for forming a high-k dielectric layer

Номер патента: US20060228898A1. Автор: Gerrit Leusink,Masanobu Igeta,Cory Wajda. Владелец: Tokyo Electron Ltd. Дата публикации: 2006-10-12.

Planarizing dielectric

Номер патента: US5037876A. Автор: Siegfried Birkle,Rainer Leuschner,Albert Hammerschmidt,Johann Kammermaier,Rolf-W. Schulte. Владелец: SIEMENS AG. Дата публикации: 1991-08-06.

Methods of forming a silicon nitride film, a capacitor dielectric layer and a capacitor

Номер патента: US6077754A. Автор: Sujit Sharan,Gurtej S. Sandhu,Anand Srinivasan. Владелец: Individual. Дата публикации: 2000-06-20.

Dielectric layer for an electronic device

Номер патента: CA2704720C. Автор: Nan-Xing Hu,Ping Liu,Yiliang Wu. Владелец: Xerox Corp. Дата публикации: 2017-01-17.

Hi-k dielectric layer deposition methods

Номер патента: US20060270247A1. Автор: Kenneth Stein,Douglas Coolbaugh,Ebenezer Eshun,Kunal Vaed. Владелец: International Business Machines Corp. Дата публикации: 2006-11-30.

Method of fabricating dielectric layer

Номер патента: US6245617B1. Автор: Tang Yu,Yi-Chang Yang. Владелец: United Microelectronics Corp. Дата публикации: 2001-06-12.

Method for fabricating capacitor containing zirconium oxide dielectric layer

Номер патента: US20030003650A1. Автор: Chang-Rock Song. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-01-02.

Methods for forming a lanthanum-metal oxide dielectric layer

Номер патента: US7494939B2. Автор: Leonard Forbes,Kie Y. Ahn. Владелец: Micron Technology Inc. Дата публикации: 2009-02-24.

Replacement gate formation in memory

Номер патента: WO2022235364A1. Автор: Thomas M. Graettinger. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2022-11-10.

Replacement gate formation in memory

Номер патента: US20230282730A1. Автор: Thomas M. Graettinger. Владелец: Micron Technology Inc. Дата публикации: 2023-09-07.

Interface layer for gate stack using O3 post treatment

Номер патента: US09698234B2. Автор: Mark S. Rodder,Wei-E Wang,Jorge A. Kittl. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-07-04.

Ztcr poly resistor in replacement gate flow

Номер патента: US20140167182A1. Автор: Mahalingam Nandakumar,Amitabh Jain,Deborah J. Riley. Владелец: Texas Instruments Inc. Дата публикации: 2014-06-19.

Forming windows in composite dielectric layers

Номер патента: US3808069A. Автор: R Caffrey,A Dumbri,R Tauber. Владелец: Bell Telephone Laboratories Inc. Дата публикации: 1974-04-30.

Methods for fabricating CVD TiN barrier layers for capacitor structures

Номер патента: US6010940A. Автор: Hyeon-deok Lee,Myoung-Bum Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-01-04.

Silicon oxide based gate dielectric layer

Номер патента: US20040097026A1. Автор: David Müller,Gregory Timp. Владелец: Lucent Technologies Inc. Дата публикации: 2004-05-20.

Silicon oxide based gate dielectric layer

Номер патента: US20020100946A1. Автор: David Müller,Gregory Timp. Владелец: Lucent Technologies Inc. Дата публикации: 2002-08-01.

Buried etch stop layer for damascene bit line formation

Номер патента: US09847249B2. Автор: Yuji Takahashi,Katsuo Yamada,Tomoyasu Kakegawa,Takuya Futase,Noritaka Fukuo. Владелец: SanDisk Technologies LLC. Дата публикации: 2017-12-19.

Method of making integrated circuits having a planarized dielectric

Номер патента: US5026666A. Автор: Graham W. Hills,Robert D. Huttemann,Kolawole R. Olasupo. Владелец: AT&T Bell Laboratories Inc. Дата публикации: 1991-06-25.

Method for patterning a dielectric layer

Номер патента: US11756790B2. Автор: Xinghua Sun,Yen-Tien Lu,Angelique RALEY,Shihsheng Chang,Eric Chih-Fang Liu,Katie Lutker-Lee. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-09-12.

Device of dielectric layer

Номер патента: US20240249947A1. Автор: Yu-Yun Peng,Keng-Chu Lin,Chung-Chi Ko. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-25.

Removal of nitride bump in opening replacement gate structure

Номер патента: US8927356B1. Автор: Huey-Ming Wang,Tsung-Liang Chen,Puneet Khanna,Hsin-Neng Tai. Владелец: Globalfoundries Inc. Дата публикации: 2015-01-06.

High breakdown voltage inter-metal dielectric layer

Номер патента: US11769692B2. Автор: Joung-Wei Liou,Chin Kun Lan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-26.

Method for fabricating a gate dielectric layer and for fabricating a gate structure

Номер патента: US20120276731A1. Автор: Yi Nan Chen,Hsien Wen Liu,Kuo Hui Su. Владелец: Nanya Technology Corp. Дата публикации: 2012-11-01.

Methods for forming dielectric layer in forming semiconductor device

Номер патента: US12080560B2. Автор: Xiaohong Zhou,Yonggang YANG. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-09-03.

Fluorine Containing Low Loss Dielectric Layers for Superconducting Circuits

Номер патента: US20160133819A1. Автор: Ashish Bodke,Frank Greer. Владелец: Intermolecular Inc. Дата публикации: 2016-05-12.

Method and structure for reducing cracks in a dielectric layer in contact with metal

Номер патента: EP2140481A1. Автор: Colin S. Whelan,Barry J. Liles. Владелец: Raytheon Co. Дата публикации: 2010-01-06.

Ultra-low k dielectric layer and manufacturing method thereof

Номер патента: US20200357632A1. Автор: Yiqi GONG. Владелец: Shanghai Huali Integrated Circuit Manufacturing Co Ltd. Дата публикации: 2020-11-12.

Ultra-low k dielectric layer and manufacturing method thereof

Номер патента: US11309180B2. Автор: Yiqi GONG. Владелец: Shanghai Huali Integrated Circuit Manufacturing Co Ltd. Дата публикации: 2022-04-19.

Fingered capacitor with low-k and ultra-low-k dielectric layers

Номер патента: US20200357881A1. Автор: YU Chen,Cheong Min Hong,Chunshan YIN. Владелец: NXP BV. Дата публикации: 2020-11-12.

Device of dielectric layer

Номер патента: US11935752B2. Автор: Yu-Yun Peng,Keng-Chu Lin,Chung-Chi Ko. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-19.

A method of forming a semiconductor or dielectric layer on a substrate

Номер патента: GB2624846A. Автор: Guiney Ivor,MORRIS Oliver,Dixon Sebastian. Владелец: Paragraf Ltd. Дата публикации: 2024-06-05.

Apparatus and Methods for Low K Dielectric Layers

Номер патента: US20130072031A1. Автор: Yu-Yun Peng,Keng-Chu Lin,Joung-Wei Liou,Hui-Chun Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-03-21.

Single-crystal layer on a dielectric layer

Номер патента: US20070228384A1. Автор: Yves Campidelli,Olivier Kermarec. Владелец: STMicroelectronics Crolles 2 SAS. Дата публикации: 2007-10-04.

Device and method of dielectric layer

Номер патента: US20190103276A1. Автор: Yu-Yun Peng,Keng-Chu Lin,Chung-Chi Ko. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-04-04.

Capacitor Structure With An Extended Dielectric Layer And Method Of Forming A Capacitor Structure

Номер патента: US20180226469A1. Автор: Randy Yach,Rohan BRAITHWAITE. Владелец: Microchip Technology Inc. Дата публикации: 2018-08-09.

Capacitors having a horizontally folded dielectric layer and methods for manufacturing the same

Номер патента: US20060141733A1. Автор: Hyuk Woo. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2006-06-29.

Method of fabricating planar dielectric isolated integrated circuits

Номер патента: US3575740A. Автор: Paul P Castrucci,John W Mason. Владелец: International Business Machines Corp. Дата публикации: 1971-04-20.

Bandgap engineered charge storage layer for 3d tft

Номер патента: WO2008008171A3. Автор: Tanmay Kumar. Владелец: Tanmay Kumar. Дата публикации: 2008-11-13.

Manufacturing method for integrating gate dielectric layers of different thicknesses

Номер патента: US11961740B2. Автор: LIAN Lu,Yizheng Zhu,Xiangguo Meng. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-04-16.

Integrated circuit with replacement gate stacks and method of forming same

Номер патента: US09922884B2. Автор: Ruqiang Bao,Siddarth A. Krishnan. Владелец: International Business Machines Corp. Дата публикации: 2018-03-20.

Integrated circuit with replacement gate stacks and method of forming same

Номер патента: US10553498B2. Автор: Ruqiang Bao,Siddarth A. Krishnan. Владелец: International Business Machines Corp. Дата публикации: 2020-02-04.

Integrated circuit with replacement gate stacks and method of forming same

Номер патента: US20180102294A1. Автор: Ruqiang Bao,Siddarth A. Krishnan. Владелец: International Business Machines Corp. Дата публикации: 2018-04-12.

Nitridized ruthenium layer for formation of cobalt interconnects

Номер патента: US09941212B2. Автор: Chih-Chao Yang,Daniel C Edelstein. Владелец: International Business Machines Corp. Дата публикации: 2018-04-10.

Metal alloy capping layers for metallic interconnect structures

Номер патента: US09911698B1. Автор: Chih-Chao Yang. Владелец: International Business Machines Corp. Дата публикации: 2018-03-06.

Density-graded adhesion layer for conductors

Номер патента: US20200365533A1. Автор: Rahul N. Manepalli,Srinivas V. Pietambaram,Kemal Aygun,Cemil S. Geyik. Владелец: Intel Corp. Дата публикации: 2020-11-19.

Method for Forming a Protective Layer for Use In Packaging a Semiconductor Die

Номер патента: US20040183163A1. Автор: Tongbi Jiang,Zhiping Yin,Mike Connell. Владелец: Individual. Дата публикации: 2004-09-23.

Schemes for forming barrier layers for copper in interconnect structures

Номер патента: US09881871B2. Автор: Chen-Hua Yu,Tien-I Bao,Hai-Ching Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-30.

Method for Producing a Dielectric Layer for an Electronic Component

Номер патента: US20080013249A1. Автор: Henrik Ewe,Karl Weidner. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2008-01-17.

Method and Process Using Dual Memorization Layer for Multi-Color Spacer Patterning

Номер патента: US20210343586A1. Автор: Akiteru Ko,Kaoru Maekawa,Hirokazu Aizawa. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-11-04.

Nitridized ruthenium layer for formation of cobalt interconnects

Номер патента: US09941213B2. Автор: Chih-Chao Yang,Daniel C Edelstein. Владелец: International Business Machines Corp. Дата публикации: 2018-04-10.

Copper doped transition layer for improving copper interconnection reliability

Номер патента: EP1351291A3. Автор: Qing-Tang Jiang,Robert Tsu,Kenneth D. Brennan. Владелец: Texas Instruments Inc. Дата публикации: 2004-12-15.

Graphene Layer for Reduced Contact Resistance

Номер патента: US20200135655A1. Автор: Ming-Han Lee,Shau-Lin Shue,Shin-Yi Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-30.

System and assembly for replacing solder balls of an electronic package

Номер патента: US20230317473A1. Автор: Matthew Sean Read,Marco Antonio Carmona. Владелец: Skyworks Solutions Inc. Дата публикации: 2023-10-05.

Method for replacing solder balls of an electronic package

Номер патента: US20230317659A1. Автор: Matthew Sean Read,Marco Antonio Carmona. Владелец: Skyworks Solutions Inc. Дата публикации: 2023-10-05.

Preserving Underlying Dielectric Layer During MRAM Device Formation

Номер патента: US20210126051A1. Автор: Michael RIZZOLO,Ashim Dutta. Владелец: International Business Machines Corp. Дата публикации: 2021-04-29.

Semiconductor chip including low-k dielectric layer

Номер патента: US11776894B2. Автор: Junghoon Han,Junyong NOH,Yeonjin Lee,Minjung Choi,Yunrae Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-10-03.

Lead frame substrate having circuitry on dual dielectric layers and assembly using the same

Номер патента: US20240363499A1. Автор: Chia-Chung Wang,Charles W. C. Lin. Владелец: Bridge Semiconductor Corp. Дата публикации: 2024-10-31.

Package substrate having porous dielectric layer

Номер патента: US20240282693A1. Автор: Jaimal Mallory Williamson,Jim C. Lo. Владелец: Texas Instruments Inc. Дата публикации: 2024-08-22.

Dielectric layers for metal lines in semiconductor chips

Номер патента: US7598166B2. Автор: Zhong-Xiang He,Anthony Kendall Stamper,Ning Lu. Владелец: International Business Machines Corp. Дата публикации: 2009-10-06.

Metal alloy capping layers for metallic interconnect structures

Номер патента: US20180061770A1. Автор: Chih-Chao Yang. Владелец: International Business Machines Corp. Дата публикации: 2018-03-01.

Methods of forming a device having semiconductor devices on two sides of a buried dielectric layer

Номер патента: EP3504734A1. Автор: Sinan Goktepeli,Stephen Alan Fanelli. Владелец: Qualcomm Inc. Дата публикации: 2019-07-03.

Semiconductor device with porous dielectric layers and method for fabricating the same

Номер патента: US20240030133A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-01-25.

Methods of forming a device having semiconductor devices on two sides of a buried dielectric layer

Номер патента: US09837302B1. Автор: Sinan Goktepeli,Stephen Alan Fanelli. Владелец: Qualcomm Inc. Дата публикации: 2017-12-05.

Methods of patterning dielectric layers for metallization and related structures

Номер патента: US20190206795A1. Автор: Guillaume Bouche. Владелец: Globalfoundries Inc. Дата публикации: 2019-07-04.

Density-graded adhesion layer for conductors

Номер патента: US11508676B2. Автор: Rahul N. Manepalli,Srinivas V. Pietambaram,Kemal Aygun,Cemil S. Geyik. Владелец: Intel Corp. Дата публикации: 2022-11-22.

Semiconductor packages including a multi-layered dielectric layer and methods of manufacturing the same

Номер патента: US20150155262A1. Автор: Seung Jee KIM. Владелец: SK hynix Inc. Дата публикации: 2015-06-04.

Embedded packages including a multi-layered dielectric layer and methods of manufacturing the same

Номер патента: US20130334682A1. Автор: Seung Jee KIM. Владелец: SK hynix Inc. Дата публикации: 2013-12-19.

Reflector layer for the well surface of a photovoltaic generator

Номер патента: US5973257A. Автор: William F. Cantarini,Steven C. Lizotte. Владелец: International Rectifier Corp USA. Дата публикации: 1999-10-26.

Component With Dielectric Layer for Embedding in Component Carrier

Номер патента: US20230369235A1. Автор: Andreas Zluc,Gerald Weidinger. Владелец: AT&S Austria Technologie und Systemtechnik AG. Дата публикации: 2023-11-16.

Graphene layer for reduced contact resistance

Номер патента: US11721627B2. Автор: Ming-Han Lee,Shau-Lin Shue,Shin-Yi Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-08-08.

Graphene layer for reduced contact resistance

Номер патента: US20230387018A1. Автор: Ming-Han Lee,Shau-Lin Shue,Shin-Yi Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-30.

Packaged electronic devices having die attach regions with selective thin dielectric layer

Номер патента: US20120252170A1. Автор: Bernardo Gallegos,Kenji Masumoto. Владелец: Texas Instruments Inc. Дата публикации: 2012-10-04.

Method of forming contact vias and interconnect channels in a dielectric layer stack with a single mask

Номер патента: US5726100A. Автор: John H. Givens. Владелец: Micron Technology Inc. Дата публикации: 1998-03-10.

Semiconductor chip including low-k dielectric layer

Номер патента: US20230230915A1. Автор: Junghoon Han,Junyong NOH,Yeonjin Lee,Minjung Choi,Yunrae Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-07-20.

Package substrate having porous dielectric layer

Номер патента: US20230118218A1. Автор: Jaimal Mallory Williamson. Владелец: Texas Instruments Inc. Дата публикации: 2023-04-20.

Method for manufacturing gate dielectric layer

Номер патента: US20060281251A1. Автор: Wen-Ji Chen,Tung-Po Chen,Kai-An Hsueh,Sheng-Hone Zheng. Владелец: Individual. Дата публикации: 2006-12-14.

Package substrate having porous dielectric layer

Номер патента: US11973017B2. Автор: Jaimal Mallory Williamson,Jim C Lo. Владелец: Texas Instruments Inc. Дата публикации: 2024-04-30.

Method to improve the adhesion of dielectric layers to copper

Номер патента: US20030027413A1. Автор: Ting Tsui. Владелец: Texas Instruments Inc. Дата публикации: 2003-02-06.

Dielectric Layers Having Nitrogen-Containing Crusted Surfaces

Номер патента: US20230290674A1. Автор: Tsai-Jung Ho,Tze-Liang Lee,Po-Cheng Shih. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-14.

Embedded routing layer for inline circuit edit

Номер патента: US20230369206A1. Автор: Robert L. Bristol,Kevin LAI LIN,Clifford J. ENGEL. Владелец: Intel Corp. Дата публикации: 2023-11-16.

Method for replacing or patching element of display device

Номер патента: US11784099B2. Автор: Li-Yi Chen. Владелец: Mikro Mesa Technology Co Ltd. Дата публикации: 2023-10-10.

CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers

Номер патента: US6048769A. Автор: Robert S. Chau. Владелец: Intel Corp. Дата публикации: 2000-04-11.

Intergrated circuit element having a planar, solvent-free dielectric layer

Номер патента: US5034801A. Автор: Paul J. Fischer. Владелец: WL Gore and Associates Inc. Дата публикации: 1991-07-23.

Reflective layers for light-emitting diodes

Номер патента: US20240194832A1. Автор: Kevin Haberern,Michael Check. Владелец: Creeled Inc. Дата публикации: 2024-06-13.

Planar dielectric line and integrated circuit using same

Номер патента: CA2172888C. Автор: Yohei Ishikawa,Sadao Yamashita,Toshiro Hiratsuka,Kenichi Iio. Владелец: Murata Manufacturing Co Ltd. Дата публикации: 2005-05-24.

Planar dielectric integrated circuit

Номер патента: CA2230420C. Автор: Yohei Ishikawa,Koichi Sakamoto,Sadao Yamashita,Takehisa Kajikawa. Владелец: Murata Manufacturing Co Ltd. Дата публикации: 2001-09-11.

Planar dielectric integrated circuit

Номер патента: CA2230419A1. Автор: Yohei Ishikawa,Koichi Sakamoto,Sadao Yamashita,Kenichi Iio. Владелец: Murata Manufacturing Co Ltd. Дата публикации: 1998-08-27.

Optoelectronic device with dielectric layer and method of manufacture

Номер патента: WO2017041116A1. Автор: Gang He,Brendan M. Kayes,Thomas J. Gmitter,Melissa J. ARCHER. Владелец: Alta Devices, Inc.. Дата публикации: 2017-03-09.

Semiconductor component with dielectric layer stack and voltage divider

Номер патента: US09786659B2. Автор: Wolfgang Werner. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2017-10-10.

Manufacturing method for reducing the thickness of a dielectric layer

Номер патента: US6156603A. Автор: Ming-Tsung Tung. Владелец: United Microelectronics Corp. Дата публикации: 2000-12-05.

Method and apparatus for determining the thickness of a dielectric layer

Номер патента: WO2004092676A1. Автор: Prashant Majhi. Владелец: KONINKLIJKE PHILIPS ELECTRONICS N.V.. Дата публикации: 2004-10-28.

Dielectric layer response-based field effect transistor photodetector

Номер патента: US20240304743A1. Автор: Hongwei HAN,Anyi MEI. Владелец: HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY. Дата публикации: 2024-09-12.

Image sensor with varying thickness dielectric layer

Номер патента: US20240332329A1. Автор: Kazufumi Watanabe,Chih-Wei Hsiung,Chao Niu. Владелец: Omnivision Technologies Inc. Дата публикации: 2024-10-03.

Semiconductor structure having dielectric layer and conductive strip

Номер патента: US09947665B2. Автор: Teng-Hao Yeh,Chih-Wei Hu. Владелец: Macronix International Co Ltd. Дата публикации: 2018-04-17.

Reflective layers for light-emitting diodes

Номер патента: US11923481B2. Автор: Kevin Haberern,Michael Check. Владелец: Creeled Inc. Дата публикации: 2024-03-05.

Integral redistribution layer for WCSP

Номер патента: US12009272B2. Автор: Rafael Jose Lizares Guevara. Владелец: Texas Instruments Inc. Дата публикации: 2024-06-11.

Component carrier with low-solvent fiber-free dielectric layer

Номер патента: US12041730B2. Автор: Kim Liu,Seok Kim Tay,Mikael Tuominen. Владелец: AT&S Austria Technologie und Systemtechnik AG. Дата публикации: 2024-07-16.

Component Carrier With Low-Solvent Fiber-Free Dielectric Layer

Номер патента: US20220078923A1. Автор: Kim Liu,Seok Kim Tay,Mikael Tuominen. Владелец: AT&S Austria Technologie und Systemtechnik AG. Дата публикации: 2022-03-10.

Device and method for replacing at least one chip

Номер патента: US20220140180A1. Автор: Tobias Meyer. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2022-05-05.

Protective passivation layer for magnetic tunnel junctions

Номер патента: US11758820B2. Автор: Ru-Ying Tong,Guenole Jan,Jodi Mari IWATA. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-12.

Protective Passivation Layer for Magnetic Tunnel Junctions

Номер патента: US20210293912A1. Автор: Ru-Ying Tong,Guenole Jan,Jodi Mari IWATA. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-09-23.

Protective Passivation Layer for Magnetic Tunnel Junctions

Номер патента: US20200035912A1. Автор: Ru-Ying Tong,Guenole Jan,Jodi Mari IWATA. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-30.

Protective passivation layer for magnetic tunnel junctions

Номер патента: US20230371395A1. Автор: Ru-Ying Tong,Guenole Jan,Jodi Mari IWATA. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-16.

Metal surface treatments for uniformly growing dielectric layers

Номер патента: US20090085156A1. Автор: Gilbert Dewey,Robert S. Chau,Jack Kavalieros,Matthew V. Metz. Владелец: Intel Corp. Дата публикации: 2009-04-02.

Spatially variable hydrophobic layers for digital microfluidics

Номер патента: US11927740B2. Автор: David ZHITOMIRSKY. Владелец: Nuclera Ltd. Дата публикации: 2024-03-12.

Ic package with non-uniform dielectric layer thickness

Номер патента: EP2489068A2. Автор: Hui Liu,Hong Shi,Yuanlin Xie,Xiahong Jiang. Владелец: Altera Corp. Дата публикации: 2012-08-22.

Semiconductor device with capacitors having different dielectric layer heights

Номер патента: US11984446B2. Автор: Kyu Jin Choi,Seong Min MA,Kyu Chan SHIM. Владелец: SK hynix Inc. Дата публикации: 2024-05-14.

Bonding pads in dielectric layer

Номер патента: EP4331014A1. Автор: Stephan Lutgen,Shenghui LEI,Sharon Nannette Farrens. Владелец: Meta Platforms Technologies LLC. Дата публикации: 2024-03-06.

Glass dielectric layer with patterning

Номер патента: US20230405976A1. Автор: Gang Duan,Srinivas PIETAMBARAM,Jieying KONG,Dilan Seneviratne,Patrick QUACH. Владелец: Intel Corp. Дата публикации: 2023-12-21.

Glass dielectric layer with patterning

Номер патента: US11780210B2. Автор: Gang Duan,Srinivas PIETAMBARAM,Jieying KONG,Dilan Seneviratne,Patrick QUACH. Владелец: Intel Corp. Дата публикации: 2023-10-10.

Method and apparatus for determining the thickness of a dielectric layer

Номер патента: EP1629252A1. Автор: Prashant Majhi. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2006-03-01.

Dielectric layer response-based field effect transistor photodetector

Номер патента: EP4280289A1. Автор: Hongwei HAN,Anyi MEI. Владелец: HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY. Дата публикации: 2023-11-22.

Glass dielectric layer with patterning

Номер патента: EP4032122A1. Автор: Gang Duan,Srinivas PIETAMBARAM,Jieying KONG,Dilan Seneviratne,Patrick QUACH. Владелец: Intel Corp. Дата публикации: 2022-07-27.

Method for replacement of mercury switches in a switchgear with alternative switch types

Номер патента: CA3030348C. Автор: Finley Lee Ledbetter. Владелец: VACUUM INTERRUPTERS Inc. Дата публикации: 2021-03-02.

System for replacing battery of mobility

Номер патента: US12083923B2. Автор: Jae Seung Lee,Jae Young Choi,Yong Hyun Nam,Dae Hee Lee,Sang Hyun Jung,Chung Sik Yim,Kyu Hoon Cho. Владелец: Kia Corp. Дата публикации: 2024-09-10.

Method for replacing salts of a self-regenerating breather device of a power electrical apparatus

Номер патента: US20240249868A1. Автор: Gianmaria Ugolin,Francesca Nucci,Andrea Tonin. Владелец: Comem SpA. Дата публикации: 2024-07-25.

Method and apparatus for replacing gas mixture in a gas discharge chamber

Номер патента: WO2024201181A1. Автор: Siyu Chen,Spencer Ryan WILLIAMS. Владелец: CYMER, LLC. Дата публикации: 2024-10-03.

Method for replacing battery pack of hybrid electric vehicle

Номер патента: US20240157840A1. Автор: Heung Woo Lee. Владелец: Individual. Дата публикации: 2024-05-16.

System for replacing battery of mobility

Номер патента: US20220144125A1. Автор: Jae Seung Lee,Jae Young Choi,Yong Hyun Nam,Dae Hee Lee,Sang Hyun Jung,Chung Sik Yim,Kyu Hoon Cho. Владелец: Kia Corp. Дата публикации: 2022-05-12.

Amorphous seed layer for improved stability in perpendicular sttm stack

Номер патента: EP3198601A1. Автор: Brian S. Doyle,Robert S. Chau,Kaan OGUZ,Mark L. Doczy,Satyarth Suri,Charles C. Kuo. Владелец: Intel Corp. Дата публикации: 2017-08-02.

Sputtering device and method for replacing film roll in sputtering device

Номер патента: US20160293383A1. Автор: Akira Hamada,Tomotake Nashiki. Владелец: Nitto Denko Corp. Дата публикации: 2016-10-06.

Method for replacing salts of a self-regenerating breather device of a power electrical apparatus

Номер патента: EP4338178A1. Автор: Gianmaria Ugolin,Francesca Nucci,Andrea Tonin. Владелец: Comem SpA. Дата публикации: 2024-03-20.

Vacuum insulation switchgear and method for replacing mold switch

Номер патента: SG192031A1. Автор: Keiichi Takahashi,Ayumu Morita,Shuichi Kikukawa. Владелец: HITACHI LTD. Дата публикации: 2013-08-30.

System and method for replacing a battery in a vehicle

Номер патента: US20230242005A1. Автор: Amir Shapiro,Yoad GUETTA. Владелец: BG Negev Technologies and Applications Ltd. Дата публикации: 2023-08-03.

System and method for replacing a battery in a vehicle

Номер патента: WO2022003680A1. Автор: Amir Shapiro,Yoad GUETTA. Владелец: B.G. NEGEV TECHNOLOGIES & APPLICATIONS LTD., AT BEN-GURION UNIVERSITY. Дата публикации: 2022-01-06.

Coaxial cable with outer conductor adhered to dielectric layer and/or jacket

Номер патента: WO2017040474A1. Автор: Alan N. Moe. Владелец: CommScope Technologies LLC. Дата публикации: 2017-03-09.

Coaxial cable with outer conductor adhered to dielectric layer and/or jacket

Номер патента: EP3345195A1. Автор: Alan N. Moe. Владелец: CommScope Technologies LLC. Дата публикации: 2018-07-11.

Multilayer ceramic electronic device with dielectric layers and internal electrode layers

Номер патента: US20200035414A1. Автор: Toshihiko Kaneko,Shogo Murosawa. Владелец: TDK Corp. Дата публикации: 2020-01-30.

Resin composition and dielectric layer and capacitor produced therefrom

Номер патента: US09779880B2. Автор: Zhou Jin,Tao Cheng,Qilin CHEN. Владелец: 3M Innovative Properties Co. Дата публикации: 2017-10-03.

Ceramic electronic device including a rare earth element solid-solved in barium titanate of dielectric layers

Номер патента: US12080482B2. Автор: Yu SUGAWARA. Владелец: TAIYO YUDEN CO LTD. Дата публикации: 2024-09-03.

Forming a dielectric layer by thermal decomposition of a metallo-organic material

Номер патента: US20020151439A1. Автор: Dilip Chatterjee,Donn Carlton,Thomas Blanton. Владелец: Eastman Kodak Co. Дата публикации: 2002-10-17.

Reflection-preventing layer for a display device

Номер патента: US5784201A. Автор: Kyung Chan Park,Kyeong Won Lee. Владелец: LG ELECTRONICS INC. Дата публикации: 1998-07-21.

Method for replacing rechargeable battery

Номер патента: US20230044496A1. Автор: Tamotsu Fukuma,Juni Yasoshima. Владелец: Primearth EV Energy Co Ltd. Дата публикации: 2023-02-09.

Multilayer capacitor including dielectric layer with grains having pores

Номер патента: US11776745B2. Автор: Ki Myoung Yun,Joon Yeob Cho. Владелец: Samsung Electro Mechanics Co Ltd. Дата публикации: 2023-10-03.

Plasma display panel having a dielectric layer of a reduced thickness in a sealing portion

Номер патента: US20020111102A1. Автор: Kenji Horio,Kazumi Ebihara,Yoshinori Osaka. Владелец: Fujitsu Ltd. Дата публикации: 2002-08-15.

Coaxial cable with dielectric layer having sealed segments and method of making same

Номер патента: US09799429B2. Автор: Scott M. Adams. Владелец: CommScope Technologies LLC. Дата публикации: 2017-10-24.

Fuel cell manifold having an embedded dielectric layer and methods of making thereof

Номер патента: EP4181244A3. Автор: Siddharth Patel,Karanpal BHANGU. Владелец: Bloom Energy Corp. Дата публикации: 2024-07-03.

Fuel cell manifold having an embedded dielectric layer and methods of making thereof

Номер патента: US11764389B2. Автор: Siddharth Patel,Karanpal BHANGU. Владелец: Bloom Energy Corp. Дата публикации: 2023-09-19.

Cable end termination including cable dielectric layer hermetic seal and related methods

Номер патента: US09768543B2. Автор: Edward A. Taylor. Владелец: SRI Hermetics LLC. Дата публикации: 2017-09-19.

Antenna unit and a display device including a dielectric layer

Номер патента: US12142817B2. Автор: Han Sub Ryu,Won Hee Lee,Yun Seok Oh. Владелец: Dongwoo Fine Chem Co Ltd. Дата публикации: 2024-11-12.

Christmas light strings with bulb identification for replacement purposes

Номер патента: US20160091155A1. Автор: John L. Janning. Владелец: JLJ Inc. Дата публикации: 2016-03-31.

Retrofit LED Lighting System for Replacement of Fluorescent Lamp

Номер патента: US20180128427A1. Автор: Peter Shen,Donald Barnetson,Chris Miao. Владелец: Lunera Lighting Inc. Дата публикации: 2018-05-10.

Plasma display panel with a low k dielectric layer

Номер патента: US20020190651A1. Автор: Dan Maydan,Quanyuan Shang,Takako Takehara,Kam Law,William Harshbarger,Taekyung Won. Владелец: Applied Materials Inc. Дата публикации: 2002-12-19.

Method and assembly for replacing fluorescent lights

Номер патента: WO2017019644A4. Автор: Curtis Roys,Sidney NORTON. Владелец: Norton Sidney. Дата публикации: 2017-06-15.

Copper-mild steel-copper (cmc) metal material for electrical contact terminal for replacing brass

Номер патента: EP4331834A1. Автор: Jeongsuk ROH. Владелец: CS Tech Co Ltd. Дата публикации: 2024-03-06.

Plasma display panel with a low k dielectric layer

Номер патента: EP1415318A2. Автор: Dan Maydan,Quanyuan Shang,Takako Takehara,William R. Harshbarger,Kam S. Law,Taekyung Won. Владелец: Applied Materials Inc. Дата публикации: 2004-05-06.

Printed wiring board(s) having polyimidebenzoxazole dielectric layer(s) and the manufacture thereof

Номер патента: CA2219124C. Автор: David A. Dalman. Владелец: MICHIGAN MOLECULAR INSTITUTE. Дата публикации: 2007-04-17.

Method for forming a dielectric layer in a plasma display panel

Номер патента: US20070132393A1. Автор: Young Kim,Eun Lee,Woong Choi. Владелец: LG ELECTRONICS INC. Дата публикации: 2007-06-14.

Acoustic wave device with dielectric layer for transverse leakage suppression

Номер патента: US20230032325A1. Автор: Rei GOTO,Hironori Fukuhara. Владелец: Skyworks Solutions Inc. Дата публикации: 2023-02-02.

Method and system for replacing lost or delayed data in sonet/sdh emulation protocols

Номер патента: EP1461885A1. Автор: Ron Cohen. Владелец: Lycium Networks (bvi) Ltd. Дата публикации: 2004-09-29.

Method and system for replacing lost or delayed data in sonet/sdh emulation protocols

Номер патента: WO2003050986A1. Автор: Ron Cohen. Владелец: Lycium Networks (B.V.I.) Ltd.. Дата публикации: 2003-06-19.

A method for replacing a lamp in a lighting system which is controlled by a central control unit

Номер патента: EP4426070A1. Автор: Gordan Rancic. Владелец: Lux Italia Srl. Дата публикации: 2024-09-04.

Molecular memory devices including solid-state dielectric layers and related methods

Номер патента: WO2007065159A3. Автор: ZHONG Chen,Guru Mathur,Veena Misra,Ritu Shrivastava. Владелец: Ritu Shrivastava. Дата публикации: 2008-06-19.

Molecular memory devices including solid-state dielectric layers and related methods

Номер патента: WO2007065159A2. Автор: ZHONG Chen,Guru Mathur,Veena Misra,Ritu Shrivastava. Владелец: ZettaCore, Inc.. Дата публикации: 2007-06-07.

Method and apparatus for replacing a device in a network

Номер патента: US09872365B2. Автор: Bozena Erdmann,Oliver Schreyer,Armand Michel Marie Lelkens. Владелец: Philips Lighting Holding BV. Дата публикации: 2018-01-16.

Portable battery-operated tool with an electrical buffer element and method for replacing the rechargeable battery

Номер патента: US09776309B2. Автор: Andreas Fluhrer. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2017-10-03.

Methods and control arrangements for replacing a compromised certificate authority asymmetric key pair used by vehicles

Номер патента: WO2023219543A1. Автор: Mohammad KHODAEI. Владелец: SCANIA CV AB. Дата публикации: 2023-11-16.

Methods and control arrangements for replacing a compromised certificate authority asymmetric key pair used by vehicles

Номер патента: SE2250569A1. Автор: Mohammad KHODAEI. Владелец: SCANIA CV AB. Дата публикации: 2023-11-12.

Method for replacing a telephone exchange by another telephone exchange

Номер патента: US20060165229A1. Автор: Johannes Petrus Wouters. Владелец: Koninklijke KPN NV. Дата публикации: 2006-07-27.

Transversely-excited film bulk acoustic resonator with a back-side dielectric layer and an etch-stop layer

Номер патента: US12081198B2. Автор: Patrick Turner. Владелец: Murata Manufacturing Co Ltd. Дата публикации: 2024-09-03.

Method for preparing a stack of dielectric layers on a substrate

Номер патента: EP4203084A1. Автор: Qiaoshuang ZHANG,Prof. Ulrich LEMMER,Qihao Jin. Владелец: Baden Wuerttemberg Stiftung gGmbH. Дата публикации: 2023-06-28.

Semiconductor structure with high inter-layer dielectric layer and manufacturing method thereof

Номер патента: US12069859B2. Автор: Xing Jin. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-08-20.

Method and apparatus for replacing a portion of a first digital signal with a second digital signal

Номер патента: EP1998570A2. Автор: Larry Westerman,Jeremy Cattone. Владелец: Ensequence Inc. Дата публикации: 2008-12-03.

Method for replacing a telephone exchange by another telephone exchange

Номер патента: EP1625756B1. Автор: Johannes Petrus Bernardus Maria Wouters. Владелец: Koninklijke KPN NV. Дата публикации: 2008-04-23.

Method for replacing a telephone exchange by another telephone exchange

Номер патента: EP1625756A1. Автор: Johannes Petrus Bernardus Maria Wouters. Владелец: Koninklijke KPN NV. Дата публикации: 2006-02-15.

Manufacturing method of a multi-layer for a probe card

Номер патента: US12019111B2. Автор: Roberto Crippa,Raffaele VALLAURI,Flavio Maggioni. Владелец: Technoprobe SpA. Дата публикации: 2024-06-25.

Elongated led lamp for replacing a fluorescent lamp

Номер патента: WO2012027419A4. Автор: Laszlo A. Takacs,Roger F. Buelow,Chris H. Jenson,Keith Kazenski. Владелец: ENERGY FOCUS, INC.. Дата публикации: 2012-04-26.

Wired communication system for replacing in-vehicle can/lin bus

Номер патента: US20240314014A1. Автор: Hsin-Hsien Li. Владелец: Hk Oceancomm Technology Co Ltd. Дата публикации: 2024-09-19.

Wired communication system for replacing in-vehicle can/lin bus

Номер патента: EP4443752A1. Автор: Hsin-Hsien Li. Владелец: Hangzhou Lianxintong Semiconductor Co Ltd. Дата публикации: 2024-10-09.

Method and apparatus for replacing a part of a first television image by a part of a second television image

Номер патента: US3764732A. Автор: G Macheboeuf. Владелец: Radiodiffusion Television Off. Дата публикации: 1973-10-09.

Method for replacing existing utility pole without disturbing hardware mounted thereon

Номер патента: CA1037727A. Автор: Achilles Perry. Владелец: Interpace Corp. Дата публикации: 1978-09-05.

Stretchable polymer and dielectric layers for electronic displays

Номер патента: US11925073B2. Автор: Robert Jan Visser,Kyuil Cho,Byung Sung Kwak. Владелец: Applied Materials Inc. Дата публикации: 2024-03-05.

Field device and method for replacing a sensor in a field device

Номер патента: US20240011817A1. Автор: Michael Larsson,Lovisa Rogestedt,Morgan Mårdeland. Владелец: ROSEMOUNT TANK RADAR AB. Дата публикации: 2024-01-11.

Dielectric layer for component carrier with varying material properties

Номер патента: US11882648B2. Автор: Thomas KRISTL,Dominik Wilding. Владелец: AT&S Austria Technologie und Systemtechnik AG. Дата публикации: 2024-01-23.

Computer-implemented method for replacing a data string

Номер патента: US20140150086A1. Автор: Michael Horst. Владелец: comForte 21 GmbH. Дата публикации: 2014-05-29.

Field device and method for replacing a sensor in a field device

Номер патента: EP4303542A1. Автор: Michael Larsson,Lovisa Rogestedt,Morgan Mårdeland. Владелец: ROSEMOUNT TANK RADAR AB. Дата публикации: 2024-01-10.

Method and system for replacing pin element with gateway capability in personal iot network

Номер патента: WO2023234694A1. Автор: Arunprasath Ramamoorthy. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2023-12-07.

Acoustic wave device with dielectric layer for reduced transverse leakage

Номер патента: US20230031753A1. Автор: Rei GOTO,Hironori Fukuhara. Владелец: Skyworks Solutions Inc. Дата публикации: 2023-02-02.

Insertion layer for thick film electroluminescent displays

Номер патента: CA2434335C. Автор: WU LI,Yonghao Xin,Michael R. Westcott. Владелец: iFire IP Corp. Дата публикации: 2012-01-03.

Production method for printed wiring board having dielectric layer

Номер патента: MY193835A. Автор: Yoshihiro Yoneda,Toshiyuki Shimizu,Toshifumi Matsushima. Владелец: Mitsui Mining & Smelting Co Ltd. Дата публикации: 2022-10-27.

Method for replacing a conductive bar of a stator winding

Номер патента: WO2019166172A1. Автор: Andrey Mashkin,Friedhelm Pohlmann,Christian Staubach. Владелец: SIEMENS AKTIENGESELLSCHAFT. Дата публикации: 2019-09-06.

Systems and methods for replacing items in a stencil printer

Номер патента: WO2024025650A1. Автор: James Lynch,Patsy A. Mattero,William A. Losiewicz,Kenneth J. King. Владелец: ILLINOIS TOOL WORKS INC.. Дата публикации: 2024-02-01.

Devices and methods for replacing existing utility piping

Номер патента: US20210140563A1. Автор: Joseph L. Vulpis. Владелец: Individual. Дата публикации: 2021-05-13.

Wireless earphone charging case convenient for replacing outer shells

Номер патента: US11758316B2. Автор: Yong Huang. Владелец: Zhuoye Chuangyi Co Ltd. Дата публикации: 2023-09-12.

Technique for replacing VNFMs in a VNF based environment

Номер патента: US11916744B2. Автор: Maria Toeroe. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2024-02-27.

Method and Apparatus for Replacing Old Underground Conduits

Номер патента: US20090261310A1. Автор: Manfred Schauerte. Владелец: Tracto Technik GmbH and Co KG. Дата публикации: 2009-10-22.

Articles having a dielectric layer on a metal substrate having improved adhesion

Номер патента: US5164547A. Автор: Allan J. Siuzdak. Владелец: Texas Instruments Inc. Дата публикации: 1992-11-17.

Barrier layers for anisotropic magneto-resistive sensors

Номер патента: US20240102830A1. Автор: Fuchao Wang,William French,Erika Mazotti,Ricky A. Jackson. Владелец: Texas Instruments Inc. Дата публикации: 2024-03-28.

Method for replacing cesium trap and cesium trap node

Номер патента: RU2763773C2. Автор: Роберт А. КОРБИН,Джон Э. ТРУА. Владелец: ТерраПауэр, ЭлЭлСи. Дата публикации: 2022-01-11.

Device for replacing roller batteries

Номер патента: RU2628838C2. Автор: Йозеф ЗУТТЕР,Штефан ЛИНДЕР,Харальд ФИШЕР. Владелец: Иннова Патент Гмбх. Дата публикации: 2017-08-22.

Device for replacing pallet supporting a load

Номер патента: EP3911586A1. Автор: Daniele Piani. Владелец: Toppy Srl. Дата публикации: 2021-11-24.

Surface charge mitigation layer for mems sensors

Номер патента: WO2014070930A3. Автор: Ando Feyh,Gary O'brien,Andrew Graham. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2014-12-31.

Surface charge mitigation layer for mems sensors

Номер патента: EP2938570A2. Автор: Ando Feyh,Gary O'brien,Andrew Graham. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2015-11-04.

Surface charge mitigation layer for mems sensors

Номер патента: WO2014070930A2. Автор: Ando Feyh,Gary O'brien,Andrew Graham. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2014-05-08.

Method of making appliance for replacement of drill bit or nozzle

Номер патента: RU2498877C2. Автор: Дэвид А. РОРБЕЙКЕР. Владелец: Дестек Корпорейшн. Дата публикации: 2013-11-20.

Rough anti-stiction layer for mems device

Номер патента: US20180179047A1. Автор: I-Shi WANG,Jen-Hao Liu,Ren-Dou Lee,Yu-Jui Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-06-28.

Tool for replacing filter cartridges of wind generating sets

Номер патента: NL2033638B1. Автор: Li Xiaodong,Zhou Qiang,ZHOU Jianwei,YANG Jiaxing,Dong Chenxi,Cheng Shilin,Lu Fengbin,Gai Yingde. Владелец: Dong Chenxi. Дата публикации: 2023-08-15.

Anisotropic conductive dielectric layer for electrophoretic display

Номер патента: US20200201133A1. Автор: Yu Li,LEI Liu,Hui Du,HongMei Zang,Craig Lin,Peter B. Laxton. Владелец: E Ink California LLC. Дата публикации: 2020-06-25.

Rough anti-stiction layer for MEMS device

Номер патента: US09884755B2. Автор: I-Shi WANG,Jen-Hao Liu,Ren-Dou Lee,Yu-Jui Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-06.

Multi-functional layer for stop etch in laser coating removal

Номер патента: US12036623B2. Автор: Jesse Tice,Mark W. Knight,Patrick W. Shindo,Connor Limburg. Владелец: Northrop Grumman Systems Corp. Дата публикации: 2024-07-16.

Multi-functional layer for stop etch in laser coating removal

Номер патента: EP4416057A1. Автор: Jesse Tice,Mark W. Knight,Patrick W. Shindo,Connor Limburg. Владелец: Northrop Grumman Systems Corp. Дата публикации: 2024-08-21.

Disassembly tool kit for replacing vehicular decorative plates

Номер патента: US09884418B2. Автор: Chia-Feng Yu. Владелец: Individual. Дата публикации: 2018-02-06.

Procedure for replacement of cutting components

Номер патента: RU2387601C2. Автор: Доминик ФОН,Жерар ВЕЙЕН. Владелец: Сэн-Гобэн Ветротекс Франс Са. Дата публикации: 2010-04-27.

Fastener for replaceable tool

Номер патента: RU2472929C1. Автор: Митчелл Брайан ЧАРЛТОН. Владелец: Брэдкен Ресорсиз Пти Лимитед. Дата публикации: 2013-01-20.

Device for replacing a pallet

Номер патента: CA3214577A1. Автор: Daniele Piani. Владелец: Toppy Srl. Дата публикации: 2022-10-20.

Device for replacing a pallet

Номер патента: EP4323291A1. Автор: Daniele Piani. Владелец: Toppy Srl. Дата публикации: 2024-02-21.

Device for replacing a pallet carrying a load with a replacement pallet

Номер патента: US20240262639A1. Автор: Daniele Piani. Владелец: Toppy Srl. Дата публикации: 2024-08-08.

Method for replacing defective memory cells in data processing apparatus

Номер патента: US20030061532A1. Автор: Wolfgang Ruf,Alexander Benedix,Reinhard Dueregger. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2003-03-27.

Self-darkening shield for replaceably attaching to a torch

Номер патента: US20070029296A1. Автор: Hilary Boehme. Владелец: Individual. Дата публикации: 2007-02-08.

Method for replacing an outer annular ring of a fluid swivel and a fluid swivel

Номер патента: US09822911B2. Автор: Cyril Evrard,Christian Randrianarivony. Владелец: Single Buoy Moorings Inc. Дата публикации: 2017-11-21.

Device for replacement of tools in drill unit for drilling sea bottom

Номер патента: RU2412327C2. Автор: Пер Олав ХЕУГХОМ. Владелец: Сибед Риг Ас. Дата публикации: 2011-02-20.

Method for replacing a section of tubing

Номер патента: US4844322A. Автор: Gilbert E. Flowers,Earl L. Kelly, Jr.,Henry E. Lynch. Владелец: General Electric Co. Дата публикации: 1989-07-04.

Device and method for replacing stage roll unit

Номер патента: US20220105699A1. Автор: Hideki Mizutani,Takashi Nitta,Yukikazu Shoji. Владелец: Mitsubishi Heavy Industries Machinery Systems Co Ltd. Дата публикации: 2022-04-07.

Method and device for replacement of roller sets in working stands of rolling mill

Номер патента: RU2363555C2. Автор: Харальд РАККЕЛЬ. Владелец: Смс Демаг Аг. Дата публикации: 2009-08-10.

Method and system for replacement of cargo units

Номер патента: RU2748932C2. Автор: Томми ЛЕППИАХО. Владелец: Лотус Демолишн Ой. Дата публикации: 2021-06-01.

Method and apparatus for replacing buried pipe

Номер патента: CA1209356A. Автор: Alan E. Thompson. Владелец: EXPAND-A- LINE Inc. Дата публикации: 1986-08-12.

Process and device for replacing idler rollers of a belt conveyor

Номер патента: AU2014201119B2. Автор: Rainer Kahrger,Mario Dilefeld,Steffen Vollrath. Владелец: MAN Takraf Fordertechnik GmbH. Дата публикации: 2016-05-19.

Web offset press, and method and apparatus for replacing printing plate in web offset press

Номер патента: US20080078298A1. Автор: Torao Iwamoto. Владелец: Mitsubishi Heavy Industries Ltd. Дата публикации: 2008-04-03.

Method for replacing a drive battery of an electric motor vehicle

Номер патента: US20230347783A1. Автор: Stefan Nordbruch. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2023-11-02.

Context for replacement functions

Номер патента: US20130097621A1. Автор: Jared E. Henderson,Mark T. Schofield. Владелец: Microsoft Corp. Дата публикации: 2013-04-18.

System and method for replacing data in a cache

Номер патента: US20100274974A1. Автор: Johan Gerard Willem Maria Janssen,Jan-Willem Van De Waerdt,Maurice Penners. Владелец: NXP BV. Дата публикации: 2010-10-28.

Device for replacing a pallet

Номер патента: US20240190659A1. Автор: Daniele Piani. Владелец: Toppy Srl. Дата публикации: 2024-06-13.

Apparatus for replacing at least one tooth of a gear

Номер патента: US20240217038A1. Автор: Martin E. Lohan,Drake J. Viscome,Nickolas A. Howe,Julia D. Batts. Владелец: Inventus Holdings LLC. Дата публикации: 2024-07-04.

Prosthetic Cardiovascular Valves and Methods for Replacing Native Atrioventricular Valves with Same

Номер патента: US20200022808A1. Автор: Robert G. Matheny. Владелец: Cormatrix Cardiovascular Inc. Дата публикации: 2020-01-23.

Apparatus For Replacing Rolls For Introduction Into A Quilter

Номер патента: US20200370219A1. Автор: Terrance L. Myers,Matthew C. SMALLWOOD,Robert D. EAGLE. Владелец: L&P Property Management Co. Дата публикации: 2020-11-26.

Method and electronic device for replacing application icon

Номер патента: US20170131868A1. Автор: Jingyu Hu. Владелец: Lemobile Information Technology (Beijing) Co Ltd. Дата публикации: 2017-05-11.

Arrangement and method for replacement of program data of a control unit

Номер патента: US20060193463A1. Автор: Andreas Graf. Владелец: Individual. Дата публикации: 2006-08-31.

Optical storage medium having an organic recording layer attached to a dielectric layer

Номер патента: MY136918A. Автор: Hidetoshi Watanabe,Sakuya Tamada. Владелец: Sony Corp. Дата публикации: 2008-11-28.

Method for replacing a heart valve

Номер патента: US09949830B2. Автор: Jan Otto Solem. Владелец: Edwards Lifesciences AG. Дата публикации: 2018-04-24.

Method for replacing a swirler

Номер патента: US09772111B2. Автор: Peter Möllenbeck,Thomas Hauser,Karsten VON SCHWANDER. Владелец: SIEMENS AG. Дата публикации: 2017-09-26.

Wind turbine comprising a main bearing and method for replacement of the main bearing

Номер патента: CA2729981C. Автор: Henrik Stiesdal. Владелец: SIEMENS AG. Дата публикации: 2016-06-14.

Device for replacing roller sets

Номер патента: CA2917619C. Автор: Josef Sutter,Stefan Linder,Harald Fischer. Владелец: INNOVA PATENT GMBH. Дата публикации: 2018-06-19.

Method for replacing a field device of automation technology

Номер патента: US7761698B2. Автор: Francois Ichtertz. Владелец: Endress and Hauser Process Solutions AG. Дата публикации: 2010-07-20.

Recommended method for replacing equipment and electronic device

Номер патента: US20240077864A1. Автор: Chun-Hsien Li,Chia-Chiung Liu. Владелец: Wistron Corp. Дата публикации: 2024-03-07.

Method and apparatus for replacing the rollers of rolling mills having different sizes

Номер патента: US6167738B1. Автор: Christian Steglich,Gunter Horold. Владелец: SKET Walzwerkstechnik GmbH. Дата публикации: 2001-01-02.

Method and system for replacing player in sports game

Номер патента: US11491404B2. Автор: Dong Won Lee. Владелец: Gamevil Inc. Дата публикации: 2022-11-08.

Device for replacing a pallet supporting a load with the possibility of keeping this pallet raised

Номер патента: EP3938295A1. Автор: Daniele Piani. Владелец: Toppy Srl. Дата публикации: 2022-01-19.

Method for replacing pipes, and apparatus therefor

Номер патента: EP2100071A1. Автор: Kobus Jacobus Hendrik Cilliers. Владелец: Individual. Дата публикации: 2009-09-16.

Techniques For Replacing Logic Circuits In Modules With Configurable Circuits

Номер патента: US20230222274A1. Автор: Nij Dorairaj,David Kehlet. Владелец: Intel Corp. Дата публикации: 2023-07-13.

Method and system for replacing player in sports game

Номер патента: US20200376388A1. Автор: Dong Won Lee. Владелец: Gamevil Inc. Дата публикации: 2020-12-03.

Method for replacing a process measurement instrument

Номер патента: EP3126793A1. Автор: Christian Miles Blatherwick,Richard Diaz. Владелец: Ashcroft Inc. Дата публикации: 2017-02-08.

Method for replacing a process measurement instrument

Номер патента: WO2015153842A1. Автор: Christian Miles Blatherwick,Richard Diaz. Владелец: ASHCROFT, INC.. Дата публикации: 2015-10-08.

Device for replacing a pallet supporting a load with the possibility of keeping this pallet raised

Номер патента: WO2020182888A1. Автор: Daniele Piani. Владелец: TOPPY S.R.L.. Дата публикации: 2020-09-17.

Stretch sensor with elastic dielectric layer

Номер патента: US10485453B2. Автор: Tzu-Hsuan Huang,Wei-Liang Liu. Владелец: Taiwan Alpha Electronic Co Ltd. Дата публикации: 2019-11-26.

Method for replacing railway sleepers and equipment for replacing railway sleepers

Номер патента: RU2072016C1. Автор: Ниранен Якко. Владелец: Ниранен Якко. Дата публикации: 1997-01-20.

Authentication packaging for replacement parts

Номер патента: US5524758A. Автор: Troy D. Lupul. Владелец: Individual. Дата публикации: 1996-06-11.

Process for replacing a length of buried pipe

Номер патента: CA2013658C. Автор: David Bruce Campbell,Donald Neil Campbell. Владелец: Neptune Coring (Western) Ltd.. Дата публикации: 1992-04-28.

Process for replacing bridge bearings

Номер патента: US4692981A. Автор: Helmut Homes. Владелец: Glacier GmbH Sollinger Huette GmbH. Дата публикации: 1987-09-15.

Method for replacing an outer annular ring of a fluid swivel and a fluid swivel

Номер патента: AU2011276073A1. Автор: Cyril Evrard,Christian Randrianarivony. Владелец: Single Buoy Moorings Inc. Дата публикации: 2013-01-10.

Method, kit and insert for replacing damaged threads

Номер патента: US20030215296A1. Автор: William Giannakakos,John Botelle,Ron Phillips. Владелец: NEWFREY LLC. Дата публикации: 2003-11-20.

Method for Replacing a Component in an Automatic Machine

Номер патента: US20240109189A1. Автор: Giuliano Gamberini,Fausto Mengoli,Emilio Tirelli,Giancarlo Dattilo. Владелец: GD SpA. Дата публикации: 2024-04-04.

Systems and methods for replacing application methods at runtime

Номер патента: EP2989544A1. Автор: Vadim Nekhoroshev. Владелец: Symantec Corp. Дата публикации: 2016-03-02.

Device for replacing a pallet

Номер патента: AU2022258420A1. Автор: Daniele Piani. Владелец: Toppy Srl. Дата публикации: 2023-10-19.

Method for replacing choke ring of vertical crusher and vertical crusher

Номер патента: EP4265334A1. Автор: Katsuya Shibata,Atsuhiko Shirai. Владелец: Kubota Corp. Дата публикации: 2023-10-25.

Method for replacing a component in an automatic machine

Номер патента: EP4291367A1. Автор: Giuliano Gamberini,Fausto Mengoli,Emilio Tirelli,Giancarlo Dattilo. Владелец: GD SpA. Дата публикации: 2023-12-20.

An arrangement for replacing elevator suspension ropes and a method

Номер патента: WO2023232248A1. Автор: Juha Helenius,Hannu ÄIKÄS,Aki LAAKKONEN. Владелец: KONE CORPORATION. Дата публикации: 2023-12-07.

Multiple band reflector with metal and dielectric layers

Номер патента: EP2030051A2. Автор: Jaime Li,Steven Barth. Владелец: CPFilms Inc. Дата публикации: 2009-03-04.

Knee joint endoprosthesis for replacement of at least parts of knee joint

Номер патента: RU2731424C2. Автор: Вильхельм БЛЁМЕР. Владелец: Керамтек Гмбх. Дата публикации: 2020-09-02.

Electrodes comprising conductive perovskite-seed layers for perovskite dielectrics

Номер патента: US5626906A. Автор: Howard R. Beratan,Scott R. Summerfelt. Владелец: Texas Instruments Inc. Дата публикации: 1997-05-06.

Method for replacing a bathtub with a shower platform

Номер патента: EP2255707B1. Автор: Elio Ruggiero. Владелец: Individual. Дата публикации: 2014-04-16.

Method and system for replacing air filter

Номер патента: US20160245545A1. Автор: Joseph W. Cole. Владелец: JPL Investments LLC. Дата публикации: 2016-08-25.

Liquid crystal display device having a patterned dielectric layer

Номер патента: US20070040967A1. Автор: Young-Nam Yun. Владелец: Individual. Дата публикации: 2007-02-22.

Device for replacing pocket door track

Номер патента: US9399280B2. Автор: Patrick Grady. Владелец: Individual. Дата публикации: 2016-07-26.

Liquid crystal display device having a patterned dielectric layer

Номер патента: WO2004055587A1. Автор: Young-Nam Yun. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2004-07-01.

Method for replacing cocoa or peanuts in food

Номер патента: WO2021091378A1. Автор: Paul-Alexander Peter Marie MANS. Владелец: Mans Paul Alexander Peter Marie. Дата публикации: 2021-05-14.

Surgical grafts for replacing the nipple and areola or damaged epidermis

Номер патента: EP3212247A1. Автор: Nicholas C. PASHOS,Bruce A. Bunnell. Владелец: Tulane University. Дата публикации: 2017-09-06.

Surgical grafts for replacing the nipple and areola or damaged epidermis

Номер патента: US20210015971A1. Автор: Nicholas C. PASHOS,Bruce A. Bunnell. Владелец: Tulane University. Дата публикации: 2021-01-21.

Blow mold unit, blow molding machine and method for replacing blow mold unit

Номер патента: US20140291877A1. Автор: Junji Takahashi. Владелец: Nissei ASB Machine Co Ltd. Дата публикации: 2014-10-02.

Coupling device for replacement of gear box of electric torque wrench

Номер патента: US20240100665A1. Автор: Keum Joon PARK. Владелец: Bolting Master. Дата публикации: 2024-03-28.

Bulletproof window, casement therefor, and method for replacing and containing bulletproof glass

Номер патента: US20070018481A1. Автор: Joseph Schmucker. Владелец: Individual. Дата публикации: 2007-01-25.

Method for replacing cocoa or peanuts in food

Номер патента: EP4054345A1. Автор: Paul-Alexander Peter Marie MANS. Владелец: Individual. Дата публикации: 2022-09-14.

Apparatus for replacing welding tip of welder and apparatus for supplying same

Номер патента: US20200016681A1. Автор: Kwang-Sul CHOI. Владелец: Individual. Дата публикации: 2020-01-16.

Apparatus for replacing welding tip of welder and apparatus for supplying same

Номер патента: US20200038988A1. Автор: Kwang-Sul CHOI. Владелец: Individual. Дата публикации: 2020-02-06.

Apparatus for replacing welding tip of welder and apparatus for supplying same

Номер патента: US20200016682A1. Автор: Kwang-Sul CHOI. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-01-16.

Hydrogel-based prosthetic device for replacing at least a part of the nucleus of a spinal disc

Номер патента: EP1583490A1. Автор: Vladimir A. Stoy. Владелец: Replication Medical Inc. Дата публикации: 2005-10-12.

Environmentally friendly system for replacing fluid in fluid-sumps

Номер патента: WO2011045793A8. Автор: Nechemya Lotan. Владелец: Lotan, Shira. Дата публикации: 2011-06-30.

Process for replacing a motor-vehicle convertible top

Номер патента: US20050204532A1. Автор: Rosendo Camacho,Mark Randolph Robbins. Владелец: Robbins Auto Top Co. Дата публикации: 2005-09-22.

Process for replacing a motor-vehicle convertible top

Номер патента: US20050204531A1. Автор: Mark Robbins,Rosendo Camacho. Владелец: Robbins Auto Top Co. Дата публикации: 2005-09-22.

Method for replacing battery

Номер патента: US20240253516A1. Автор: Shintaro IWAASA. Владелец: Toyota Motor Corp. Дата публикации: 2024-08-01.

Method and system for replacing a watercraft battery

Номер патента: US12110087B1. Автор: George Broughton,James Macier. Владелец: Brp Marine Us Inc. Дата публикации: 2024-10-08.

Retrofit system and method for replacing linear fluorescent lamp with LED modules

Номер патента: US09702531B2. Автор: Aaron Gorman,Gray Lankford. Владелец: GENERAL LED Inc. Дата публикации: 2017-07-11.

Valve prosthesis for replacing an atrioventricular valve of the heart with anchoring element

Номер патента: US09687342B2. Автор: Hans Reiner Figulla,Alexander Lauten. Владелец: Individual. Дата публикации: 2017-06-27.

Grinding installation and method for replacing a grinding disk

Номер патента: CA2895291C. Автор: Hans-Jurgen Multhammer. Владелец: ASIS GMBH. Дата публикации: 2018-01-23.

Device and method for replacing rope carriers on dryer rolls of paper making machines

Номер патента: US4267631A. Автор: Verlin R. Chase. Владелец: Individual. Дата публикации: 1981-05-19.

System for replacing tools of a press brake

Номер патента: WO2022137153A1. Автор: Stefano Raimondi. Владелец: Exon S.R.L.. Дата публикации: 2022-06-30.

Apparatus and method for replacing a cardiac valve

Номер патента: WO2007006057A1. Автор: Kiyotaka Fukamachi. Владелец: THE CLEVELAND CLINIC FOUNDATION. Дата публикации: 2007-01-11.

Dental prosthesis with multi-section infrastructure and method for replacement of teeth

Номер патента: CA2293655C. Автор: Howard B. Marshall. Владелец: ORO-HEALTH INTERNATIONAL Inc. Дата публикации: 2004-11-30.

Device for replacing front suspension components in a vehicle

Номер патента: US4490897A. Автор: Alf M. Forre. Владелец: Individual. Дата публикации: 1985-01-01.

Method for replacing keyboard data using single step process mode

Номер патента: US5121472A. Автор: Sherif Danish,John C. Doering,Kris Kimbrough. Владелец: Polytel Computer Products Corp. Дата публикации: 1992-06-09.

Fixture and method for replacing motor vehicle axle brake spiders

Номер патента: CA2534714C. Автор: James L. Ebert. Владелец: Precision Machining Corp. Дата публикации: 2013-06-11.

Process for replacing anions or organic cationic salts

Номер патента: US4524204A. Автор: Karl H. Drexhage. Владелец: Eastman Kodak Co. Дата публикации: 1985-06-18.

System and method for replacing an inflamed or infected heart valve

Номер патента: CA2961837C. Автор: Hans Reiner Figulla,Alexander Lauten. Владелец: Devie Medical GmbH. Дата публикации: 2022-02-22.

System for replacing tools of a press brake

Номер патента: EP4267321A1. Автор: Stefano Raimondi. Владелец: Exon Srl. Дата публикации: 2023-11-01.

Method And Apparatus For Replacing A Tendon Flex Bearing On A Tension Leg Platform

Номер патента: US20160214686A1. Автор: Kent Davies,Robert Kipp. Владелец: SEAHORSE EQUIPMENT CORP. Дата публикации: 2016-07-28.

Drop-in signal accumulator piston kit and method for replacing an original equipment signal accumulator piston

Номер патента: US11933326B2. Автор: Maura J. Stafford. Владелец: Sonnax Transmission Co. Дата публикации: 2024-03-19.

Prosthetic Tissue Valves and Methods for Replacing Native Atrioventricular Valves with Same

Номер патента: US20190083257A1. Автор: Robert G Matheny. Владелец: Cormatrix Cardiovascular Inc. Дата публикации: 2019-03-21.

Method and equipment for replacing wind turbine components

Номер патента: US11808250B2. Автор: Emmanuel GARCÍA DE LA PEÑA. Владелец: Leunamme Engineering SLU. Дата публикации: 2023-11-07.

System and Method For Replacing a Return Air Filter

Номер патента: US20130199142A1. Автор: Jack R. Kerr, Jr.. Владелец: Individual. Дата публикации: 2013-08-08.

Method and device for replacing damaged pipes buried in the ground

Номер патента: AU8155587A. Автор: Ronnie Persson,Bengt Mattsson,Krister Carlsson. Владелец: MALVA I MALMO UTVECKLINGS AB. Дата публикации: 1988-05-25.

Locking device for replacing a liner in a thermos

Номер патента: US20210206534A1. Автор: Chun-Ming Yu. Владелец: Individual. Дата публикации: 2021-07-08.

System and method for replacing data in a cache

Номер патента: US8239631B2. Автор: Johan Gerard Willem Maria Janssen,Jan-Willem Van De Waerdt,Maurice Penners. Владелец: Entropic Communications LLC. Дата публикации: 2012-08-07.

Method and apparatus for replacing a tendon flex bearing on a tension leg platform

Номер патента: EP3250449A1. Автор: Kent Davies,Robert Kipp. Владелец: Single Buoy Moorings Inc. Дата публикации: 2017-12-06.

Method and apparatus for replacing a tendon flex bearing on a tension leg platform

Номер патента: MY193622A. Автор: Kent Davies,Robert Kipp. Владелец: Single Buoy Moorings. Дата публикации: 2022-10-20.

Tool and method for replacing escalator drive spindle

Номер патента: US20220048144A1. Автор: XIANG Gao,Tao Huang,Yongsheng Wang. Владелец: INVENTIO AG. Дата публикации: 2022-02-17.

Tool and method for replacing escalator drive spindle

Номер патента: EP3912762A1. Автор: XIANG Gao,Tao Huang,Yongsheng Wang. Владелец: INVENTIO AG. Дата публикации: 2021-11-24.

Coding for quad-level memory cells having a replacement gate configuration

Номер патента: US20240045611A1. Автор: Curtis Egan. Владелец: Micron Technology Inc. Дата публикации: 2024-02-08.

Ink jet apparatus adapted to display state of use or time for replacement of cartridge and control method for same

Номер патента: US20040113974A1. Автор: Yoshiyuki Shimamura. Владелец: Individual. Дата публикации: 2004-06-17.

Apparatus and method for replacing a diseased cardiac valve

Номер патента: CA2760461C. Автор: Qun Zhou,Jose Luis Navia,Ji-Feng Chen. Владелец: CLEVELAND CLINIC FOUNDATION. Дата публикации: 2014-10-07.

Method and device for replacing a first, nearly empty reel of strip material with a second, new reel

Номер патента: US4415127A. Автор: Enzo Seragnoli. Владелец: GD SpA. Дата публикации: 1983-11-15.

Method for replacing tubes in a header or drum

Номер патента: CA1242311A. Автор: Thomas Shilot,Ralph E. Spada. Владелец: Babcock and Wilcox Co. Дата публикации: 1988-09-27.

Apparatus for replacing rotating mandrels on which a web is wound

Номер патента: CA1114348A. Автор: William R. Mengel. Владелец: Magnat Corp. Дата публикации: 1981-12-15.

Device for replacing pouring tubes

Номер патента: US4220271A. Автор: Stanislav Szadkowski. Владелец: Vesuvius International Corp. Дата публикации: 1980-09-02.

An elastomeric mesh hinge primarily for replacement of the finger joints

Номер патента: US4313232A. Автор: Mutaz B. Habal,Donald L. Leake. Владелец: Individual. Дата публикации: 1982-02-02.

A work train for replacing old sleepers of a track with new sleepers

Номер патента: CA2128456C. Автор: Josef Theurer,Herbert Worgotter. Владелец: Franz Plasser Bahnbaumaschinen Industrie GmbH. Дата публикации: 1999-04-27.

Method and apparatus for replacing bop with gate valve

Номер патента: CA2450815C. Автор: Alagarsamy Sundararajan. Владелец: Worldwide Oilfield Machine Inc. Дата публикации: 2008-03-11.

Apparatus for replacing rotating mandrels on which a web is wound

Номер патента: US4204650A. Автор: William R. Mengel. Владелец: Magnat Corp. Дата публикации: 1980-05-27.

Apparatus for replacing a trunnion bracket spindle

Номер патента: US4704780A. Автор: Darcel R. Moffett. Владелец: JUDY S WALKING BEAM SERVICE Inc. Дата публикации: 1987-11-10.

Method and apparatus for replacing bop with gate valve

Номер патента: WO2003014604A3. Автор: Alagarsamy Sundararajan. Владелец: Worldwide Oilfield Machine Inc. Дата публикации: 2004-07-15.

System for replacing decorative furniture panels

Номер патента: US6658677B2. Автор: STEWART Paul. Владелец: P J Kids LLC. Дата публикации: 2003-12-09.

Apparatus for replacing or changing a shaving blade secured to a blade holder of an ice resurfacing machine

Номер патента: CA2785443C. Автор: Michael Hoffmann. Владелец: Individual. Дата публикации: 2019-03-12.

Apparatus and method for replacing a diseased cardiac valve

Номер патента: CA2767035C. Автор: Jose L. Navia,Ji-Feng Chen,Shengqiang Gao,Brian L. Davis,Samantha Stucke. Владелец: CLEVELAND CLINIC FOUNDATION. Дата публикации: 2015-07-21.

Apparatus for replacing rotating mandrels on which a web is wound

Номер патента: CA1109442A. Автор: William R. Mengel. Владелец: Magnat Corp. Дата публикации: 1981-09-22.

Method of and means for replacing corrugating rolls in a single facer

Номер патента: US4629526A. Автор: Kousaku Kanda. Владелец: Isowa Industry Co Ltd. Дата публикации: 1986-12-16.

Exchange device for replacing transport belts in a web producing machine

Номер патента: US5823462A. Автор: Anton Schmitt. Владелец: Voith Sulzer Papiermaschinen GmbH. Дата публикации: 1998-10-20.

Use of enzyme for replacing whiteness-maintaining agent in a cleaning composition

Номер патента: WO2024061317A1. Автор: Chaoyang YU,Iasminy Da Silva BRASIL,Mirko IUBATTI. Владелец: NOVOZYMES A/S. Дата публикации: 2024-03-28.

Method for replacing a cesium trap and cesium trap assembly thereof

Номер патента: US11842819B2. Автор: Robert A. Corbin,John E. TRUAX. Владелец: TerraPower LLC. Дата публикации: 2023-12-12.

Water Pump For Marine Engine Having Tool For Replacing Impeller

Номер патента: US20190271308A1. Автор: Kevin J. Kimball,Jason C. Stimmel. Владелец: INDMAR PRODUCTS CO Inc. Дата публикации: 2019-09-05.

Apparatus for replacing welding tip of welder and apparatus for supplying same

Номер патента: US11883899B2. Автор: Kwang-Sul CHOI. Владелец: Individual. Дата публикации: 2024-01-30.

Percutaneous prosthetic device for replacing a mitral valve

Номер патента: EP3937851A1. Автор: Keysun ALIZADEHRANJBAR. Владелец: University of Alberta. Дата публикации: 2022-01-19.

Method for replacing an exhaust aftertreatment component

Номер патента: US11753982B2. Автор: Gert-Ove WAHLSTRÖM. Владелец: Volvo Truck Corp. Дата публикации: 2023-09-12.

Method and apparatus for replacement of underground pipe

Номер патента: EP1540219A2. Автор: Steven W. Wentworth,Robert F. Crane,Mark D. Randa. Владелец: Earth Tool Co LLC. Дата публикации: 2005-06-15.

Method and apparatus for replacement of underground pipe

Номер патента: EP1540219A4. Автор: Steven W Wentworth,Robert F Crane,Mark D Randa. Владелец: Earth Tool Co LLC. Дата публикации: 2006-04-26.

Spatially variable dielectric layers for digital microfluidics

Номер патента: US20210220830A1. Автор: David ZHITOMIRSKY,Cristina Visani. Владелец: Nuclera Nucleics Ltd. Дата публикации: 2021-07-22.

Arrangement in traffic sign for replacing plate part

Номер патента: CA3180065A1. Автор: Jouni Hanninen. Владелец: Innotrafik Oy. Дата публикации: 2021-10-21.

Prosthesis for replacing joint in a human hand or foot

Номер патента: US20180250139A1. Автор: Avraham Shekalim,Shmuel Luboshitz. Владелец: Cmc Sert Ltd. Дата публикации: 2018-09-06.

Prosthesis for replacing joint in a human hand or foot

Номер патента: EP3344193A1. Автор: Avraham Shekalim,Shmuel Luboshitz. Владелец: Cmc Sert Ltd. Дата публикации: 2018-07-11.

Apparatus for replacing printing sleeves

Номер патента: EP4316847A1. Автор: Alessandro Bicego,Claudio SEMPERBONI,Stefano MEZZALANA. Владелец: Uteco SpA Flexo and Converting Machinery. Дата публикации: 2024-02-07.

Method and system for replacing an air filter

Номер патента: US20170151526A1. Автор: Joseph W. Cole. Владелец: JPL Investments LLC. Дата публикации: 2017-06-01.

Assembling structure for replaceable assembling lamp to image output device

Номер патента: US20050099816A1. Автор: Chang-Chien Li,Wen-Chung Ho,Chih-Kang Peng,Li-Kuan Wong. Владелец: Individual. Дата публикации: 2005-05-12.

Method and system for replacing air filter

Номер патента: US20150096271A1. Автор: Joseph W. Cole. Владелец: JPL Investments LLC. Дата публикации: 2015-04-09.

Method and system for replacing air filter

Номер патента: US20160039058A1. Автор: Joseph W. Cole. Владелец: JPL Investments LLC. Дата публикации: 2016-02-11.

Arrangement in traffic sign for replacing plate part

Номер патента: EP4136292A1. Автор: Jouni Hanninen. Владелец: Innotrafik Oy. Дата публикации: 2023-02-22.

Arrangement in traffic sign for replacing plate part

Номер патента: US20230358001A1. Автор: Jouni Hanninen. Владелец: Innotrafik Oy. Дата публикации: 2023-11-09.

Arrangement in traffic sign for replacing plate part

Номер патента: WO2021209685A1. Автор: Jouni Hanninen. Владелец: Innotrafik Oy. Дата публикации: 2021-10-21.

Method for replacing a bathtub with a shower platform

Номер патента: EP2255707A3. Автор: Elio Ruggiero. Владелец: Individual. Дата публикации: 2011-07-06.

Compositions for replacing chemical surfactants

Номер патента: EP3959329A1. Автор: Sean Farmer,Ken Alibek,Sergey KRAVTSOV. Владелец: Locus IP Co LLC. Дата публикации: 2022-03-02.

Compositions for replacing chemical surfactants

Номер патента: CA3136067A1. Автор: Sean Farmer,Ken Alibek,Sergey KRAVTSOV. Владелец: Locus IP Co LLC. Дата публикации: 2021-09-16.

Compositions for replacing chemical surfactants

Номер патента: AU2021236048A1. Автор: Sean Farmer,Ken Alibek,Sergey KRAVTSOV. Владелец: Locus IP Co LLC. Дата публикации: 2021-10-21.

Method for replacing the drilling segments of a drill bit, and cutting section for a drill bit

Номер патента: US20240051069A1. Автор: Jozsef Szabo,Quentin Furnemont,Matthaeus Hoop. Владелец: Hilti AG. Дата публикации: 2024-02-15.

Apparatus for replacing printing sleeves

Номер патента: CA3207569A1. Автор: Alessandro Bicego,Claudio SEMPERBONI,Stefano MEZZALANA. Владелец: Uteco SpA Flexo and Converting Machinery. Дата публикации: 2024-02-04.

Apparatus for replacing full cloth roll on weaving machine with empty cloth roll

Номер патента: US5309948A. Автор: Hideki Banba. Владелец: Tsudakoma Industrial Co Ltd. Дата публикации: 1994-05-10.

Methods for replacing dysfunctional heart valves

Номер патента: US12029645B2. Автор: Robert G Matheny. Владелец: Cormatrix Cardiovascular Inc. Дата публикации: 2024-07-09.

Electrostatic imaging sheet having a dielectric layer containing smectite clay

Номер патента: CA1128805A. Автор: Adrian N. Fellows. Владелец: Individual. Дата публикации: 1982-08-03.

Low emissivity glass comprising dielectric layer and method for producing the same

Номер патента: WO2011037365A2. Автор: Youn Ki Jun,Il Joon Bae. Владелец: LG HAUSYS, LTD.. Дата публикации: 2011-03-31.

DIVOT-FREE PLANARIZATION DIELECTRIC LAYER FOR REPLACEMENT GATE

Номер патента: US20130292746A1. Автор: Mehta Sanjay,Jagannathan Hemanth. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-11-07.

DIVOT-FREE PLANARIZATION DIELECTRIC LAYER FOR REPLACEMENT GATE

Номер патента: US20130221413A1. Автор: Mehta Sanjay,Jagannathan Hemanth. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-08-29.

Replacement Gate Approach for High-K Metal Gate Stacks Based on a Non-Conformal Interlayer Dielectric

Номер патента: US20120001263A1. Автор: Richter Ralf,Frohberg Kai. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

METHOD OF FABRICATING A SOLAR CELL WITH A TUNNEL DIELECTRIC LAYER

Номер патента: US20120000528A1. Автор: Smith David,Dennis Tim,Harrington Scott,Manning Jane,Waldhauer Ann. Владелец: . Дата публикации: 2012-01-05.

REPLACEMENT GATES TO ENHANCE TRANSISTOR STRAIN

Номер патента: US20120003798A1. Автор: Bohr Mark T.. Владелец: . Дата публикации: 2012-01-05.

Frame for replaceable signage boards

Номер патента: CA146325S. Автор: . Владелец: ANTONIO ALEMAN. Дата публикации: 2013-05-10.

Improved Means for Replacing Derailed Tramcars.

Номер патента: GB190125225A. Автор: Jean Ducimetiere. Владелец: Individual. Дата публикации: 1902-01-23.

An Improved Plug for Stopping Holes in Ships Hulls, Boilers, Tanks, and the like, Applicable also for Replacing Rivets and Studs.

Номер патента: GB189822048A. Автор: Edmund Read. Владелец: Individual. Дата публикации: 1899-10-20.

Improvements in Plugs for Replacing Rivets.

Номер патента: GB190823946A. Автор: William Bagguley. Владелец: Individual. Дата публикации: 1909-07-01.

Technique for replacing VNFMs in a VNF based environment.

Номер патента: OA20950A. Автор: Maria Toeroe. Владелец: TELEFONAKTIEBOLAGET LM ERICSSON. Дата публикации: 2023-07-24.

Improvements in Apparatus for Replacing Derailed Railway Carriages and other Vehicles.

Номер патента: GB190408684A. Автор: Christian Albert Fischer. Владелец: Individual. Дата публикации: 1905-02-02.

Cutting tool and blade holder for replaceable blades

Номер патента: CA1101653A. Автор: Lloyd E. Anderson. Владелец: Individual. Дата публикации: 1981-05-26.

Steam-generator, particularly for nuclear power stations, with improved facilities for replacement of parts

Номер патента: CA1128387A. Автор: Riccardo Colmano. Владелец: Ansaldo SpA. Дата публикации: 1982-07-27.

Device for replacing a fluorescent lamp in a serially connected fluorescent lamp system

Номер патента: CA1054667A. Автор: James A. Westphal. Владелец: California Institute of Technology CalTech. Дата публикации: 1979-05-15.