• Главная
  • Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity

Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Source/Drain Regions in Fin Field Effect Transistors (FinFETS) and Methods of Forming Same

Номер патента: US20210265341A1. Автор: Chang Che-Cheng,LIN Chih-Han,Tseng Horng-Huei. Владелец: . Дата публикации: 2021-08-26.

Methods of forming embedded source/drain regions on finFET devices

Номер патента: US09530869B2. Автор: Murat Kerem Akarvardar,Jody A. FRONHEISER,Steven Bentley. Владелец: Globalfoundries Inc. Дата публикации: 2016-12-27.

Methods of forming embedded source/drain regions on finfet devices

Номер патента: US20160268399A1. Автор: Murat Kerem Akarvardar,Jody A. FRONHEISER,Steven Bentley. Владелец: Globalfoundries Inc. Дата публикации: 2016-09-15.

SOURCE/DRAIN REGIONS IN FIN FIELD EFFECT TRANSISTORS (FINFETS) AND METHODS OF FORMING SAME

Номер патента: US20200126982A1. Автор: Chang Che-Cheng,LIN Chih-Han,Tseng Horng-Huei. Владелец: . Дата публикации: 2020-04-23.

Finfet devices having a material formed on reduced source/drain region

Номер патента: US20170229559A1. Автор: Xinyun Xie. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-08-10.

Methods of forming source/drain regions on finfet devices

Номер патента: US20170294522A1. Автор: Srikanth Balaji Samavedam,Shesh Mani Pandey,Muhammad Rahman. Владелец: Globalfoundries Inc. Дата публикации: 2017-10-12.

FinFET with merged, epitaxial source/drain regions

Номер патента: US09882054B2. Автор: Yu-Cheng Tung,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2018-01-30.

Method of forming a III-V compound semiconductor channel post replacement gate

Номер патента: US09735273B1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2017-08-15.

Iii-v compound semiconductor channel post replacement gate

Номер патента: US20170358679A1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2017-12-14.

SOURCE/DRAIN REGIONS IN FIN FIELD EFFECT TRANSISTORS (FINFETS) AND METHODS OF FORMING SAME

Номер патента: US20190115343A1. Автор: Chang Che-Cheng,LIN Chih-Han,Tseng Horng-Huei. Владелец: . Дата публикации: 2019-04-18.

NITRIDE LAYER PROTECTION BETWEEN PFET SOURCE/DRAIN REGIONS AND DUMMY GATE DURING SOURCE/DRAIN ETCH

Номер патента: US20160163859A1. Автор: ZHANG Qi,Wu Xusheng,PARK Jeasung,MO Hongxiang,MIN Byoung-Gi. Владелец: . Дата публикации: 2016-06-09.

FINFET DEVICES HAVING A MATERIAL FORMED ON REDUCED SOURCE/DRAIN REGION

Номер патента: US20170229559A1. Автор: XIE Xinyun. Владелец: . Дата публикации: 2017-08-10.

FIN SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURE WITH SOURCE/DRAIN REGIONS HAVING OPPOSITE CONDUCTIVITIES

Номер патента: US20170278946A1. Автор: Duriez Blandine,Afzalian Aryan. Владелец: . Дата публикации: 2017-09-28.

METHODS OF FORMING SOURCE/DRAIN REGIONS ON FINFET DEVICES

Номер патента: US20170294522A1. Автор: Pandey Shesh Mani,Rahman Muhammad,SAMAVEDAM Srikanth Balaji. Владелец: . Дата публикации: 2017-10-12.

SOURCE/DRAIN REGIONS IN FIN FIELD EFFECT TRANSISTORS (FINFETS) AND METHODS OF FORMING SAME

Номер патента: US20170317078A1. Автор: Chang Che-Cheng,LIN Chih-Han,Tseng Horng-Huei. Владелец: . Дата публикации: 2017-11-02.

Methods of forming source/drain regions on FinFET devices

Номер патента: US10347748B2. Автор: Srikanth Balaji Samavedam,Shesh Mani Pandey,Muhammad Rahman. Владелец: Globalfoundries Inc. Дата публикации: 2019-07-09.

Method of manufacturing a semiconductor device and a semiconductor device

Номер патента: US12062580B2. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-13.

Method of manufacturing a semiconductor device and a semiconductor device

Номер патента: US20240355681A1. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-24.

Method of manufacturing a semiconductor device and a semiconductor device

Номер патента: US20230087935A1. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-03-23.

Method of manufacturing a semiconductor device and a semiconductor device

Номер патента: US20220059703A1. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-02-24.

Methods for Forming Recesses in Source/Drain Regions and Devices Formed Thereof

Номер патента: US20200035797A1. Автор: HUANG Yu-Lien. Владелец: . Дата публикации: 2020-01-30.

Epitaxy Source/Drain Regions of FinFETs and Method Forming Same

Номер патента: US20190097026A1. Автор: HSU PIN-CHENG,Shen Guan-Jie,Hung Tung-Husan. Владелец: . Дата публикации: 2019-03-28.

METHODS OF FORMING EMBEDDED SOURCE/DRAIN REGIONS ON FINFET DEVICES

Номер патента: US20160268399A1. Автор: Fronheiser Jody A.,AKARVARDAR Murat Kerem,Bentley Steven. Владелец: . Дата публикации: 2016-09-15.

Methods for Forming Recesses in Source/Drain Regions and Devices Formed Thereof

Номер патента: US20200343351A1. Автор: HUANG Yu-Lien. Владелец: . Дата публикации: 2020-10-29.

Field-effect transistors with source/drain regions of reduced topography

Номер патента: US09536989B1. Автор: Viorel Ontalus,Annie Levesque. Владелец: Globalfoundries Inc. Дата публикации: 2017-01-03.

Source/Drain Regions for High Electron Mobility Transistors (HEMT) and Methods of Forming Same

Номер патента: US20170084717A1. Автор: Huang Mao-Lin,Fan Chun-Hsiang,Lin Chun-Hsiung. Владелец: . Дата публикации: 2017-03-23.

METHODS OF FORMING BOTTOM AND TOP SOURCE/DRAIN REGIONS ON A VERTICAL TRANSISTOR DEVICE

Номер патента: US20180083121A1. Автор: Chanemougame Daniel,Suvarna Puneet Harischandra,Bentley Steven J.. Владелец: . Дата публикации: 2018-03-22.

Implantations for Forming Source/Drain Regions of Different Transistors

Номер патента: US20190279992A1. Автор: LIAW Jhon Jhy,Yu Dian-Sheg,Tsui Ren-Fen. Владелец: . Дата публикации: 2019-09-12.

Finfet devices with different fin heights in the channel and source/drain regions

Номер патента: US20150279999A1. Автор: Xiuyu Cai,Ruilong Xie,Andy C. Wei. Владелец: Globalfoundries Inc. Дата публикации: 2015-10-01.

Methods for Forming Recesses in Source/Drain Regions and Devices Formed Thereof

Номер патента: US20190371898A1. Автор: HUANG Yu-Lien. Владелец: . Дата публикации: 2019-12-05.

Methods for forming recesses in source/drain regions and devices formed thereof

Номер патента: US10714578B2. Автор: Yu-Lien Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-07-14.

Methods for forming recesses in source/drain regions and devices formed thereof

Номер патента: US10937877B2. Автор: Yu-Lien Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-03-02.

Finfet with source/drain regions comprising an insulator layer

Номер патента: US20240021466A1. Автор: Tuoh Bin Ng,Tzu-Ching Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-18.

FinFet with source/drain regions comprising an insulator layer

Номер патента: US11823949B2. Автор: Tuoh Bin Ng,Tzu-Ching Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-21.

Arsenic-doped epitaxial source/drain regions for NMOS

Номер патента: US12094881B2. Автор: Anand Murthy,Ritesh JHAVERI,Nicholas G. MINUTILLO,Ryan KEECH. Владелец: Intel Corp. Дата публикации: 2024-09-17.

Arsenic-doped epitaxial source/drain regions for nmos

Номер патента: US20230197729A1. Автор: Anand Murthy,Ritesh JHAVERI,Nicholas G. MINUTILLO,Ryan KEECH. Владелец: Intel Corp. Дата публикации: 2023-06-22.

Semiconductor memory devices having an undercut source/drain region

Номер патента: US20180197867A1. Автор: Hui Zang,Manfred Eller. Владелец: Globalfoundries Inc. Дата публикации: 2018-07-12.

Method of manufacturing a semiconductor device and a semiconductor device

Номер патента: US20240297254A1. Автор: Wei-Fan Lee,Wen-Hsien TU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-05.

Method of manufacturing a semiconductor device and a semiconductor device

Номер патента: US12021144B2. Автор: Wei-Fan Lee,Wen-Hsien TU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-25.

FABRICATING TRANSISTORS HAVING RESURFACED SOURCE/DRAIN REGIONS WITH STRESSED PORTIONS

Номер патента: US20160225852A1. Автор: Chi Min-Hwa,Ray Shishir,KRISHNAN Bharat. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2016-08-04.

Divot-free planarization dielectric layer for replacement gate

Номер патента: US20160276457A1. Автор: Sanjay Mehta,Hemanth Jagannathan. Владелец: International Business Machines Corp. Дата публикации: 2016-09-22.

Divot-free planarization dielectric layer for replacement gate

Номер патента: US09876091B2. Автор: Sanjay Mehta,Hemanth Jagannathan. Владелец: International Business Machines Corp. Дата публикации: 2018-01-23.

Divot-free planarization dielectric layer for replacement gate

Номер патента: US09356121B2. Автор: Sanjay Mehta,Hemanth Jagannathan. Владелец: International Business Machines Corp. Дата публикации: 2016-05-31.

Replacement gate process for semiconductor devices

Номер патента: US09917017B2. Автор: Ying-Ho Chen,Yung-Cheng Lu,Yu-Jen Shen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-13.

Replacement gate process for semiconductor devices

Номер патента: US20230386937A1. Автор: Ying-Ho Chen,Yung-Cheng Lu,Yu-Jen Shen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-30.

Heterogeneous source drain region and extension region

Номер патента: US09472628B2. Автор: Kangguo Cheng,Pouya Hashemi,Alexander Reznicek,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2016-10-18.

Semiconductor device having LDD-type source/drain regions and fabrication method thereof

Номер патента: US6818489B2. Автор: Do-Hyung Kim,Jin-Ho Kim,Byung-Jun Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-11-16.

Method of manufacturing source/drain regions having a deep junction

Номер патента: EP1264337A1. Автор: David Donggang Wu. Владелец: Advanced Micro Devices Inc. Дата публикации: 2002-12-11.

Semiconductor Device Convex Source/Drain Region

Номер патента: US20200111712A1. Автор: Chii-Horng Li,Chien-I Kuo,Li-Li Su,Tzu-Ching Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-09.

Semiconductor Device Convex Source/Drain Region

Номер патента: US20200111711A1. Автор: Chii-Horng Li,Chien-I Kuo,Li-Li Su,Tzu-Ching Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-09.

Semiconductor Device Convex Source/Drain Region

Номер патента: US20190393095A1. Автор: Chii-Horng Li,Chien-I Kuo,Li-Li Su,Tzu-Ching Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-12-26.

Semiconductor device convex source/drain region

Номер патента: US11004745B2. Автор: Chii-Horng Li,Chien-I Kuo,Li-Li Su,Tzu-Ching Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-05-11.

Three part source/drain region structure for transistor

Номер патента: US20210320207A1. Автор: Haiting Wang,Judson R. Holt,Sipeng Gu. Владелец: GlobalFoundries US Inc. Дата публикации: 2021-10-14.

Raised source/drain regions in mos device

Номер патента: WO2008144629A1. Автор: Seetharaman Sridhar,Majid Mansoori. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2008-11-27.

Semiconductor device including dual-layer source/drain region

Номер патента: US09793400B2. Автор: Kangguo Cheng,Zhen Zhang,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2017-10-17.

Semiconductor device including dual-layer source/drain region

Номер патента: US09748336B2. Автор: Kangguo Cheng,Zhen Zhang,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2017-08-29.

Methods for high-k metal gate CMOS with SiC and SiGe source/drain regions

Номер патента: US09595585B2. Автор: Gang MAO. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-03-14.

Device with diffusion blocking layer in source/drain region

Номер патента: US09947788B2. Автор: Shesh Mani Pandey,Pei ZHAO,Baofu ZHU,Francis L. Benistant. Владелец: Globalfoundries Inc. Дата публикации: 2018-04-17.

Semiconductor device including source/drain region

Номер патента: US20220102497A1. Автор: Seung Hun Lee,Young Dae Cho,Su Jin Jung,Sung Uk JANG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-03-31.

Transistors with hybrid source/drain regions

Номер патента: US20220028854A1. Автор: Wenjun Li,Man Gu. Владелец: GlobalFoundries US Inc. Дата публикации: 2022-01-27.

Semiconductor device including dual-layer source/drain region

Номер патента: US20170104101A1. Автор: Kangguo Cheng,Zhen Zhang,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2017-04-13.

Semiconductor device including dual-layer source/drain region

Номер патента: US20170104065A1. Автор: Kangguo Cheng,Zhen Zhang,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2017-04-13.

Device with epitaxial source/drain region

Номер патента: US20240321966A1. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-26.

Transistor source/drain regions

Номер патента: US20240371930A1. Автор: Yee-Chia Yeo,Wei-Yuan Lu,Chien-I Kuo,Chia-Pin Lin,Wei-Jen Lai,Yan-Ting Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-07.

Semiconductor memory devices having an undercut source/drain region

Номер патента: US20190198503A1. Автор: Hui Zang,Manfred Eller. Владелец: Globalfoundries Inc. Дата публикации: 2019-06-27.

Semiconductor memory devices having an undercut source/drain region

Номер патента: US10157927B2. Автор: Hui Zang,Manfred Eller. Владелец: Globalfoundries Inc. Дата публикации: 2018-12-18.

Silicidation of source/drain region of vertical field effect transistor (vfet) structure

Номер патента: US20210242025A1. Автор: Min Gyu Kim,Hwi Chan Jun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-08-05.

Device with epitaxial source/drain region

Номер патента: US20220102496A1. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-03-31.

Device with epitaxial source/drain region

Номер патента: US12046636B2. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-23.

Device with epitaxial source/drain region

Номер патента: US20220393000A1. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-12-08.

Asymmetric multi-gate FinFET

Номер патента: US09548379B1. Автор: Andres Bryant,Huiming Bu,Sivananda K. Kanakasabapathy,Tenko Yamashita,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-01-17.

Asymmetric multi-gate finFET

Номер патента: US09543435B1. Автор: Andres Bryant,Huiming Bu,Sivananda K. Kanakasabapathy,Tenko Yamashita,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-01-10.

Gate spacers and methods of forming same

Номер патента: US09536980B1. Автор: Chao-Cheng Chen,Chun-Hung Lee,Yuan-Sheng Huang,Hua Feng Chen,Po-Hsueh Li. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-03.

PREVENTING BRIDGE FORMATION BETWEEN REPLACEMENT GATE AND SOURCE/DRAIN REGION THROUGH STI STRUCTURE

Номер патента: US20180033870A1. Автор: Huang Haigou,Wu Xusheng,DAI Xintuo. Владелец: . Дата публикации: 2018-02-01.

HETEROGENEOUS SOURCE DRAIN REGION AND EXTENSION REGION

Номер патента: US20180069124A1. Автор: Cheng Kangguo,Reznicek Alexander,Khakifirooz Ali,Hashemi Pouya. Владелец: . Дата публикации: 2018-03-08.

HETEROGENEOUS SOURCE DRAIN REGION AND EXTENSION REGION

Номер патента: US20160359046A1. Автор: Cheng Kangguo,Reznicek Alexander,Khakifirooz Ali,Hashemi Pouya. Владелец: . Дата публикации: 2016-12-08.

SEMICONDUCTOR DEVICE INCLUDING DUAL-LAYER SOURCE/DRAIN REGION

Номер патента: US20170104065A1. Автор: Cheng Kangguo,Zhang Zhen,Dennard Robert H.. Владелец: . Дата публикации: 2017-04-13.

Selective NFET/PFET Recess of Source/Drain Regions

Номер патента: US20200185278A1. Автор: Chen Chien-An,WANG Peng,Lin Huan-Just,Chen Huang-Ming,Wang Guan-Ren,Chang Yun-Min. Владелец: . Дата публикации: 2020-06-11.

TRANSISTOR HAVING CONFINED SOURCE/DRAIN REGIONS WITH WRAP-AROUND SOURCE/DRAIN CONTACTS

Номер патента: US20210226032A1. Автор: Cheng Kangguo,Reznicek Alexander,Xie Ruilong,Bergendahl Marc A.. Владелец: . Дата публикации: 2021-07-22.

SELECTIVE NFET/PFET RECESS OF SOURCE/DRAIN REGIONS

Номер патента: US20190333820A1. Автор: Chen Chien-An,WANG Peng,Lin Huan-Just,Chen Huang-Ming,Wang Guan-Ren,Chang Yun-Min. Владелец: . Дата публикации: 2019-10-31.

Hybrid Source Drain Regions Formed Based on Same Fin and Methods Forming Same

Номер патента: US20210020524A1. Автор: Wang Chih-hao,Lin Chun-Hsiung,WANG Pei-Hsun,Chen Shih-Cheng. Владелец: . Дата публикации: 2021-01-21.

Hybrid Source Drain Regions Formed Based on Same Fin and Methods Forming Same

Номер патента: US20210327768A1. Автор: Wang Chih-hao,Lin Chun-Hsiung,WANG Pei-Hsun,Chen Shih-Cheng. Владелец: . Дата публикации: 2021-10-21.

Embedded stressors in epitaxy source/drain regions

Номер патента: US20230377979A1. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

Methods of forming graphene contacts on source/drain regions of FinFET devices

Номер патента: US09972537B2. Автор: Ajey Poovannummoottil Jacob. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-15.

Source/Drain Regions and Methods of Forming Same

Номер патента: US20240379454A1. Автор: Yee-Chia Yeo,Chii-Horng Li,Li-Li Su,Hui-Lin Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-14.

Silicon germanium source/drain regions

Номер патента: US09887290B2. Автор: Clement Hsingjen Wann,Chih-Hsin Ko,Yao-Tsung Huang,Ji-Yin Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-06.

Graphene contacts on source/drain regions of FinFET devices

Номер патента: US10325812B2. Автор: Ajey Poovannummoottil Jacob. Владелец: Globalfoundries Inc. Дата публикации: 2019-06-18.

Source/Drain Regions and Methods of Forming Same

Номер патента: US20230377989A1. Автор: Yee-Chia Yeo,Chii-Horng Li,Li-Li Su,Hui-Lin Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

Semiconductor device having epitaxy source/drain regions

Номер патента: US11916071B2. Автор: Ming-Hua Yu,Kun-Mu Li,Tsz-Mei Kwok,Yi-Jing Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-27.

Semiconductor device having epitaxy source/drain regions

Номер патента: US20220181320A1. Автор: Ming-Hua Yu,Kun-Mu Li,Tsz-Mei Kwok,Yi-Jing Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-06-09.

Method of forming source/drain regions with expanded widths

Номер патента: US12034077B2. Автор: Chi-Wen Liu,Kuo-Cheng Chiang,Ying-Keung Leung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

EPI integrality on source/drain region of FinFET

Номер патента: US10332980B2. Автор: Zhaoxu Shen. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-06-25.

Epitaxial source/drain regions in FinFETs and methods for forming the same

Номер патента: US09496398B2. Автор: Tung Ying Lee,Chen-Han Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-15.

Source/drain regions in integrated circuit structures

Номер патента: US20240162289A1. Автор: Guillaume Bouche,Sean T. MA,Andy Chih-Hung Wei. Владелец: Intel Corp. Дата публикации: 2024-05-16.

Source/drain regions in integrated circuit structures

Номер патента: US11916106B2. Автор: Guillaume Bouche,Sean T. MA,Andy Chih-Hung Wei. Владелец: Intel Corp. Дата публикации: 2024-02-27.

Source/drain regions in integrated circuit structures

Номер патента: US20220344459A1. Автор: Guillaume Bouche,Sean T. MA,Andy Chih-Hung Wei. Владелец: Intel Corp. Дата публикации: 2022-10-27.

Source/drain regions in integrated circuit structures

Номер патента: US20210305365A1. Автор: Guillaume Bouche,Sean T. MA,Andy Chih-Hung Wei. Владелец: Intel Corp. Дата публикации: 2021-09-30.

Method of reducing junction capacitance of source/drain region

Номер патента: US6383883B1. Автор: Kuan-Cheng Su,Yao-Chin Cheng. Владелец: United Microelectronics Corp. Дата публикации: 2002-05-07.

Device with stepped source/drain region profile

Номер патента: US7335959B2. Автор: Bernhard Sell,Chris Auth,Sunit Tyagi,Giuseppe Curello. Владелец: Intel Corp. Дата публикации: 2008-02-26.

Transistors with asymmetric source/drain regions

Номер патента: US20240145538A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Min Gyu Sung,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2024-05-02.

Nanostructure field-effect transistors with enhanced mobility source/drain regions

Номер патента: US09978836B1. Автор: Bartlomiej J. Pawlak. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-22.

Nanostructure field-effect transistors with enhanced mobility source/drain regions

Номер патента: US20180130878A1. Автор: Bartlomiej J. Pawlak. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-10.

Simplified gate to source/drain region connections

Номер патента: US20180240885A1. Автор: David Pritchard,Scott D. Luning,Tuhin Guha Neogi,Kasun Anupama Punchihewa. Владелец: Globalfoundries Inc. Дата публикации: 2018-08-23.

Methods of forming replacement gate structures on finfet devices and the resulting devices

Номер патента: US20160133719A1. Автор: Xiuyu Cai,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2016-05-12.

Methods of forming replacement gate structures on finFET devices and the resulting devices

Номер патента: US09478634B2. Автор: Xiuyu Cai,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2016-10-25.

Replacement gate structure with low-K sidewall spacer for semiconductor devices

Номер патента: US09431507B2. Автор: Ruilong Xie,Kisik Choi. Владелец: Globalfoundries Inc. Дата публикации: 2016-08-30.

Dielectric constant reduction of gate spacer

Номер патента: US20200135887A1. Автор: Chang-Miao Liu,xu-sheng Wu,Hui-Ling SHANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-30.

Replacement gate process

Номер патента: US09385044B2. Автор: Tom Lii. Владелец: Texas Instruments Inc. Дата публикации: 2016-07-05.

Dielectric preservation in a replacement gate process

Номер патента: US09916982B1. Автор: John Zhang,Xusheng Wu,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2018-03-13.

Replacement gate structures for semiconductor devices

Номер патента: US20130270656A1. Автор: HAO Zhang,Dina Triyoso. Владелец: Globalfoundries Inc. Дата публикации: 2013-10-17.

Integrated circuits including replacement gate structures and methods for fabricating the same

Номер патента: US20160163824A1. Автор: Min-Hwa Chi,Xusheng Wu,Dong-woon Shin. Владелец: Globalfoundries Inc. Дата публикации: 2016-06-09.

Work function metal fill for replacement gate fin field effect transistor process

Номер патента: US10147803B2. Автор: Hong He,Yongan Xu,Junli Wang,Yunpeng Yin. Владелец: International Business Machines Corp. Дата публикации: 2018-12-04.

Work function metal fill for replacement gate fin field effect transistor process

Номер патента: US10164060B2. Автор: Hong He,Yongan Xu,Junli Wang,Yunpeng Yin. Владелец: International Business Machines Corp. Дата публикации: 2018-12-25.

Methods for chamfering work function material layers in gate cavities having varying widths

Номер патента: US20190348508A1. Автор: Hui Zang,Guowei Xu,Rongtao Lu. Владелец: Globalfoundries Inc. Дата публикации: 2019-11-14.

Gate spacer structure of FinFET device

Номер патента: US11810963B2. Автор: Chih-Hao Chang,Chung-Ting LI,Jen-Hsiang Lu,Bi-Fen Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-07.

Gate spacer structure of finfet device

Номер патента: US20200135892A1. Автор: Chih-Hao Chang,Chung-Ting LI,Jen-Hsiang Lu,Bi-Fen Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-30.

Gate Spacer Structure of FinFET Device

Номер патента: US20200403085A1. Автор: Chih-Hao Chang,Chung-Ting LI,Jen-Hsiang Lu,Bi-Fen Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-12-24.

Semiconductor device including a gate structure

Номер патента: US11955523B2. Автор: Minwoo Song,Wandon Kim,Namgyu Cho,Ohseong Kwon,HyeokJun Son,Jinkyu Jang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-04-09.

Transistor with a low-k sidewall spacer and method of making same

Номер патента: US09666679B2. Автор: DANIEL Benoit,Clement Gaumer. Владелец: STMicroelectronics Crolles 2 SAS. Дата публикации: 2017-05-30.

Semiconductor structure and a method for processing a carrier

Номер патента: US09679963B2. Автор: Erhard Landgraf,Dmitri Alex Tschumakow,Claus Dahl,Steffen Rothenhaeusser. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-06-13.

Method of manufacturing a semiconductor device and a semiconductor device

Номер патента: US11855211B2. Автор: Chun Hsiung Tsai,Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

METHODS OF FORMING SOURCE/DRAIN REGIONS OF A FINFET DEVICE AND THE RESULTING STRUCTURES

Номер патента: US20210020515A1. Автор: Gu Man,Liu Bingwu,Chu Tao. Владелец: . Дата публикации: 2021-01-21.

Arsenic-doped epitaxial source/drain regions for nmos

Номер патента: US20200105754A1. Автор: Anand Murthy,Ritesh JHAVERI,Nicholas G. MINUTILLO,Ryan KEECH. Владелец: Intel Corp. Дата публикации: 2020-04-02.

Self-aligned doping in source/drain regions for low contact resistance

Номер патента: US20180197793A1. Автор: Dechao Guo,Heng Wu,Zuoguang Liu,Gen Tsutsui. Владелец: International Business Machines Corp. Дата публикации: 2018-07-12.

SILICIDATION OF SOURCE/DRAIN REGION OF VERTICAL FIELD EFFECT TRANSISTOR (VFET) STRUCTURE

Номер патента: US20210242025A1. Автор: Kim Min Gyu,Jun Hwi Chan. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2021-08-05.

SEMICONDUCTOR DEVICES HAVING SOURCE/DRAIN REGIONS

Номер патента: US20190245076A1. Автор: Lee Jeong-Yun,LIM Bo-ra,SEONG Geum-jung,JI Ah-reum. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2019-08-08.

SOURCE/DRAIN REGIONS FOR FIN FIELD EFFECT TRANSISTORS AND METHODS OF FORMING SAME

Номер патента: US20160268434A1. Автор: LIU Chi-Wen,Ching Kuo-Cheng. Владелец: . Дата публикации: 2016-09-15.

Asymmetric source/drain regions of transistors

Номер патента: US20200176564A1. Автор: Si-Woo Lee,Srinivas Pulugurtha,Yunfei GAO. Владелец: Micron Technology Inc. Дата публикации: 2020-06-04.

Inert barrier region for source/drain regions of sonos memory devices

Номер патента: WO2008070624A1. Автор: He Yi,Liu Zhizheng,Sinha Shankar,Kwan Ming-Sang. Владелец: SPANSION LLC. Дата публикации: 2008-06-12.

Removal of nitride bump in opening replacement gate structure

Номер патента: US8927356B1. Автор: Huey-Ming Wang,Tsung-Liang Chen,Puneet Khanna,Hsin-Neng Tai. Владелец: Globalfoundries Inc. Дата публикации: 2015-01-06.

Transistor structure with hybrid gate dielectric structure and asymmetric source/drain regions

Номер патента: US20240030343A1. Автор: Jagar Singh,Man Gu,Saloni Chaurasia. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-01-25.

Forming source/drain region in stacked fet structure

Номер патента: US20240120380A1. Автор: Chen Zhang,Shogo Mochizuki,Ruilong Xie,Tenko Yamashita. Владелец: International Business Machines Corp. Дата публикации: 2024-04-11.

Semiconductor devices including source/drain regions having silicon carbon

Номер патента: US09837500B2. Автор: Hyunjung Lee,Yong-Suk Tak,Jinyeong Joe,Keumseok PARK. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-12-05.

Fabricating transistors having resurfaced source/drain regions with stressed portions

Номер патента: US09559166B2. Автор: Min-Hwa Chi,Bharat Krishnan,Shishir Ray. Владелец: Globalfoundries Inc. Дата публикации: 2017-01-31.

DOPING PROFILE FOR STRAINED SOURCE/DRAIN REGION

Номер патента: US20170243975A1. Автор: Lee Tze-Liang,KWOK Tsz-Mei,SUNG Hsueh-Chang,Li Chii-Horng,Li Kun-Mu. Владелец: . Дата публикации: 2017-08-24.

Finfet device with an etch stop layer positioned between a gate structure and a local isolation material

Номер патента: US20140327090A1. Автор: Xiuyu Cai,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2014-11-06.

Methods of forming replacement gate structures on FinFET devices and the resulting devices

Номер патента: US09412839B2. Автор: Murat Kerem Akarvardar. Владелец: Globalfoundries Inc. Дата публикации: 2016-08-09.

Method to improve reliability of replacement gate device

Номер патента: US09972697B2. Автор: Takashi Ando,Vijay Narayanan,Eduard A. Cartier,Kisik Choi. Владелец: International Business Machines Corp. Дата публикации: 2018-05-15.

Method to improve reliability of replacement gate device

Номер патента: US09960252B2. Автор: Takashi Ando,Vijay Narayanan,Eduard A. Cartier,Kisik Choi. Владелец: International Business Machines Corp. Дата публикации: 2018-05-01.

Method to improve reliability of replacement gate device

Номер патента: US09484438B2. Автор: Takashi Ando,Vijay Narayanan,Eduard A. Cartier,Kisik Choi. Владелец: International Business Machines Corp. Дата публикации: 2016-11-01.

Method to improve reliability of replacement gate device

Номер патента: US09472643B2. Автор: Takashi Ando,Vijay Narayanan,Eduard A. Cartier,Kisik Choi. Владелец: International Business Machines Corp. Дата публикации: 2016-10-18.

Method to improve reliability of replacement gate device

Номер патента: US09466692B2. Автор: Takashi Ando,Vijay Narayanan,Eduard A. Cartier,Kisik Choi. Владелец: International Business Machines Corp. Дата публикации: 2016-10-11.

Method to improve reliability of replacement gate device

Номер патента: US9391164B2. Автор: Takashi Ando,Vijay Narayanan,Eduard A. Cartier,Kisik Choi. Владелец: International Business Machines Corp. Дата публикации: 2016-07-12.

Methods of forming 3d devices with dielectric isolation and a strained channel region

Номер патента: US20160118472A1. Автор: YI Qi. Владелец: Globalfoundries Inc. Дата публикации: 2016-04-28.

Self-aligned silicidation for replacement gate process

Номер патента: US20130092957A1. Автор: Andreas Knorr,Thorsten Kammler,Akif Sultan,Indradeep SEN. Владелец: Globalfoundries Inc. Дата публикации: 2013-04-18.

Field-effect transistor device with gate spacer structure

Номер патента: US20220336628A1. Автор: Chunyao Wang,Wei-Che Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-10-20.

Field-effect transistor device with gate spacer structure

Номер патента: US20230282731A1. Автор: Chunyao Wang,Wei-Che Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-07.

Air gap spacer with wrap-around etch stop layer under gate spacer

Номер патента: US20190280099A1. Автор: Chen Zhang,Peng Xu,Kangguo Cheng,Xin Miao,Wenyu Xu. Владелец: International Business Machines Corp. Дата публикации: 2019-09-12.

Method for fabricating a finFET metallization architecture using a self-aligned contact etch

Номер патента: US09818876B1. Автор: Guillaume Bouche. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-14.

Forming a gate contact in the active area

Номер патента: US09905671B2. Автор: Kangguo Cheng,Ruilong Xie,Tenko Yamashita. Владелец: International Business Machines Corp. Дата публикации: 2018-02-27.

Semiconductor device and a method of manufacturing thereof

Номер патента: US5341028A. Автор: Yasuo Yamaguchi,Natsuo Ajika,Tsuyoshi Yamano. Владелец: Mitsubishi Electric Corp. Дата публикации: 1994-08-23.

Semiconductor devices having dual spacers and methods of fabricating the same

Номер патента: US20050087802A1. Автор: Jeong Park. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-04-28.

Method for forming a semiconductor device and a semiconductor device

Номер патента: US20220122895A1. Автор: Hans Mertens,Boon Teik CHAN. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2022-04-21.

Gate-all-around field effect transistors with robust inner spacers and methods

Номер патента: US20210043727A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Julien Frougier. Владелец: Globalfoundries Inc. Дата публикации: 2021-02-11.

Semiconductor device having an nmos transistor and a pmos transistor

Номер патента: US20240153825A1. Автор: Young Gwang YOON. Владелец: SK hynix Inc. Дата публикации: 2024-05-09.

Method of manufacturing a semiconductor device and a semiconductor device

Номер патента: US20230039627A1. Автор: Ching-Hung Kao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-02-09.

Forming a gate contact in the active area

Номер патента: US10170583B2. Автор: Kangguo Cheng,Ruilong Xie,Tenko Yamashita. Владелец: International Business Machines Corp. Дата публикации: 2019-01-01.

Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process

Номер патента: US09865733B2. Автор: Yasushi Tateshita. Владелец: Sony Corp. Дата публикации: 2018-01-09.

Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process

Номер патента: US09673326B2. Автор: Yasushi Tateshita. Владелец: Sony Corp. Дата публикации: 2017-06-06.

Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process

Номер патента: US09502529B2. Автор: Yasushi Tateshita. Владелец: Sony Corp. Дата публикации: 2016-11-22.

Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process

Номер патента: US09419096B2. Автор: Yasushi Tateshita. Владелец: Sony Corp. Дата публикации: 2016-08-16.

Method for fabricating MESFET device using a double LOCOS process

Номер патента: US4466174A. Автор: Theodore W. Houston,Henry M. Darley. Владелец: Texas Instruments Inc. Дата публикации: 1984-08-21.

Dishing prevention structure embedded in a gate electrode

Номер патента: US20210043463A1. Автор: Ta-Wei Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-02-11.

Dishing prevention structure embedded in a gate electrode

Номер патента: US20220068660A1. Автор: Ta-Wei Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-03-03.

Semiconductor device having epitaxy source/drain regions

Номер патента: US20240203987A1. Автор: Ming-Hua Yu,Kun-Mu Li,Tsz-Mei Kwok,Yi-Jing Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-20.

EPI INTEGRALITY ON SOURCE/DRAIN REGION OF FINFET

Номер патента: US20180006135A1. Автор: SHEN Zhaoxu. Владелец: . Дата публикации: 2018-01-04.

Embedded Stressors in Epitaxy Source/Drain Regions

Номер патента: US20220051945A1. Автор: MORE Shahaji B.. Владелец: . Дата публикации: 2022-02-17.

TRANSISTOR WITH STRAINED SUPERLATTICE AS SOURCE/DRAIN REGION

Номер патента: US20210057579A1. Автор: Chen Chun-Jen,Huang Jhong-Yi,Tang Chi-Hsuan,Chen Bo-Shiun,Huang Chung-Ting,Wu Guan-Ying. Владелец: . Дата публикации: 2021-02-25.

METHOD OF FORMING SOURCE/DRAIN REGIONS OF TRANSISTORS

Номер патента: US20200051871A1. Автор: Chen Wei-Li,Tsai Ching-Wei,Tu Yeur-Luen,Cheng Yu-Hung,Lin Tung-I. Владелец: . Дата публикации: 2020-02-13.

NANOWIRE TRANSISTOR STRUCTURES WITH MERGED SOURCE/DRAIN REGIONS USING AUXILIARY PILLARS

Номер патента: US20160064482A1. Автор: Reznicek Alexander,Khakifirooz Ali,Hashemi Pouya. Владелец: . Дата публикации: 2016-03-03.

SEMICONDUCTOR DEVICE HAVING TIPLESS EPITAXIAL SOURCE/DRAIN REGIONS

Номер патента: US20200058791A1. Автор: Bohr Mark T.. Владелец: Intel Corporation. Дата публикации: 2020-02-20.

METAL OXIDE SEMICONDUCTOR HAVING EPITAXIAL SOURCE DRAIN REGIONS AND A METHOD OF MANUFACTURING SAME USING DUMMY GATE PROCESS

Номер патента: US20210074858A1. Автор: Tateshita Yasushi. Владелец: . Дата публикации: 2021-03-11.

METAL OXIDE SEMICONDUCTOR HAVING EPITAXIAL SOURCE DRAIN REGIONS AND A METHOD OF MANUFACTURING SAME USING DUMMY GATE PROCESS

Номер патента: US20180076325A1. Автор: Tateshita Yasushi. Владелец: . Дата публикации: 2018-03-15.

CONTACT TO SOURCE/DRAIN REGIONS AND METHOD OF FORMING SAME

Номер патента: US20190081145A1. Автор: Xie Ruilong,Prindle Christopher M.,Raymond Mark V.,Cave Nigel G.. Владелец: . Дата публикации: 2019-03-14.

DEVICE WITH EPITAXIAL SOURCE/DRAIN REGION

Номер патента: US20220102496A1. Автор: MORE Shahaji B.. Владелец: . Дата публикации: 2022-03-31.

Semiconductor device including source/drain region

Номер патента: US20220102497A1. Автор: Seung Hun Lee,Young Dae Cho,Su Jin Jung,Sung Uk JANG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-03-31.

SEMICONDUCTOR DEVICE HAVING EPITAXY SOURCE/DRAIN REGIONS

Номер патента: US20220181320A1. Автор: Yu Ming-Hua,Lee Yi-Jing,KWOK Tsz-Mei,Li Kun-Mu. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2022-06-09.

Semiconductor Device Convex Source/Drain Region

Номер патента: US20200111711A1. Автор: Li Chii-Horng,KUO Chien-I,LIN TZU-CHING,Su Li-Li. Владелец: . Дата публикации: 2020-04-09.

Semiconductor Device Convex Source/Drain Region

Номер патента: US20200111712A1. Автор: Li Chii-Horng,KUO Chien-I,LIN TZU-CHING,Su Li-Li. Владелец: . Дата публикации: 2020-04-09.

NANOSTRUCTURE FIELD-EFFECT TRANSISTORS WITH ENHANCED MOBILITY SOURCE/DRAIN REGIONS

Номер патента: US20180130878A1. Автор: Pawlak Bartlomiej J.. Владелец: . Дата публикации: 2018-05-10.

SOURCE/DRAIN REGIONS FOR FIN FIELD EFFECT TRANSISTORS AND METHODS OF FORMING SAME

Номер патента: US20170133508A1. Автор: LIU Chi-Wen,Ching Kuo-Cheng. Владелец: . Дата публикации: 2017-05-11.

Semiconductor device including source/drain region

Номер патента: US20200152740A1. Автор: Seung Hun Lee,Young Dae Cho,Su Jin Jung,Sung Uk JANG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-05-14.

VERTICAL FIELD EFFECT TRANSISTOR WITH BOTTOM SOURCE-DRAIN REGION

Номер патента: US20210210632A1. Автор: Reznicek Alexander,Yeh Chun-chen,Xie Ruilong,Pranatharthi Haran Balasubramanian S.. Владелец: . Дата публикации: 2021-07-08.

SOI BASED FINFET WITH STRAINED SOURCE-DRAIN REGIONS

Номер патента: US20160190302A1. Автор: Bedell Stephen W.,Sadana Devendra K.,Reznicek Alexander,Fogel Keith E.,Schepis Dominic J.,de Souza Joel P.. Владелец: . Дата публикации: 2016-06-30.

SELF-ALIGNED DOPING IN SOURCE/DRAIN REGIONS FOR LOW CONTACT RESISTANCE

Номер патента: US20180197792A1. Автор: Guo Dechao,Wu Heng,Liu Zuoguang,Tsutsui Gen. Владелец: . Дата публикации: 2018-07-12.

SEMICONDUCTOR DEVICE WITH SILICIDED SOURCE/DRAIN REGION

Номер патента: US20180197955A1. Автор: Huang Chien-Chao,Yeo Yee-Chia,LIN Chun-Chieh,Wang Chao-Hsiung,Hu Chenming. Владелец: . Дата публикации: 2018-07-12.

Semiconductor memory devices having an undercut source/drain region

Номер патента: US20190198503A1. Автор: Hui Zang,Manfred Eller. Владелец: Globalfoundries Inc. Дата публикации: 2019-06-27.

NOVEL SOURCE/DRAIN REGIONS FOR TRANSISTOR DEVICES AND METHODS OF FORMING SAME

Номер патента: US20210234045A1. Автор: Holt Judson R.,ZHU Baofu,Malinowski Arkadiusz,Mishra Shiv Kumar. Владелец: . Дата публикации: 2021-07-29.

SIMPLIFIED GATE TO SOURCE/DRAIN REGION CONNECTIONS

Номер патента: US20180240885A1. Автор: Luning Scott D.,Pritchard David,Neogi Tuhin Guha,Punchihewa Kasun Anupama. Владелец: . Дата публикации: 2018-08-23.

METHODS OF FORMING GRAPHENE CONTACTS ON SOURCE/DRAIN REGIONS OF FINFET DEVICES

Номер патента: US20170243791A1. Автор: JACOB Ajey Poovannummoottil. Владелец: . Дата публикации: 2017-08-24.

USING SELECTIVELY FORMED CAP LAYERS TO FORM SELF-ALIGNED CONTACTS TO SOURCE/DRAIN REGIONS

Номер патента: US20210280690A1. Автор: Cheng Kangguo,Xie Ruilong,Park Chanro,Lee Choonghyun. Владелец: . Дата публикации: 2021-09-09.

METHODS OF FORMING UPPER SOURCE/DRAIN REGIONS ON A VERTICAL TRANSISTOR DEVICE

Номер патента: US20180248046A1. Автор: Zhang John,Shu Jiehui,Huang Haigou,Wu Xusheng. Владелец: . Дата публикации: 2018-08-30.

SOURCE/DRAIN REGIONS OF FINFET DEVICES AND METHODS OF FORMING SAME

Номер патента: US20210391456A1. Автор: SUNG Hsueh-Chang,Li Kun-Mu,Lee Yen-Ru,TING Heng-Wen. Владелец: . Дата публикации: 2021-12-16.

Air gap adjacent a bottom source/drain region of vertical transistor device

Номер патента: US20180308930A1. Автор: Kangguo Cheng,Ruilong Xie,Tenko Yamashita,Chun-Chen Yeh. Владелец: Globalfoundries Inc. Дата публикации: 2018-10-25.

SEMICONDUCTOR DEVICE HAVING TIPLESS EPITAXIAL SOURCE/DRAIN REGIONS

Номер патента: US20170338347A1. Автор: Bohr Mark T.. Владелец: Intel Corporation. Дата публикации: 2017-11-23.

SEMICONDUCTOR DEVICE HAVING TIPLESS EPITAXIAL SOURCE/DRAIN REGIONS

Номер патента: US20200335626A1. Автор: Bohr Mark T.. Владелец: Intel Corporation. Дата публикации: 2020-10-22.

INTERFACE-LESS CONTACTS TO SOURCE/DRAIN REGIONS AND GATE ELECTRODE OVER ACTIVE PORTION OF DEVICE

Номер патента: US20190355829A1. Автор: Basker Veeraraghavan S.,Wang Junli,Bu Huiming. Владелец: . Дата публикации: 2019-11-21.

Semiconductor Device Convex Source/Drain Region

Номер патента: US20190393095A1. Автор: Li Chii-Horng,KUO Chien-I,LIN TZU-CHING,Su Li-Li. Владелец: . Дата публикации: 2019-12-26.

SEMICONDUCTOR DEVICE HAVING TIPLESS EPITAXIAL SOURCE/DRAIN REGIONS

Номер патента: US20220359753A1. Автор: Bohr Mark T.. Владелец: Intel Corporation. Дата публикации: 2022-11-10.

Method for fabricating a semiconductor device having shallow source/drain regions

Номер патента: KR100510525B1. Автор: 이상진,김경수,강희성,오창봉. Владелец: 삼성전자주식회사. Дата публикации: 2005-08-26.

Semiconductor device having depletion barrier layer at source/drain regions and method of forming the same

Номер патента: KR100543472B1. Автор: 리밍. Владелец: 삼성전자주식회사. Дата публикации: 2006-01-20.

Semiconductor structure including stepped source/drain region

Номер патента: TW200845390A. Автор: Huilong Zhu,Zhi-Jiong Luo,Sunfei Fang,Thomas W Dyer. Владелец: Ibm. Дата публикации: 2008-11-16.

Engineered Source/drain Region For N-type Mosfet

Номер патента: CN104037224A. Автор: 陈志辉,李启弘,吕伟元,舒丽丽,黃俊鸿. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-09-10.

Methods of forming upper source/drain regions on a vertical transistor device

Номер патента: US10229999B2. Автор: John Zhang,Xusheng Wu,Jiehui SHU,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2019-03-12.

Semiconductor device having tipless epitaxial source/drain regions

Номер патента: EP2302668A2. Автор: Mark T. Bohr. Владелец: Intel Corp. Дата публикации: 2011-03-30.

Source/drain regions in integrated circuit structures

Номер патента: US11450738B2. Автор: Glenn A. Glass,Anand S. Murthy,Sean T. MA,Biswajeet Guha. Владелец: Intel Corp. Дата публикации: 2022-09-20.

Semiconductor device having tipless epitaxial source/drain regions

Номер патента: KR101389715B1. Автор: 마크 티 볼. Владелец: 인텔 코포레이션. Дата публикации: 2014-04-29.

Shallow source/drain regions for CMOS transistors

Номер патента: US20070037326A1. Автор: Chien-Hao Chen,Tze-Liang Lee,Chun-Feng Nieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2007-02-15.

Transistor with asymmetrically arranged source/drain regions

Номер патента: CN113224137A. Автор: 李文君,谷曼. Владелец: GlobalFoundries US Inc. Дата публикации: 2021-08-06.

Semiconductor device having tipless epitaxial source/drain regions

Номер патента: US10490662B2. Автор: Mark T. Bohr. Владелец: Intel Corp. Дата публикации: 2019-11-26.

Method of forming source/drain regions in a semiconductor device

Номер патента: US6720227B1. Автор: Basab Bandyopadhyay,Jon D. Cheek,Daniel Kadosh,James F. Buller. Владелец: Advanced Micro Devices Inc. Дата публикации: 2004-04-13.

Semiconductor device having tipless epitaxial source/drain regions

Номер патента: EP2149150A4. Автор: Mark T Bohr. Владелец: Intel Corp. Дата публикации: 2012-02-22.

Device with diffusion barrier layer in source/drain regions

Номер патента: CN107045987B. Автор: 赵沛,S·M·潘迪,朱宝富,F·L·贝尼斯坦特. Владелец: Globalfoundries Inc. Дата публикации: 2020-08-21.

Semiconductor device having tipless epitaxial source/drain regions and manufacturing method

Номер патента: CN101681842B. Автор: M·T·博尔. Владелец: Intel Corp. Дата публикации: 2011-07-13.

Nanosheet device with air-gaped source/drain regions

Номер патента: US20230178596A1. Автор: Yi Song,Kangguo Cheng,Ruilong Xie,Huimei Zhou. Владелец: International Business Machines Corp. Дата публикации: 2023-06-08.

Tensile strained NMOS transistor using group III-N source/drain regions

Номер патента: US7592213B2. Автор: Jack T. Kavalieros,Justin K. Brask,Suman Datta,Mantu K. Hudait,Been-Yih Jin. Владелец: Intel Corp. Дата публикации: 2009-09-22.

Semiconductor device having tipless epitaxial source/drain regions

Номер патента: EP3151267B1. Автор: Mark T. Bohr. Владелец: Intel Corp. Дата публикации: 2024-06-12.

Dram having deeper source drain region than that of an logic region

Номер патента: US7911005B2. Автор: Hiroki Shirai. Владелец: Renesas Electronics Corp. Дата публикации: 2011-03-22.

Method of enhancing surface doping concentration of source/drain regions

Номер патента: US9613817B1. Автор: Vimal Kamineni,Steven Bentley. Владелец: Globalfoundries Inc. Дата публикации: 2017-04-04.

Fabrication of an eeprom cell with emitter-polysilicon source/drain regions

Номер патента: WO2006016972A2. Автор: Muhammad I. Chaudhry. Владелец: ATMEL CORPORATION. Дата публикации: 2006-02-16.

Fabrication of an eeprom cell with emitter-polysilicon source/drain regions

Номер патента: EP1779424A2. Автор: Muhammad I.; CHAUDHRY. Владелец: Atmel Corp. Дата публикации: 2007-05-02.

SELECTIVE CONTACT ETCH FOR UNMERGED EPITAXIAL SOURCE/DRAIN REGIONS

Номер патента: US20170047325A1. Автор: Reznicek Alexander,Mehta Sanjay C.. Владелец: . Дата публикации: 2017-02-16.

ELONGATED SOURCE/DRAIN REGION STRUCTURE IN FINFET DEVICE

Номер патента: US20180151731A1. Автор: Yang Feng-Cheng,LEE Wei-Yang,Li Chii-Horng,Chen Ting-Yeh. Владелец: . Дата публикации: 2018-05-31.

HYBRID FINFET STRUCTURE WITH BULK SOURCE/DRAIN REGIONS

Номер патента: US20190237564A1. Автор: Jan Chia-Hong,Hafez Walid M.,Ramaswamy Rahul,OLAC-VAW Roman W.,LEE CHEN-GUAN,CHANG Hsu-Yu,DIAS Neville L.. Владелец: . Дата публикации: 2019-08-01.

SELECTIVE CONTACT ETCH FOR UNMERGED EPITAXIAL SOURCE/DRAIN REGIONS

Номер патента: US20190333916A1. Автор: Reznicek Alexander,Mehta Sanjay C.. Владелец: . Дата публикации: 2019-10-31.

Selective contact etch for unmerged epitaxial source/drain regions

Номер патента: US10366988B2. Автор: Alexander Reznicek,Sanjay C. Mehta. Владелец: International Business Machines Corp. Дата публикации: 2019-07-30.

High voltage transistor with fin source/drain regions and trench gate structure

Номер патента: US20210036108A1. Автор: Srikanth Balaji Samavedam,Jagar Singh. Владелец: GlobalFoundries US Inc. Дата публикации: 2021-02-04.

Memory structure having a hexagonal shaped bit line contact disposed on a source/drain region

Номер патента: US20230124715A1. Автор: Yu-Ying Lin. Владелец: Nanya Technology Corp. Дата публикации: 2023-04-20.

Semiconductor device having transistors in which source/drain regions are shared

Номер патента: US20210020746A1. Автор: Toshinao Ishii. Владелец: Micron Technology Inc. Дата публикации: 2021-01-21.

Gap fill of metal stack in replacement gate process

Номер патента: US09935174B2. Автор: Victor Chan,Jin Ping HAN,Shangbin KO. Владелец: International Business Machines Corp. Дата публикации: 2018-04-03.

Gap fill of metal stack in replacement gate process

Номер патента: US09748358B2. Автор: Victor Chan,Jin Ping HAN,Shangbin KO. Владелец: International Business Machines Corp. Дата публикации: 2017-08-29.

Method of forming gate spacer for nanowire fet device

Номер патента: US20190296128A1. Автор: Jeffrey Smith,Anton Devilliers. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-09-26.

Replacement gate structure for enhancing conductivity

Номер патента: US09508826B2. Автор: Arvind Kumar,Anthony I. Chou,Sungjae Lee. Владелец: Globalfoundries Inc. Дата публикации: 2016-11-29.

Replacement gate structure for enhancing conductivity

Номер патента: US09450072B2. Автор: Arvind Kumar,Anthony I. Chou,Sungjae Lee. Владелец: Globalfoundries Inc. Дата публикации: 2016-09-20.

Replacement gate structure on finfet devices with reduced size fin in the channel region

Номер патента: US20150364595A1. Автор: Hui Zang,Bingwu Liu. Владелец: Globalfoundries Inc. Дата публикации: 2015-12-17.

Method for integrating replacement gate in semiconductor device

Номер патента: US20130005097A1. Автор: Qiuxia Xu,Gaobo Xu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-01-03.

Vertical replacement-gate silicon-on-insulator transistor

Номер патента: US20030064567A1. Автор: Samir Chaudhry,Paul Layman,J. Thomson,Jack Zhao,John McMacken. Владелец: Individual. Дата публикации: 2003-04-03.

Dual hard mask replacement gate

Номер патента: US20200335336A1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2020-10-22.

Stacked field effect transistor devices with replacement gate

Номер патента: US12094937B2. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2024-09-17.

Self-aligned contact for replacement gate devices

Номер патента: US20130175587A1. Автор: Ying Li,Ravikumar Ramachandran,Richard S. Wise. Владелец: International Business Machines Corp. Дата публикации: 2013-07-11.

Partially recessed channel core transistors in replacement gate flow

Номер патента: US20160284596A1. Автор: Mahalingam Nandakumar. Владелец: Texas Instruments Inc. Дата публикации: 2016-09-29.

Fringe capacitance reduction for replacement gate CMOS

Номер патента: US09780192B2. Автор: Mahalingam Nandakumar,Hiroaki Niimi. Владелец: Texas Instruments Inc. Дата публикации: 2017-10-03.

Nanowire field effect transistor device having a replacement gate

Номер патента: US20190229186A1. Автор: Richard Kenneth Oxland. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-07-25.

Nanowire Field Effect Transistor Device Having a Replacement Gate

Номер патента: US20170025538A1. Автор: Richard Kenneth Oxland. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-26.

Nanowire field effect transistor device having a replacement gate

Номер патента: US20180174821A1. Автор: Richard Kenneth Oxland. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-06-21.

Verfahren zum Bilden von Replacement-Gate-Strukturen für vertikale Transistoren

Номер патента: DE102019208418A1. Автор: Steven Bentley,Steven Soss. Владелец: Globalfoundries Inc. Дата публикации: 2020-01-09.

Robust gate spacer for semiconductor devices

Номер патента: US09929255B2. Автор: Effendi Leobandung,Tenko Yamashita. Владелец: International Business Machines Corp. Дата публикации: 2018-03-27.

Vertical fin with a gate structure having a modified gate geometry

Номер патента: US20180315825A1. Автор: Peng Xu,Kangguo Cheng. Владелец: International Business Machines Corp. Дата публикации: 2018-11-01.

Densified gate spacers and formation thereof

Номер патента: US12107145B2. Автор: Yung-Cheng Lu,Chi On Chui,Te-En CHENG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-01.

Robust gate spacer for semiconductor devices

Номер патента: US09570554B2. Автор: Effendi Leobandung,Tenko Yamashita. Владелец: International Business Machines Corp. Дата публикации: 2017-02-14.

Vertical fin with a gate structure having a modified gate geometry

Номер патента: US20180323271A1. Автор: Peng Xu,Kangguo Cheng. Владелец: International Business Machines Corp. Дата публикации: 2018-11-08.

Replacement gate self-aligned carbon nanostructure transistor

Номер патента: US20140353589A1. Автор: Fei Liu,Qing Cao,Zhen Zhang,Zhengwen Li. Владелец: International Business Machines Corp. Дата публикации: 2014-12-04.

Replacement gate self-aligned carbon nanostructure transistor

Номер патента: US20140353590A1. Автор: Fei Liu,Qing Cao,Zhen Zhang,Zhengwen Li. Владелец: International Business Machines Corp. Дата публикации: 2014-12-04.

Gate spacers and methods of forming

Номер патента: US09577070B2. Автор: Chun Hsiung Tsai,Kuo-Feng Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-21.

Single-gate FinFET and fabrication method thereof

Номер патента: EP2393118A1. Автор: Shing-Hwa Renn. Владелец: Nanya Technology Corp. Дата публикации: 2011-12-07.

Nanosheet channel post replacement gate process

Номер патента: US20190229218A1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2019-07-25.

Selector transistor with metal replacement gate wordline

Номер патента: US20210391386A1. Автор: Dafna Beery,Amitay Levi,Andrew J. Walker,Peter Cuevas. Владелец: Spin Assignment for Benefit of Creditors LLC. Дата публикации: 2021-12-16.

Replacement gate structures for transistor devices

Номер патента: US09953978B2. Автор: Shom Ponoth,Ruilong Xie,Kisik Choi,Su Chen Fan. Владелец: International Business Machines Corp. Дата публикации: 2018-04-24.

FinFET semiconductor devices with replacement gate structures

Номер патента: US09773867B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2017-09-26.

Method of manufacturing a dual-gate FinFET

Номер патента: US09680023B1. Автор: YU Bao. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2017-06-13.

Fet with replacement gate structure and method of fabricating the same

Номер патента: CA2757818A1. Автор: Brent A. Anderson,Edward J. Nowak. Владелец: International Business Machines Corp. Дата публикации: 2010-12-29.

Conformal replacement gate electrode for short channel devices

Номер патента: GB2582080A. Автор: Ando Takashi,Narayanan Vijay,Bao Ruqiang,Rozen John,Ogawa Yohei,Hatanaka Masanobu. Владелец: Ulvac Inc. Дата публикации: 2020-09-09.

Conformal replacement gate electrode for short channel devices

Номер патента: US20200066859A1. Автор: Yohei Ogawa,Takashi Ando,Vijay Narayanan,Masanobu Hatanaka,John Rozen,Ruqiang Bao. Владелец: Ulvac Inc. Дата публикации: 2020-02-27.

Process to fabricate a novel source-drain extension

Номер патента: SG125960A1. Автор: SONG JUN,QUEK Shyue Fong,XING Yu,Ang Ting Cheong. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2006-10-30.

Antifuses using a finfet architecture

Номер патента: US20240063114A1. Автор: Si-Woo Lee. Владелец: Micron Technology Inc. Дата публикации: 2024-02-22.

Process to fabricate a novel source-drain extension

Номер патента: SG125122A1. Автор: SONG JUN,XING Yu,Ang Ting Cheong,Quek Shyfue Fong. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2006-09-29.

Semiconductor device and a method for fabricating the same

Номер патента: US20180005897A1. Автор: Chen-Chin Liu,Meng-Han LIN,Chih-Ren Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-04.

Semiconductor device with a fin-shaped active region and a gate electrode

Номер патента: US12015086B2. Автор: Jae-Hoon Lee,Tae-Young Kim,Gi-gwan PARK. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-18.

Bidirectional jfet and a process of forming the same

Номер патента: US20180061998A1. Автор: Prasad Venkatraman,Balaji Padmanabhan. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2018-03-01.

Semiconductor device with increased distance between channel edges and a gate electrode

Номер патента: US5747828A. Автор: Masahiro Adachi,Takashi Funai,Akihiro Hata. Владелец: Sharp Corp. Дата публикации: 1998-05-05.

Integrated circuit device and a method of manufacturing the same

Номер патента: US20210134796A1. Автор: Seulki HONG,Jeonglim Kim,Myungsoo Noh,Sunghwan BAE,Moongi CHO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-05-06.

HETEROGENEOUS SOURCE DRAIN REGION AND EXTENSION REGION

Номер патента: US20160013313A1. Автор: Cheng Kangguo,Reznicek Alexander,Khakifirooz Ali,Hashemi Pouya. Владелец: . Дата публикации: 2016-01-14.

Method of gettering using doped SOG and a planarization technique

Номер патента: US5869388A. Автор: Tsiu Chiu Chan,Frank Randolph Bryant. Владелец: STMicroelectronics lnc USA. Дата публикации: 1999-02-09.

Transistor Architecture having extended recessed spacer and source/drain regions and method of making same

Номер патента: GB201513898D0. Автор: . Владелец: Intel Corp. Дата публикации: 2015-09-23.

Methods of forming source/drain regions using multilayer side wall spacers and structures so formed

Номер патента: US20030186508A1. Автор: Do-Hyung Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2003-10-02.

MOS transistor including extended NLDD source-drain regions for improved ruggedness

Номер патента: TW201123311A. Автор: Martin Alter. Владелец: Micrel Inc. Дата публикации: 2011-07-01.

STRUCTURE TO ENABLE TITANIUM CONTACT LINER ON pFET SOURCE/DRAIN REGIONS

Номер патента: US20170012120A1. Автор: Basker Veeraraghavan S.,Reznicek Alexander,Fogel Keith E.,Munro Nicole S.. Владелец: . Дата публикации: 2017-01-12.

GATE-ALL-AROUND (GAA) TRANSISTORS WITH SHALLOW SOURCE/DRAIN REGIONS AND METHODS OF FABRICATING THE SAME

Номер патента: US20220037493A1. Автор: SONG Stanley Seungchul,RIM Kern,Feng Peijie. Владелец: . Дата публикации: 2022-02-03.

Implantations for Forming Source/Drain Regions of Different Transistors

Номер патента: US20190035694A1. Автор: Wang Chun-Chieh,Chang Shih-Chieh,MORE Shahaji B.,Pan Zheng-Yang. Владелец: . Дата публикации: 2019-01-31.

LOW RESISTANCE SOURCE/DRAIN REGIONS IN III-V TRANSISTORS

Номер патента: US20200075753A1. Автор: YANG Bin,Li Xia,Tao Gengming. Владелец: . Дата публикации: 2020-03-05.

SEMICONDUCTOR DEVICE HAVING TIPLESS EPITAXIAL SOURCE/DRAIN REGIONS

Номер патента: US20160133749A1. Автор: Bohr Mark T.. Владелец: . Дата публикации: 2016-05-12.

Controlling the Shape of Source/Drain Regions in FinFETs

Номер патента: US20150137183A1. Автор: Su Chien-Chang,Chen Kuan-Yu,KWOK Tsz-Mei,SUNG Hsueh-Chang,LIN Hsien-Hsin. Владелец: . Дата публикации: 2015-05-21.

STRUCTURE TO ENABLE TITANIUM CONTACT LINER ON pFET SOURCE/DRAIN REGIONS

Номер патента: US20200127132A1. Автор: Basker Veeraraghavan S.,Reznicek Alexander,Fogel Keith E.,Munro Nicole S.. Владелец: . Дата публикации: 2020-04-23.

FACETED EPITAXIAL SOURCE/DRAIN REGIONS

Номер патента: US20200144365A1. Автор: Hong Wei,McArdle Timothy J.,Holt Judson R.,Qi Yi,Zang Hui,JIANG Liu,MULFINGER George R.,SICHLER Steffen A.,AYDIN Ömür I.. Владелец: . Дата публикации: 2020-05-07.

Engineered Source/Drain Region for N-Type MOSFET

Номер патента: US20140252468A1. Автор: CHEN Jyh-Huei,Lu Wei-Yuan,HUANG Chun-Hung,Li Chii-Horng,SU Lilly. Владелец: TAIWAN SEMICONDUCTOR MANUFACTURING CO. LTD.. Дата публикации: 2014-09-11.

DEVICE WITH DIFFUSION BLOCKING LAYER IN SOURCE/DRAIN REGION

Номер патента: US20180175198A1. Автор: Pandey Shesh Mani,ZHU Baofu,Zhao Pei,Benistant Francis L.. Владелец: . Дата публикации: 2018-06-21.

METHODS OF FORMING CONTACTS TO SOURCE/DRAIN REGIONS OF FINFET DEVICES

Номер патента: US20140273369A1. Автор: Wei Andy C.,Koh Shao Ming. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2014-09-18.

Epitaxial Source/Drain Regions in FinFETs and Methods for Forming the Same

Номер патента: US20150200271A1. Автор: Lee Tung Ying,WANG Chen-Han. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2015-07-16.

SEMICONDUCTOR MEMORY DEVICES HAVING AN UNDERCUT SOURCE/DRAIN REGION

Номер патента: US20180197867A1. Автор: Zang Hui,Eller Manfred. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2018-07-12.

TRANSISTORS WITH ASYMMETRICALLY-POSITIONED SOURCE/DRAIN REGIONS

Номер патента: US20210249307A1. Автор: Li Wenjun,Gu Man. Владелец: . Дата публикации: 2021-08-12.

DEVICE WITH DIFFUSION BLOCKING LAYER IN SOURCE/DRAIN REGION

Номер патента: US20170229578A1. Автор: Pandey Shesh Mani,ZHU Baofu,Zhao Pei,Benistant Francis L.. Владелец: . Дата публикации: 2017-08-10.

SOURCE/DRAIN REGIONS IN INTEGRATED CIRCUIT STRUCTURES

Номер патента: US20210305367A1. Автор: Glass Glenn A.,Murthy Anand S.,GUHA BISWAJEET,Ma Sean T.. Владелец: Intel Corporation. Дата публикации: 2021-09-30.

FINFET DEVICES WITH DIFFERENT FIN HEIGHTS IN THE CHANNEL AND SOURCE/DRAIN REGIONS

Номер патента: US20150279999A1. Автор: Xie Ruilong,Wei Andy C.,Cai Xiuyu. Владелец: . Дата публикации: 2015-10-01.

CONSTRAINED EPITAXIAL SOURCE/DRAIN REGIONS ON SEMICONDUCTOR-ON-INSULATOR FINFET DEVICE

Номер патента: US20150357412A1. Автор: Greene Brian J.,Kumar Arvind,Mocuta Dan M.. Владелец: . Дата публикации: 2015-12-10.

METAL OXIDE SEMICONDUCTOR HAVING EPITAXIAL SOURCE DRAIN REGIONS AND A METHOD OF MANUFACTURING SAME USING DUMMY GATE PROCESS

Номер патента: US20160359042A1. Автор: Tateshita Yasushi. Владелец: . Дата публикации: 2016-12-08.

Selective recessing of source/drain regions of NFET/PFET

Номер патента: CN110416081B. Автор: 王鹏,陈建安,林焕哲,张云闵,王冠人,陈煌明. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-10-28.

Semiconductor device having a ghost source/drain region and a method of manufacture therefor

Номер патента: US20020190344A1. Автор: Ian Wylie,John Michejda. Владелец: Individual. Дата публикации: 2002-12-19.

Method for forming elevated salicide source / drain regions

Номер патента: JP4446949B2. Автор: 滋 欽 具,東 浩 安,錫 薫 洪,哲 性 金,載 潤 柳,哲 準 崔. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2010-04-07.

Field effect transistors including source/drain regions extending beneath pillars

Номер патента: CN101093855A. Автор: 尹在万,徐亨源,金奉秀,孙英雄. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-12-26.

A method of producing a semiconductor device having a source drain region of small junction depth

Номер патента: US20010036712A1. Автор: Toru Yamazaki. Владелец: Individual. Дата публикации: 2001-11-01.

Semiconductor device having a ghost source/drain region and a method of manufacture therefor

Номер патента: US6864547B2. Автор: Ian Wylie,John A. Michejda. Владелец: Agere Systems LLC. Дата публикации: 2005-03-08.

Formation of silicided surfaces for silicon/carbon source/drain regions

Номер патента: US20070200176A1. Автор: Sven Beyer,Rolf Stephan,Thorsten Kammler,Patrick Press. Владелец: Advanced Micro Devices Inc. Дата публикации: 2007-08-30.

Method of manufacturing improving quality of sige source/drain region

Номер патента: WO2017067157A1. Автор: 钟旻. Владелец: 上海集成电路研发中心有限公司. Дата публикации: 2017-04-27.

ASYMMETRIC SOURCE/DRAIN REGIONS OF TRANSISTORS

Номер патента: US20200176564A1. Автор: Lee Si-Woo,Pulugurtha Srinivas,GAO Yunfei. Владелец: . Дата публикации: 2020-06-04.

Integrated short channel omega gate FinFET and long channel FinFET

Номер патента: US12100766B2. Автор: Oleg Gluschenkov,Alexander Reznicek,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2024-09-24.

Integrated chip with a gate structure over a recess

Номер патента: US20220336605A1. Автор: Ming Chyi Liu,Yong-Sheng Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-10-20.

Integrated chip with a gate structure disposed within a trench

Номер патента: US20230345728A1. Автор: Ming Chyi Liu,Yong-Sheng Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-26.

Integrated chip with a gate structure over a recess

Номер патента: US20220165859A1. Автор: Ming Chyi Liu,Yong-Sheng Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-05-26.

Integrated chip with a gate structure over a recess

Номер патента: US11735636B2. Автор: Ming Chyi Liu,Yong-Sheng Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-08-22.

Semiconductor memory device having an insulating film and a trap film joined in a channel region

Номер патента: US5338954A. Автор: Noriyuki Shimoji. Владелец: ROHM CO LTD. Дата публикации: 1994-08-16.

Integrated semiconductor circuit comprising a transistor and a strip conductor

Номер патента: US20060049469A1. Автор: Joerg Vollrath. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2006-03-09.

Integrated semiconductor circuit comprising a transistor and a strip conductor

Номер патента: US7372095B2. Автор: Joerg Vollrath. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2008-05-13.

FINFET DEVICE WITH SILICIDED SOURCE-DRAIN REGIONS AND METHOD OF MAKING SAME USING A TWO STEP ANNEAL

Номер патента: US20140106529A1. Автор: Beneyton Rémi,Morin Pierre. Владелец: . Дата публикации: 2014-04-17.

Memory cell, an array, and a method for manufacturing a memory cell

Номер патента: US8546862B2. Автор: Dusan Golubovic. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-10-01.

SEMICONDUCTOR DEVICE HAVING TIPLESS EPITAXIAL SOURCE/DRAIN REGIONS

Номер патента: US20130240950A1. Автор: Bohr Mark T.. Владелец: . Дата публикации: 2013-09-19.

SEMICONDUCTOR DEVICE HAVING TRANSISTORS IN WHICH SOURCE/DRAIN REGIONS ARE SHARED

Номер патента: US20210020746A1. Автор: ISHII Toshinao. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2021-01-21.

METHODS FOR HIGH-K METAL GATE CMOS WITH SiC AND SiGe SOURCE/DRAIN REGIONS

Номер патента: US20160087040A1. Автор: MAO Gang. Владелец: . Дата публикации: 2016-03-24.

Semiconductor Device Having Transistors In Which Source/Drain Regions Are Shared

Номер патента: US20210175331A1. Автор: ISHII Toshinao. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2021-06-10.

METAL OXIDE SEMICONDUCTOR HAVING EPITAXIAL SOURCE DRAIN REGIONS AND A METHOD OF MANUFACTURING SAME USING DUMMY GATE PROCESS

Номер патента: US20160204203A1. Автор: Tateshita Yasushi. Владелец: . Дата публикации: 2016-07-14.

GRAPHENE CONTACTS ON SOURCE/DRAIN REGIONS OF FINFET DEVICES

Номер патента: US20180233413A1. Автор: JACOB Ajey Poovannummoottil. Владелец: . Дата публикации: 2018-08-16.

SOURCE/DRAIN REGIONS IN INTEGRATED CIRCUIT STRUCTURES

Номер патента: US20210384307A1. Автор: Ma Sean T.,WEBER Cory E.. Владелец: Intel Corporation. Дата публикации: 2021-12-09.

SEMICONDUCTOR DEVICES INCLUDING SOURCE/DRAIN REGIONS HAVING SILICON CARBON

Номер патента: US20160315160A1. Автор: TAK Yong-Suk,LEE Hyunjung,Joe Jinyeong,PARK Keumseok. Владелец: . Дата публикации: 2016-10-27.

VERTICAL FIELD EFFECT TRANSISTORS WITH METALLIC SOURCE/DRAIN REGIONS

Номер патента: US20170317177A1. Автор: Venigalla Rajasekhar,Robison Robert R.,Vega Reinaldo,Mallela Hari V.. Владелец: . Дата публикации: 2017-11-02.

METAL OXIDE SEMICONDUCTOR HAVING EPITAXIAL SOURCE DRAIN REGIONS AND A METHOD OF MANUFACTURING SAME USING DUMMY GATE PROCESS

Номер патента: US20150340498A1. Автор: Tateshita Yasushi. Владелец: . Дата публикации: 2015-11-26.

TRANSISTOR STRUCTURE INCLUDING EPITAXIAL CHANNEL LAYERS AND RAISED SOURCE/DRAIN REGIONS

Номер патента: US20150349065A1. Автор: Lee Tze-Liang,Li Chii-Horng,Li Kun-Mu. Владелец: . Дата публикации: 2015-12-03.

Inner L-spacer for replacement gate flow

Номер патента: US09768078B2. Автор: Seung-Chul Song,Brian K. Kirkpatrick,Chet Vernon Lenox. Владелец: Texas Instruments Inc. Дата публикации: 2017-09-19.

Inner L-spacer for replacement gate flow

Номер патента: US09362375B2. Автор: Seung-Chul Song,Brian K. Kirkpatrick,Chet Vernon Lenox. Владелец: Texas Instruments Inc. Дата публикации: 2016-06-07.

Integration of floating gate memory and logic device in replacement gate flow

Номер патента: US09899397B1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2018-02-20.

Inner l-spacer for replacement gate flow

Номер патента: US20150069516A1. Автор: Seung-Chul Song,Brian K. Kirkpatrick,Chet Vernon Lenox. Владелец: Texas Instruments Inc. Дата публикации: 2015-03-12.

Inner l-spacer for replacement gate flow

Номер патента: US20150311304A1. Автор: Seung-Chul Song,Brian K. Kirkpatrick,Chet Vernon Lenox. Владелец: Texas Instruments Inc. Дата публикации: 2015-10-29.

Inner l-spacer for replacement gate flow

Номер патента: US20150279966A1. Автор: Seung-Chul Song,Brian K. Kirkpatrick,Chet Vernon Lenox. Владелец: Texas Instruments Inc. Дата публикации: 2015-10-01.

Inner L-spacer for replacement gate flow

Номер патента: US9178037B2. Автор: Seung-Chul Song,Brian K. Kirkpatrick,Chet Vernon Lenox. Владелец: Texas Instruments Inc. Дата публикации: 2015-11-03.

Integration of floating gate memory and logic device in replacement gate flow

Номер патента: US20180053773A1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2018-02-22.

Integrated circuit with replacement gate stacks and method of forming same

Номер патента: US09589806B1. Автор: John A. Fitzsimmons,Unoh Kwon,Ruqiang Bao,Huihang Dong. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-07.

Integrated circuit and method for fabricating the same having a replacement gate structure

Номер патента: US09666690B2. Автор: Hoon Kim,Kisik Choi. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-30.

Integrated circuits including replacement gate structures and methods for fabricating the same

Номер патента: US09761691B2. Автор: Min-Hwa Chi,Xusheng Wu,Dong-woon Shin. Владелец: Globalfoundries Inc. Дата публикации: 2017-09-12.

Metal resistor using FinFET-based replacement gate process

Номер патента: US09478625B1. Автор: Huang Liu,Min-Hwa Chi,Hui Zang. Владелец: Globalfoundries Inc. Дата публикации: 2016-10-25.

High quality dielectric for hi-k last replacement gate transistors

Номер патента: US20150187659A1. Автор: Mahalingam Nandakumar,Hiroaki Niimi. Владелец: Texas Instruments Inc. Дата публикации: 2015-07-02.

Replacement gate with tera cap

Номер патента: US20060128055A1. Автор: Kangguo Cheng,Ramachandra Divakaruni,Kenneth Settlemyer. Владелец: International Business Machines Corp. Дата публикации: 2006-06-15.

Multi-gate FinFET semiconductor device with flexible design width

Номер патента: US09691763B2. Автор: Tenko Yamashita,Chun-Chen Yeh,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-06-27.

Process to fabricate the non-silicide region for electrostatic discharge protection circuit

Номер патента: US5998247A. Автор: Shye-Lin Wu. Владелец: Texas Instruments Acer Inc. Дата публикации: 1999-12-07.

Method of making thin film transistor and a silicide local interconnect

Номер патента: US5468662A. Автор: Robert H. Havemann. Владелец: Texas Instruments Inc. Дата публикации: 1995-11-21.

A bicmos device having a cmos gate electrode and a bipolar emitter each containing two imurities of the same conductivity type

Номер патента: US20020145167A1. Автор: Toru Yamazaki. Владелец: NEC Corp. Дата публикации: 2002-10-10.

Methods of Forming a PMOS Device with In Situ Doped Epitaxial Source/Drain Regions

Номер патента: US20130029463A1. Автор: Ralf Illgen,Stefan Flachowsky,Ina Ostermay. Владелец: Globalfoundries Inc. Дата публикации: 2013-01-31.

HIGH VOLTAGE TRANSISTOR WITH FIN SOURCE/DRAIN REGIONS AND TRENCH GATE STRUCTURE

Номер патента: US20210036108A1. Автор: SINGH Jagar,SAMAVEDAM Srikanth Balaji. Владелец: . Дата публикации: 2021-02-04.

METHODS OF MANUFACTURING INTEGRATED CIRCUITS HAVING FINFET STRUCTURES WITH EPITAXIALLY FORMED SOURCE/DRAIN REGIONS

Номер патента: US20150099336A1. Автор: Chi Min-Hwa,WONG Hoong Shing. Владелец: . Дата публикации: 2015-04-09.

TRENCH BETWEEN STACKED SEMICONDUCTOR SUBSTRATES MAKING CONTACT WITH SOURCE-DRAIN REGION

Номер патента: US20180122846A1. Автор: Roy Francois. Владелец: STMICROELECTRONICS (CROLLES 2) SAS. Дата публикации: 2018-05-03.

Method of forming source/drain region of semiconductor device

Номер патента: CN1855427A. Автор: 李东浩. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-11-01.

Methods of forming a PMOS device with in situ doped epitaxial source/drain regions

Номер патента: US8466018B2. Автор: Ralf Illgen,Stefan Flachowsky,Ina Ostermay. Владелец: Globalfoundries Inc. Дата публикации: 2013-06-18.

Semiconductor device having non-planar interface between a plug layer and a contact layer

Номер патента: US8987917B2. Автор: Yukio Maki. Владелец: Renesas Electronics Corp. Дата публикации: 2015-03-24.

Semiconductor device having non-planar interface between a plug layer and a contact layer

Номер патента: US20130214428A1. Автор: Yukio Maki. Владелец: Renesas Electronics Corp. Дата публикации: 2013-08-22.

Fabrication of an eeprom cell with emitter-polysilicon source/drain regions

Номер патента: EP1779424A4. Автор: Muhammad I Chaudhry. Владелец: Atmel Corp. Дата публикации: 2009-03-25.

Non-volatile memory with sidewall channels and raised source/drain regions

Номер патента: TW201001717A. Автор: James Kai,Takashi Orimoto,Henry Chien,George Matamis,Vinod R Purayath. Владелец: SanDisk Corp. Дата публикации: 2010-01-01.

Ztcr poly resistor in replacement gate flow

Номер патента: US20140167182A1. Автор: Mahalingam Nandakumar,Amitabh Jain,Deborah J. Riley. Владелец: Texas Instruments Inc. Дата публикации: 2014-06-19.

Integrating formation of a replacement gate transistor and a non-volatile memory cell using a high-k dielectric

Номер патента: US20130330893A1. Автор: Mehul D. Shroff,Mark D. Hall. Владелец: Individual. Дата публикации: 2013-12-12.

Gate cut subsequent to replacement gate

Номер патента: US20230143317A1. Автор: Andrew M. Greene,Ruilong Xie,Chanro Park,Andrew Gaul. Владелец: International Business Machines Corp. Дата публикации: 2023-05-11.

Replacement gate formation in memory

Номер патента: WO2022235364A1. Автор: Thomas M. Graettinger. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2022-11-10.

Replacement gate formation in memory

Номер патента: US20230282730A1. Автор: Thomas M. Graettinger. Владелец: Micron Technology Inc. Дата публикации: 2023-09-07.

Semiconductor device and a manufacturing method thereof

Номер патента: US20160172419A1. Автор: Takeshi Kamino. Владелец: Renesas Electronics Corp. Дата публикации: 2016-06-16.

Devices having a transistor and a capacitor along a common horizontal level, and methods of forming devices

Номер патента: EP3682477A1. Автор: Fredrick D. Fishburn. Владелец: Micron Technology Inc. Дата публикации: 2020-07-22.

Structure of a dram and a manufacturing process therefor

Номер патента: US20020055227A1. Автор: Jing-Horng Gau. Владелец: Individual. Дата публикации: 2002-05-09.

Self-aligned gate-first VFETs using a gate spacer recess

Номер патента: US09536793B1. Автор: John H. Zhang,Chanro Park,Kwan-Yong Lim,Steven John Bentley. Владелец: Globalfoundries Inc. Дата публикации: 2017-01-03.

Integrated circuit with replacement gate stacks and method of forming same

Номер патента: US09922884B2. Автор: Ruqiang Bao,Siddarth A. Krishnan. Владелец: International Business Machines Corp. Дата публикации: 2018-03-20.

Integrated circuit with replacement gate stacks and method of forming same

Номер патента: US10553498B2. Автор: Ruqiang Bao,Siddarth A. Krishnan. Владелец: International Business Machines Corp. Дата публикации: 2020-02-04.

Integrated circuit with replacement gate stacks and method of forming same

Номер патента: US20180102294A1. Автор: Ruqiang Bao,Siddarth A. Krishnan. Владелец: International Business Machines Corp. Дата публикации: 2018-04-12.

Method of manufacturing memory structure having a hexagonal shaped bit line contact disposed on a source/drain region

Номер патента: US11943914B2. Автор: Yu-Ying Lin. Владелец: Nanya Technology Corp. Дата публикации: 2024-03-26.

Memory device having buried source/drain region and fabrication thereof

Номер патента: US20030199142A1. Автор: Shui-Chin Huang. Владелец: Individual. Дата публикации: 2003-10-23.

Fabrication of an eeprom cell with sige source/drain regions

Номер патента: EP1787324A1. Автор: Muhammad I. Chaudhry. Владелец: Atmel Corp. Дата публикации: 2007-05-23.

Fabrication of an eeprom cell with sige source/drain regions

Номер патента: WO2006016969A1. Автор: Muhammad I. Chaudhry. Владелец: ATMEL CORPORATION. Дата публикации: 2006-02-16.

Optimal lvdt/rvdt demodulation using a hybrid algorithm

Номер патента: EP4105610A3. Автор: Robin Hill,David Brookes,Ashish Vijay. Владелец: Hamilton Sundstrand Corp. Дата публикации: 2023-04-26.

Optimal lvdt/rvdt demodulation using a hybrid algorithm

Номер патента: EP4105610A2. Автор: Robin Hill,David Brookes,Ashish Vijay. Владелец: Hamilton Sundstrand Corp. Дата публикации: 2022-12-21.

Electronic transaction system and method using a blockchain to store transaction records

Номер патента: EP3659041A1. Автор: Chun-Wei Yu,Ching Song Wu. Владелец: Eland Blockchain Fintech Inc. Дата публикации: 2020-06-03.

Resolution scaler using a decimal part of an accumulator output as a weight for generating scaled pixel data

Номер патента: US7671876B2. Автор: Jai-Seung Noh. Владелец: MagnaChip Semiconductor Ltd. Дата публикации: 2010-03-02.

System and method for facilitating distribution of limited resources using a dropzone

Номер патента: US11818095B2. Автор: Hui Zhou. Владелец: Identity Digital Ltd. Дата публикации: 2023-11-14.

System and method for facilitating distribution of limited resources using a dropzone

Номер патента: EP3968257A3. Автор: Hui Zhou. Владелец: Individual. Дата публикации: 2022-06-29.

Front-end systems with directional couplers and a shared back switch

Номер патента: US20190334562A1. Автор: John Jackson Nisbet,Mackenzie Brian COOK. Владелец: Skyworks Solutions Inc. Дата публикации: 2019-10-31.

Improved encoding process using a palette mode

Номер патента: WO2015091879A3. Автор: Guillaume Laroche,Christophe Gisquet,Patrice Onno. Владелец: Canon Europe Ltd. Дата публикации: 2015-08-20.

Device-based PIN authentication process to protect encrypted data

Номер патента: US09639710B2. Автор: Brian Powell,Shaun Cooley,Srinivas CHILLAPPA,Michael W. Lo,Mahesh KAMSALA. Владелец: Symantec Corp. Дата публикации: 2017-05-02.

Video processing using a one-dimensional control function to control processing time and error

Номер патента: US11716442B2. Автор: Michael James Knee. Владелец: Grass Valley Ltd. Дата публикации: 2023-08-01.

Single waistband belt system convertible between a sit harness and quick release utility belt

Номер патента: CA2666734C. Автор: Christopher Kopp. Владелец: Individual. Дата публикации: 2013-01-22.

Mems based spectral shaper using a broadband source

Номер патента: WO2020236900A1. Автор: Satoshi Yamashita,Alexander Payne,Lars Eng,Daniel Eng. Владелец: SILICON LIGHT MACHINES CORPORATION. Дата публикации: 2020-11-26.

Using a concentration risk of a computing resource to define affinity and anti-affinity workloads

Номер патента: US20180203751A1. Автор: Jisoo Lee,Todd M. Goodyear. Владелец: Bank of America Corp. Дата публикации: 2018-07-19.

Conical cutters for drill bits and processes to produce same

Номер патента: SG106391G. Автор: . Владелец: Ceracon Inc. Дата публикации: 1992-02-14.

MEMS Based Spectral Shaper using a Broadband Source

Номер патента: US20200371344A1. Автор: Satoshi Yamashita,Alexander Payne,Lars Eng,Daniel Eng. Владелец: Silicon Light Machines Inc. Дата публикации: 2020-11-26.

USING A CONCENTRATION RISK OF A COMPUTING RESOURCE TO DEFINE AFFINITY AND ANTI-AFFINITY WORKLOADS

Номер патента: US20180203751A1. Автор: Lee Jisoo,Goodyear Todd M.. Владелец: . Дата публикации: 2018-07-19.

Method and system for transportation using a rail structure

Номер патента: US20130152813A1. Автор: Bradley Hunter,Sandor Shapery. Владелец: Individual. Дата публикации: 2013-06-20.

Systems and methods for performing parking policy enforcement using a mobile device

Номер патента: EP3992926A1. Автор: Jean Lambert,Yannick AUBLET,Maxime Bousquet. Владелец: Genetec Inc. Дата публикации: 2022-05-04.

A system and a method for pre-screening qualified candidates using chat bot

Номер патента: WO2019048941A1. Автор: Manikanth DORNADULA. Владелец: DORNADULA MANIKANTH. Дата публикации: 2019-03-14.

System and method for disjunctive joins using a lookup table

Номер патента: US12056123B2. Автор: Thierry Cruanes,Jiaqi YAN,Florian Andreas Funke,Guangyan Hu. Владелец: Snowflake Inc. Дата публикации: 2024-08-06.

Immersion lithography system using a sealed wafer bath

Номер патента: US09696634B2. Автор: Ching-Yu Chang,BURN JENG LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-04.

Method of Growing Plants Using a Water-Layer System

Номер патента: US20220217931A1. Автор: Gerrit Johannes VAN STAALDUINEN. Владелец: Logiqs BV. Дата публикации: 2022-07-14.

Process to prepare a haze free base oil

Номер патента: EP1699903A1. Автор: Nicholas James Adams,Gilbert Robert Bernard Germaine. Владелец: Shell Internationale Research Maatschappij BV. Дата публикации: 2006-09-13.

Method and apparatus for drilling waste disposal engineering and operations using a probabilistic approach

Номер патента: CA2559020C. Автор: Quanxin Guo,Thomas Geehan. Владелец: MI LLC. Дата публикации: 2009-10-13.

Systems and methods for facilitating transactions using a digital currency

Номер патента: EP4272145A1. Автор: Jonathan Mayblum,Zachary Mayblum. Владелец: Ridgeview Digital LLC. Дата публикации: 2023-11-08.

Method for polarizing a terahertz electromagnetic wave using a polarizer

Номер патента: US20140029086A1. Автор: Yuka Yamada,Akihiro Sakai,Kohei Takahashi,Tsutomu Kanno. Владелец: Panasonic Corp. Дата публикации: 2014-01-30.

Method for using a bracket assembly

Номер патента: US11808391B2. Автор: Odair Dafonseca,Joseph Beagen. Владелец: ASC Engineered Solutions LLC. Дата публикации: 2023-11-07.

Method for using a bracket assembly

Номер патента: US20220213987A1. Автор: Odair Dafonseca,Joseph Beagen. Владелец: ASC Engineered Solutions LLC. Дата публикации: 2022-07-07.

A Method and a Machinery for Making an Informational Item.

Номер патента: US20240025694A1. Автор: Sanjay Madhav DANDEKAR,Datta Hari DESHPANDE. Владелец: Individual. Дата публикации: 2024-01-25.

Coding for quad-level memory cells having a replacement gate configuration

Номер патента: US20240045611A1. Автор: Curtis Egan. Владелец: Micron Technology Inc. Дата публикации: 2024-02-08.

Injection molding nozzle with replaceable gate insert

Номер патента: CA1261577A. Автор: Jobst U. Gellert,Robert R. Freier,James S. Sheffield. Владелец: Mold Masters 2007 Ltd. Дата публикации: 1989-09-26.

Method and apparatus for controlling a mold melt-flow process using temperature sensors

Номер патента: US20020084543A1. Автор: Frederick Buja. Владелец: Individual. Дата публикации: 2002-07-04.

Rendering images using a strictly-deterministic methodology for generating a coarse sequence of sample points

Номер патента: CA2449690C. Автор: Georgy Abramov. Владелец: Mental Images Gmbh. Дата публикации: 2008-03-25.

Gnss location determination using a virtual antenna

Номер патента: EP4397999A1. Автор: Gleb Zyryanov,Adam Clare,Greg Wallace,Shawn Weisenburger. Владелец: Trimble Inc. Дата публикации: 2024-07-10.

Gnss location determination using a virtual antenna

Номер патента: US20240219576A1. Автор: Gleb Zyryanov,Adam Clare,Greg Wallace,Shawn Weisenburger. Владелец: Trimble Inc. Дата публикации: 2024-07-04.

MOS Device for Making the Source/Drain Region Closer to the Channel Region and Method of Manufacturing the Same

Номер патента: US20130256664A1. Автор: Yin Huaxiang,Qin Changliang. Владелец: . Дата публикации: 2013-10-03.

Method for reducing junction capacitance of source/drain region

Номер патента: TW430885B. Автор: Jian-Ting Lin,Wen-Guan Ye,Jr-Wen Jou. Владелец: United Microelectronics Corp. Дата публикации: 2001-04-21.

Methods of Fabricating MOS Transistors Having Recesses With Elevated Source/Drain Regions

Номер патента: US20120034746A1. Автор: . Владелец: . Дата публикации: 2012-02-09.

METHOD AND APPARATUS FOR NAND MEMORY WITH RECESSED SOURCE/DRAIN REGION

Номер патента: US20120139023A1. Автор: Hui Angela T.,WANG FEI,CHEN Chun. Владелец: SPANSION LLC. Дата публикации: 2012-06-07.

METAL OXIDE SEMICONDUCTOR HAVING EPITAXIAL SOURCE DRAIN REGIONS AND METHOD OF MANUFACTURING SAME USING DUMMY GATE PROCES

Номер патента: US20130009210A1. Автор: . Владелец: SONY CORPORATION. Дата публикации: 2013-01-10.

SOURCE/DRAIN REGION, CONTACT HOLE AND METHOD FOR FORMING THE SAME

Номер патента: US20130015497A1. Автор: Zhu Huilong,Yin Haizhou,Luo Zhijiong. Владелец: . Дата публикации: 2013-01-17.

TRANSISTOR WITH BOOT SHAPED SOURCE/DRAIN REGIONS

Номер патента: US20130032864A1. Автор: Javorka Peter,Kessler Matthias,Boschke Roman,KRONHOLZ Stephan D.. Владелец: Globalfoundries Inc. Дата публикации: 2013-02-07.

Methods of Forming Source/Drain Regions on Transistor Devices

Номер патента: US20130095627A1. Автор: Flachowsky Stefan,Kessler Matthias,GERHARDT Martin. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-04-18.

MULTIPLE STEP IMPLANT PROCESS FOR FORMING SOURCE/DRAIN REGIONS ON SEMICONDUCTOR DEVICES

Номер патента: US20130230948A1. Автор: Scheiper Thilo,Herrmann Tom. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-09-05.

Annealing method of source / drain regions of MOS transistors

Номер патента: KR970003447A. Автор: 이상선. Владелец: 현대전자산업 주식회사. Дата публикации: 1997-01-28.

The manufacture method of source/drain region

Номер патента: TW401597B. Автор: Ye-Sen Lin,Huei-Chi Ju,Jiun-Bin Yang. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2000-08-11.

Method for producing MOSFET with elevated source/drain region

Номер патента: TW432542B. Автор: Shie-Lin Wu. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2001-05-01.

Replacement Gate Approach for High-K Metal Gate Stacks Based on a Non-Conformal Interlayer Dielectric

Номер патента: US20120001263A1. Автор: Richter Ralf,Frohberg Kai. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

Methods of Forming Nonvolatile Memory Devices Using Nonselective and Selective Etching Techniques to Define Vertically Stacked Word Lines

Номер патента: US20120003831A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

REPLACEMENT GATES TO ENHANCE TRANSISTOR STRAIN

Номер патента: US20120003798A1. Автор: Bohr Mark T.. Владелец: . Дата публикации: 2012-01-05.

APPARATUS FOR AND A METHOD OF DETERMINING SURFACE CHARACTERISTICS

Номер патента: US20120004888A1. Автор: . Владелец: Taylor Hobson Limited. Дата публикации: 2012-01-05.

Method of Regenerating a Polishing Pad Using a Polishing Pad Sub Plate

Номер патента: US20120003903A1. Автор: SUZUKI Eisuke,SUZUKI Tatsutoshi. Владелец: Toho Engineering. Дата публикации: 2012-01-05.

METHOD AND APPARATUS USING A SPLIT CASE DIE TO PRESS A PART AND THE PART PRODUCED THEREFROM

Номер патента: US20120003443A1. Автор: . Владелец: KENNAMETAL INC.. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR TRACKING OF MAIL USING A UNIVERSAL CODING SYSTEM

Номер патента: US20120004765A1. Автор: Hamilton Daryl. Владелец: United States Postal Service. Дата публикации: 2012-01-05.