• Главная
  • Silicide stop layer in a damascene gate semiconductor structure

Silicide stop layer in a damascene gate semiconductor structure

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Semiconductor structures and fabrication methods thereof

Номер патента: US20180012810A1. Автор: Fei Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-01-11.

Method for manufacturing semiconductor structure and semiconductor structure

Номер патента: US12062702B2. Автор: Jie Bai,Mengmeng Yang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-08-13.

Semiconductor structure

Номер патента: US20210135016A1. Автор: Ming-Cheng Lee,Cheng-Tien Wan. Владелец: MediaTek Inc. Дата публикации: 2021-05-06.

Semiconductor structure

Номер патента: EP3817065A1. Автор: Ming-Cheng Lee,Cheng-Tien Wan. Владелец: MediaTek Inc. Дата публикации: 2021-05-05.

Semiconductor structure

Номер патента: US20240170580A1. Автор: Ming-Cheng Lee,Cheng-Tien Wan. Владелец: MediaTek Inc. Дата публикации: 2024-05-23.

Semiconductor structure and method for forming ihe same

Номер патента: US11380582B2. Автор: Che-Fu Chuang. Владелец: Winbond Electronics Corp. Дата публикации: 2022-07-05.

Semiconductor structure and method for forming the same

Номер патента: US20210159119A1. Автор: Che-Fu Chuang. Владелец: Winbond Electronics Corp. Дата публикации: 2021-05-27.

Semiconductor structure and method of manufacturing the same

Номер патента: US20240088234A1. Автор: Ding-Kang SHIH,Chansyun David Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-14.

Method for forming a floating gate in a recess of a shallow trench isolation (STI) region

Номер патента: US09659781B2. Автор: Erwan Dornel. Владелец: International Business Machines Corp. Дата публикации: 2017-05-23.

Semiconductor structure

Номер патента: US11923460B2. Автор: Ming-Cheng Lee,Cheng-Tien Wan. Владелец: MediaTek Inc. Дата публикации: 2024-03-05.

Forming a semiconductor structure for reduced negative bias temperature instability

Номер патента: US09502307B1. Автор: Ruqiang Bao,Siddarth A. Krishnan. Владелец: International Business Machines Corp. Дата публикации: 2016-11-22.

Forming uniform WF metal layers in gate areas of nano-sheet structures

Номер патента: US09685522B1. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2017-06-20.

Semiconductor structure and method for manufacturing the same

Номер патента: US09608077B1. Автор: Jae-Sung Kim,Kun-Young Lee,Jeong-Seob KYE,Tae-Kyum KIM. Владелец: SK hynix Inc. Дата публикации: 2017-03-28.

Method of using polysilicon as stop layer in a replacement metal gate process

Номер патента: US09761692B1. Автор: Chao-Hung Lin,Jyh-Shyang Jenq,Shih-Hung Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2017-09-12.

Method of using polysilicon as stop layer in a replacement metal gate process

Номер патента: US09847402B2. Автор: Chao-Hung Lin,Jyh-Shyang Jenq,Shih-Hung Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2017-12-19.

Insulated-gate semiconductor device

Номер патента: US20190288064A1. Автор: Shigemi Miyazawa. Владелец: Fuji Electric Co Ltd. Дата публикации: 2019-09-19.

Semiconductor structure and manufacturing method thereof

Номер патента: US09748264B1. Автор: Teng Hao Yeh,Yu Wei Jiang. Владелец: Macronix International Co Ltd. Дата публикации: 2017-08-29.

Etch stop in a dep-etch-dep process

Номер патента: US09691655B1. Автор: Keith Kwong Hon Wong,Ruqiang Bao. Владелец: International Business Machines Corp. Дата публикации: 2017-06-27.

Method of forming a semiconductor structure including a vertical nanowire

Номер патента: US20140206157A1. Автор: Ralf Illgen,Stefan Flachowsky,Tim Baldauf,Tom Herrmann. Владелец: Globalfoundries Inc. Дата публикации: 2014-07-24.

Oxide formation in a plasma process

Номер патента: US09460974B1. Автор: Krishnaswamy Ramkumar,Jeong Soo Byun. Владелец: Cypress Semiconductor Corp. Дата публикации: 2016-10-04.

Oxide formation in a plasma process

Номер патента: US09406574B1. Автор: Krishnaswamy Ramkumar,Jeong Soo Byun. Владелец: Cypress Semiconductor Corp. Дата публикации: 2016-08-02.

Semiconductor structure and method for manufacturing the same

Номер патента: US20170069726A1. Автор: Jae-Sung Kim,Kun-Young Lee,Jeong-Seob KYE,Tae-Kyum KIM. Владелец: SK hynix Inc. Дата публикации: 2017-03-09.

P-type nitride semiconductor structure and bipolar transistor

Номер патента: EP1583154A4. Автор: Naoki Kobayashi,Toshiki Makimoto,Kazuhide Kumakura. Владелец: Nippon Telegraph and Telephone Corp. Дата публикации: 2009-05-06.

Semiconductor structure having source/drain gouging immunity

Номер патента: US09905661B2. Автор: Hui Zang. Владелец: Globalfoundries Inc. Дата публикации: 2018-02-27.

Semiconductor structure having source/drain gouging immunity

Номер патента: US09412659B1. Автор: Hui Zang. Владелец: Globalfoundries Inc. Дата публикации: 2016-08-09.

Insulated-gate semiconductor device

Номер патента: US10672869B2. Автор: Shigemi Miyazawa. Владелец: Fuji Electric Co Ltd. Дата публикации: 2020-06-02.

Composite contact etch stop layer

Номер патента: US20190057899A1. Автор: Xusheng Wu,Daniel Jaeger,Haigou Huang,Jinsheng Gao. Владелец: Globalfoundries Inc. Дата публикации: 2019-02-21.

Integrated circuit fabrication with boron etch-stop layer

Номер патента: US09842913B1. Автор: Chengwen Pei,Xusheng Wu,Ziyan Xu. Владелец: Globalfoundries Inc. Дата публикации: 2017-12-12.

Etch stop layer in poly-metal structures

Номер патента: US20030199154A1. Автор: Vishnu Agarwal. Владелец: Individual. Дата публикации: 2003-10-23.

Etch stop layer in poly-metal structures

Номер патента: US20070093025A1. Автор: Vishnu Agarwal. Владелец: Individual. Дата публикации: 2007-04-26.

Method for forming an ultra-shallow junction in a semiconductor substrate using a nuclear stopping layer

Номер патента: US20050287778A1. Автор: Yuan-Chang Lai. Владелец: United Microelectronics Corp. Дата публикации: 2005-12-29.

Semiconductor structure and method of forming the same

Номер патента: US20220005949A1. Автор: Zheng-Long Chen. Владелец: TSMC China Co Ltd. Дата публикации: 2022-01-06.

Power device including a field stop layer

Номер патента: US09685335B2. Автор: Young-Chul Kim,Bong-Yong Lee,Kyu-Hyun Lee,Young-Chul Choi,Kyeong-Seok Park. Владелец: Fairchild Korea Semiconductor Ltd. Дата публикации: 2017-06-20.

Semiconductor structure and high electron mobility transistor

Номер патента: US11942519B2. Автор: Chih-Yen Chen,Franky Juanda LUMBANTORUAN. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2024-03-26.

Etch stop layer in poly-metal structures

Номер патента: US7094673B2. Автор: Vishnu K. Agarwal. Владелец: Micron Technology Inc. Дата публикации: 2006-08-22.

Etch stop layer in poly-metal structures

Номер патента: US20040259338A1. Автор: Vishnu Agarwal. Владелец: Individual. Дата публикации: 2004-12-23.

Etch stop layer in poly-metal structures

Номер патента: US20050020014A1. Автор: Vishnu Agarwal. Владелец: Individual. Дата публикации: 2005-01-27.

Semiconductor Structure Formed Using a Sacrificial Structure

Номер патента: US20080054481A1. Автор: Sean Lian,Bailey Jones,Simon Molloy. Владелец: Individual. Дата публикации: 2008-03-06.

Semiconductor structure and fabrication method thereof

Номер патента: US20180047829A1. Автор: Meng Zhao. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-02-15.

Contact etch stop layers of a field effect transistor

Номер патента: US09685369B2. Автор: Chi-Wen Liu,Chao-Hsiung Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-06-20.

Method for forming semiconductor structure, and semiconductor structure

Номер патента: US20230119755A1. Автор: Qing LUO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-04-20.

FinFET semiconductor structures and methods of fabricating same

Номер патента: US09812336B2. Автор: Michael Ganz,Sruthi Muralidharan,Bingwu Liu,Johannes Marinus VAN MEER. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-07.

Temperature-controlled implanting of a diffusion-suppressing dopant in a semiconductor structure

Номер патента: US09508602B2. Автор: Mitsuhiro Togo. Владелец: Globalfoundries Inc. Дата публикации: 2016-11-29.

Semiconductor structure manufacturing method and two semiconductor structures

Номер патента: US11887854B2. Автор: YUAN Li. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-01-30.

Manufacturing method for semiconductor structure, and two semiconductor structures

Номер патента: EP4199110A1. Автор: YUAN Li. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-06-21.

Method for manufacturing semiconductor structure

Номер патента: US20230369446A1. Автор: Kai Cheng. Владелец: Enkris Semiconductor Inc. Дата публикации: 2023-11-16.

Semiconductor structure

Номер патента: US20240222454A1. Автор: Chih-Wei Huang,Hsu-Cheng Fan,En-Jui Li. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-04.

Semiconductor structure and methods of forming the same

Номер патента: US20230361114A1. Автор: Jhon Jhy Liaw,Ta-Chun Lin,Kuo-Hua Pan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-09.

Dual-gate semiconductor structures for power applications

Номер патента: US20240243197A1. Автор: Nikolaus Klemmer,Amin SHAHVERDI,Elias Reese. Владелец: Qorvo US Inc. Дата публикации: 2024-07-18.

Dual-gate semiconductor structures for power applications

Номер патента: EP4411825A2. Автор: Nikolaus Klemmer,Amin SHAHVERDI,Elias Reese. Владелец: Qorvo US Inc. Дата публикации: 2024-08-07.

Insulated-gate semiconductor device and method of manufacturing the same

Номер патента: US12074200B2. Автор: Keiji Okumura. Владелец: Fuji Electric Co Ltd. Дата публикации: 2024-08-27.

Semiconductor structure

Номер патента: US20230261071A1. Автор: Po-Yu YANG. Владелец: United Microelectronics Corp. Дата публикации: 2023-08-17.

Semiconductor structures and fabrication methods thereof

Номер патента: US09911833B2. Автор: Fei Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-03-06.

Method for forming semiconductor structure

Номер патента: US12034061B2. Автор: Yee-Chia Yeo,Chien-Wei Lee,Hsueh-Chang Sung,Yen-Ru LEE. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Semiconductor structure with isolating feature

Номер патента: US12040403B2. Автор: Hou-Yu Chen,Kuan-Lun Cheng,Ching-Wei Tsai,Yu-Xuan Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-16.

Method for fabricating a semiconductor structure having selective dopant regions

Номер патента: US7419883B2. Автор: Nicola Vannucci,Sven Lanzerstorfer. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2008-09-02.

Semiconductor structure and a method for processing a carrier

Номер патента: US09679963B2. Автор: Erhard Landgraf,Dmitri Alex Tschumakow,Claus Dahl,Steffen Rothenhaeusser. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-06-13.

Semiconductor structure and etch technique for monolithic integration of III-N transistors

Номер патента: US09502535B2. Автор: Bin Lu,Mohamed AZIZE,Ling Xia. Владелец: Cambridge Electronics Inc. Дата публикации: 2016-11-22.

Semiconductor structure

Номер патента: US20220123118A1. Автор: Po-Yu YANG. Владелец: United Microelectronics Corp. Дата публикации: 2022-04-21.

Semiconductor structures and methods of manufacturing semiconductor structures

Номер патента: US20240047577A1. Автор: Arash Elhami Khorasani. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2024-02-08.

Insulated gate semiconductor device having shield electrode structure

Номер патента: US20140284710A1. Автор: Zia Hossain. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2014-09-25.

Insulated gate semiconductor device and method for manufacturing the same

Номер патента: US09941395B2. Автор: Yuichi Onozawa. Владелец: Fuji Electric Co Ltd. Дата публикации: 2018-04-10.

Semiconductor structure and recess formation etch technique

Номер патента: US09570600B2. Автор: Bin Lu,Min Sun,Tomas Apostol Palacios. Владелец: Massachusetts Institute of Technology. Дата публикации: 2017-02-14.

Insulated-gate semiconductor device and method of manufacturing the same

Номер патента: US11798993B2. Автор: Keiji Okumura. Владелец: Fuji Electric Co Ltd. Дата публикации: 2023-10-24.

Semiconductor structure and manufacturing method thereof

Номер патента: US20240105809A1. Автор: Chun-Hao Lin. Владелец: United Microelectronics Corp. Дата публикации: 2024-03-28.

Insulated-gate semiconductor device and method of manufacturing the same

Номер патента: US20240014270A1. Автор: Keiji Okumura. Владелец: Fuji Electric Co Ltd. Дата публикации: 2024-01-11.

Semiconductor structures and manufacturing methods thereof

Номер патента: US11978791B2. Автор: Kai Cheng. Владелец: Enkris Semiconductor Inc. Дата публикации: 2024-05-07.

GaN-BASED SEMICONDUCTOR STRUCTURES

Номер патента: US20230080538A1. Автор: Kai Cheng. Владелец: Enkris Semiconductor Inc. Дата публикации: 2023-03-16.

Semiconductor structure and method of forming the same

Номер патента: US12021117B2. Автор: Kei-Wei Chen,Ying-Lang Wang,Wen-Hsi Lee,Te-Ming Kung,Shu Wei Chang. Владелец: National Cheng Kung University NCKU. Дата публикации: 2024-06-25.

Semiconductor structure and method for manufacturing the same

Номер патента: US11658227B2. Автор: Chao-Sheng Cheng,Pei-Lun JHENG. Владелец: United Microelectronics Corp. Дата публикации: 2023-05-23.

Semiconductor structure and method of forming the same

Номер патента: US20230154985A1. Автор: Kei-Wei Chen,Ying-Lang Wang,Wen-Hsi Lee,Te-Ming Kung,Shu Wei Chang. Владелец: National Cheng Kung University NCKU. Дата публикации: 2023-05-18.

Semiconductor structure and method for manufacturing the same

Номер патента: US20220140102A1. Автор: Chao-Sheng Cheng,Pei-Lun JHENG. Владелец: United Microelectronics Corp. Дата публикации: 2022-05-05.

Semiconductor Structure for Improved Radio Frequency Thermal Management

Номер патента: US20240266426A1. Автор: Christer Hallin,Scott Sheppard,Matthew R. King. Владелец: Wolfspeed Inc. Дата публикации: 2024-08-08.

Semiconductor structure for improved radio frequency thermal management

Номер патента: WO2024163587A1. Автор: Christer Hallin,Scott Sheppard,Matthew R. King. Владелец: Wolfspeed, Inc.. Дата публикации: 2024-08-08.

Process of manufacturing trench gate semiconductor device

Номер патента: EP2020681A3. Автор: Richard K. Williams,Wayne B. Grabowski. Владелец: Advanced Analogic Technologies Inc. Дата публикации: 2009-06-10.

Silicon carbide semiconductor device including conductivity layer in trench

Номер патента: US09673288B2. Автор: Yuichi Takeuchi,Yukihiko Watanabe,Narumasa Soejima,Kazumi Chida. Владелец: Toyota Motor Corp. Дата публикации: 2017-06-06.

Method of manufacturing a non-volatile memory cell and array having a trapping charge layer in a trench

Номер патента: US09882033B2. Автор: Nhan Do. Владелец: Silicon Storage Technology Inc. Дата публикации: 2018-01-30.

Etch stop region based fabrication of bonded semiconductor structures

Номер патента: US09865747B2. Автор: Stephen A. Fanelli. Владелец: Qualcomm Inc. Дата публикации: 2018-01-09.

Multi-gate semiconductor structure and method of manufacturing the same

Номер патента: US12107162B2. Автор: Hung-Yu Wei,Kai Jen,Pei-Hsiu PENG. Владелец: Winbond Electronics Corp. Дата публикации: 2024-10-01.

Semiconductor structures and manufacturing methods thereof

Номер патента: US20230134265A1. Автор: Peng Xiang,Kai Cheng. Владелец: Enkris Semiconductor Inc. Дата публикации: 2023-05-04.

Semiconductor structure and method for forming the same

Номер патента: US09515078B2. Автор: XINPENG WANG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-12-06.

Method to enhance FinFET device performance with channel stop layer depth control

Номер патента: US09882030B2. Автор: Fei Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-01-30.

Monolithic integrated circuit (MMIC) structure having composite etch stop layer and method for forming such structure

Номер патента: US09478652B1. Автор: Adrian D. Williams. Владелец: Raytheon Co. Дата публикации: 2016-10-25.

Semiconductor structure and method for forming the same

Номер патента: US20240258394A1. Автор: Hsin-Che Chiang,Wei-Chih Kao,Jyun-Hong Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-01.

Semiconductor structure and manufacturing method thereof

Номер патента: US09799651B2. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-24.

Trench gate semiconductor device and method for manufacturing the same

Номер патента: US20240250166A1. Автор: Hidemoto Tomita. Владелец: Mirise Technologies Corp. Дата публикации: 2024-07-25.

Semiconductor structures and methods of forming the same

Номер патента: US09679817B2. Автор: Harry-Hak-Lay Chuang,Bao-Ru Young,Po-Nien Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-06-13.

Semiconductor structure and method for forming the same

Номер патента: US20200343348A1. Автор: JIN Jisong. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2020-10-29.

Semiconductor structure and method for forming the same

Номер патента: US20210375686A1. Автор: Jiun-Ming Kuo,Pei-Ling Kao,You-Ting Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-12-02.

Semiconductor structure and method formation method thereof

Номер патента: US20200411652A1. Автор: Hong Zhongshan,Wang Yan,Fu Xiao. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2020-12-31.

Method for forming self-aligned double pattern and semiconductor structures

Номер патента: US12100593B2. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Semiconductor structure and method for manufacturing the same

Номер патента: US09401425B2. Автор: Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2016-07-26.

Method for Reducing Loss of Dielectric Layer in IO Silicon Oxide Removal Process

Номер патента: US20240071760A1. Автор: Zhenquan Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-02-29.

Nitride-based transistors and fabrication methods with an etch stop layer

Номер патента: EP1905097A2. Автор: Scott T. Allen,Scott T. Sheppard,Richard P. Smith,Andrew K. Mackenzie. Владелец: Cree Inc. Дата публикации: 2008-04-02.

Semiconductor structures including dual fins and methods of fabrication

Номер патента: US20120175748A1. Автор: David Hwang,Aaron R. Wilson,Larson Lindholm. Владелец: Micron Technology Inc. Дата публикации: 2012-07-12.

Semiconductor structures including dual fins

Номер патента: US8497530B2. Автор: David Hwang,Aaron R. Wilson,Larson Lindholm. Владелец: Micron Technology Inc. Дата публикации: 2013-07-30.

Semiconductor structure having test and transistor structures

Номер патента: US20120319110A1. Автор: Zhengmao Zhu,Abhishek Dube,Viorel Ontalus,Kathryn T. Schonenberg. Владелец: Individual. Дата публикации: 2012-12-20.

Semiconductor structure with multi spacer

Номер патента: US09911824B2. Автор: Chih-Hao Wang,Kuo-Cheng Ching,Ching-Wei Tsai,Ying-Keung Leung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-06.

Method of fabricating semiconductor structure

Номер патента: US09761460B1. Автор: Chia-Ching Lin,En-Chiuan Liou,Yen-Pu Chen,Tang-Chun Weng. Владелец: United Microelectronics Corp. Дата публикации: 2017-09-12.

Semiconductor structure and manufacturing method thereof

Номер патента: US20200303553A1. Автор: Chia-Ming Chang,Po-Liang Yeh,Chen-Chung Wu,De-Zhang Deng. Владелец: AU OPTRONICS CORP. Дата публикации: 2020-09-24.

Semiconductor structure and manufacturing method thereof

Номер патента: US20190244957A1. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-08-08.

Semiconductor structure and manufacturing method thereof

Номер патента: US20180061831A1. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-01.

Semiconductor structure and manufacturing method thereof

Номер патента: US10269795B2. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-04-23.

Semiconductor structure and method for forming same

Номер патента: EP4167273A1. Автор: Qinghua Han,Deyuan Xiao,GuangSu SHAO,Weiping BAI,Yunsong QIU. Владелец: Beijing Superstring Academy of Memory Technology. Дата публикации: 2023-04-19.

Semiconductor structure and fabrication method thereof

Номер патента: US20180047623A1. Автор: Xin Yun XIE. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-02-15.

Method of fabricating a sige semiconductor structure

Номер патента: EP1716598A1. Автор: Peter Deixler,Roy Colclaser,Brian Wroblewski. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2006-11-02.

Semiconductor structure comprising p-type N-face GAN-based semiconductor layer and manufacturing method for the same

Номер патента: US12080786B2. Автор: Kai Cheng. Владелец: Enkris Semiconductor Inc. Дата публикации: 2024-09-03.

Semiconductor structure and method for forming semiconductor structure

Номер патента: US12119350B2. Автор: Zhan Ying,Xin Li,Kui Zhang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-10-15.

Semiconductor structure and fabrication method thereof

Номер патента: US12068397B2. Автор: Xiang Hu. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2024-08-20.

Semiconductor structure

Номер патента: US09837282B1. Автор: Chia-Ching Lin,En-Chiuan Liou,Yen-Pu Chen,Tang-Chun Weng. Владелец: United Microelectronics Corp. Дата публикации: 2017-12-05.

Al-poor barrier for InGaAs semiconductor structure

Номер патента: US09614082B2. Автор: Robert Langer,Bernardette Kunert. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2017-04-04.

Method for forming multi-gate semiconductor structure

Номер патента: US20230420567A1. Автор: Yu-Wen Wang,chun-ming Yang,Yu-Jiun PENG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-28.

Semiconductor Structure And Method of Making The Same

Номер патента: US20240234202A1. Автор: Jian Yang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-11.

Method for forming semiconductor structure

Номер патента: US20240047558A1. Автор: Chao Lin. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-08.

Semiconductor structure and method for forming same

Номер патента: US20200035676A1. Автор: Nan Wang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2020-01-30.

Semiconductor structure and forming method thereof

Номер патента: US20240332400A1. Автор: BO Su,Hansu Oh. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2024-10-03.

Semiconductor structure and method for forming the same

Номер патента: US20210167197A1. Автор: Chih-Yen Chen. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2021-06-03.

Semiconductor structure and fabrication method thereof

Номер патента: US09929182B2. Автор: Ji Quan LIU,Chun Lei GONG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-03-27.

High density capacitors formed from thin vertical semiconductor structures such as FinFETs

Номер патента: US09929147B2. Автор: Marc L. Tarabbia,Zhonghai Shi. Владелец: Cirrus Logic Inc. Дата публикации: 2018-03-27.

Semiconductor structure and method for fabricating the same

Номер патента: US20210134976A1. Автор: HAIYANG Zhang,PANPAN Liu. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2021-05-06.

Method for forming semiconductor structure with high aspect ratio

Номер патента: US20190164848A1. Автор: Tien-I Bao,Chih-tang Peng,Han-Pin Chung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-05-30.

Semiconductor structure and method for manufacturing the same

Номер патента: US20240222449A1. Автор: Jhon-Jhy Liaw,Chih-Hao Chang,Ta-Chun Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-04.

Semiconductor structure and method for forming the same

Номер патента: US20220052192A1. Автор: Ryan Chia-Jen Chen,Yuan-Sheng Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-02-17.

Semiconductor structure and method of manufacturing the same

Номер патента: US11776963B2. Автор: Wei-Lun Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-03.

Semiconductor structure and fabrication method thereof

Номер патента: US20240274684A1. Автор: Deyuan Xiao,GuangSu SHAO,Yunsong QIU. Владелец: Beijing Superstring Academy of Memory Technology. Дата публикации: 2024-08-15.

Method of manufacturing the trench power semiconductor structure

Номер патента: US20130330895A1. Автор: Hsiu-wen Hsu. Владелец: Super Group Semiconductor Co Ltd. Дата публикации: 2013-12-12.

Semiconductor structure with buried power rail and integrated circuit

Номер патента: EP4379812A3. Автор: Po-Chao Tsao. Владелец: MediaTek Inc. Дата публикации: 2024-09-04.

Semiconductor structure and formation method thereof

Номер патента: US20240063298A1. Автор: BO Su,Jing Zhang,Hailong Yu,Hansu Oh. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2024-02-22.

Manufacturing method of semiconductor structure

Номер патента: US09741852B2. Автор: James Tsai,Shih-Hsien Huang,Tsang-Hsuan Wang. Владелец: United Microelectronics Corp. Дата публикации: 2017-08-22.

Semiconductor structure and method for manufacturing the same

Номер патента: US09583622B2. Автор: Huilong Zhu,Qingqing Liang,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2017-02-28.

Semiconductor structures

Номер патента: US20170213829A1. Автор: Deyuan Xiao. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-07-27.

Semiconductor structure and method of manufacturing the same

Номер патента: US12094947B2. Автор: Keng-Chu Lin,Ko-Feng Chen,Hung-Yu Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-17.

Semiconductor structures

Номер патента: US09911742B2. Автор: Deyuan Xiao. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-03-06.

Semiconductor structures and fabrication methods thereof

Номер патента: US09607902B2. Автор: Deyuan Xiao. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-03-28.

Semiconductor structure and method of forming the same

Номер патента: EP3893271A1. Автор: Po-Chao Tsao. Владелец: MediaTek Inc. Дата публикации: 2021-10-13.

Semiconductor structures and manufacturing methods thereof

Номер патента: US20220069113A1. Автор: Kai Cheng. Владелец: Enkris Semiconductor Inc. Дата публикации: 2022-03-03.

Semiconductor structure and method for forming same

Номер патента: US20230369328A1. Автор: BO Su,Abraham Yoo,Hansu Oh,Byung Sup Shim. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2023-11-16.

Finfet device with controlled channel stop layer depth

Номер патента: US20180114853A1. Автор: Fei Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-04-26.

Semiconductor structure and method for manufacturing same

Номер патента: EP4231342A1. Автор: Qinghua Han. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-08-23.

Semiconductor structure and method for fabricating the same

Номер патента: US20240355893A1. Автор: Kai-Kuen Chang. Владелец: United Microelectronics Corp. Дата публикации: 2024-10-24.

Forming source/drain contact in a tight tip-to-tip space

Номер патента: US20240339509A1. Автор: Andrew M. Greene,Ruilong Xie,Julien Frougier,Andrew Gaul. Владелец: International Business Machines Corp. Дата публикации: 2024-10-10.

Method of forming semiconductor device using etch stop layer

Номер патента: US09564357B2. Автор: Fang-I Chih,Yen-Chang Chao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-07.

Semiconductor structure and method for forming the same

Номер патента: US20240014280A1. Автор: Jhon-Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-11.

Method for fabricating semiconductor structure

Номер патента: US11705492B2. Автор: Wen-Yi Teng,Yi-Fan Li,Kuo-Chin Hung,Ti-Bin Chen. Владелец: United Microelectronics Corp. Дата публикации: 2023-07-18.

Semiconductor structure and method of fabricating mos device

Номер патента: US20140183665A1. Автор: Po-Chao Tsao,Chia-Jung Li. Владелец: United Microelectronics Corp. Дата публикации: 2014-07-03.

Structure and method for multi-gate semiconductor devices

Номер патента: US20240162331A1. Автор: Chang-Miao Liu,Ko-Cheng Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-16.

Method for fabricating semiconductor structure

Номер патента: US11031477B2. Автор: Wen-Yi Teng,Yi-Fan Li,Kuo-Chin Hung,Ti-Bin Chen. Владелец: United Microelectronics Corp. Дата публикации: 2021-06-08.

Trench-gate semiconductor device and manufacturing method therefor

Номер патента: EP4343850A1. Автор: FENG Zhou,Yi Zhang,Bo Gao,Fei Hu,Boning Huang,Longgu TANG. Владелец: Huawei Digital Power Technologies Co Ltd. Дата публикации: 2024-03-27.

Method for fabricating semiconductor structure

Номер патента: US20200105885A1. Автор: Wen-Yi Teng,Yi-Fan Li,Kuo-Chin Hung,Ti-Bin Chen. Владелец: United Microelectronics Corp. Дата публикации: 2020-04-02.

Method for fabricating semiconductor structure

Номер патента: US20210257471A1. Автор: Wen-Yi Teng,Yi-Fan Li,Kuo-Chin Hung,Ti-Bin Chen. Владелец: United Microelectronics Corp. Дата публикации: 2021-08-19.

Methods of fabricating semiconductor structures with two-step etching

Номер патента: US20210367061A1. Автор: Yu-Chieh Chou,Yung-Fung Lin. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2021-11-25.

Forming Charge Trap Separation in a Flash Memory Semiconductor Device

Номер патента: US20140148010A1. Автор: Angela Tai Hui. Владелец: SPANSION LLC. Дата публикации: 2014-05-29.

Semiconductor structure

Номер патента: US20230327022A1. Автор: Yu-Lien Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-12.

Finfet device with an etch stop layer positioned between a gate structure and a local isolation material

Номер патента: US20140327090A1. Автор: Xiuyu Cai,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2014-11-06.

Method for manufacturing semiconductor structure and capable of controlling thicknesses of dielectric layers

Номер патента: US20210111180A1. Автор: Te-Hsun Hsu. Владелец: eMemory Technology Inc. Дата публикации: 2021-04-15.

Fully depleted device with buried insulating layer in channel region

Номер патента: US20160268431A1. Автор: Hans-Peter Moll,Peter Baars,Jan Hoentschel. Владелец: Globalfoundries Inc. Дата публикации: 2016-09-15.

Fully depleted device with buried insulating layer in channel region

Номер патента: US09502564B2. Автор: Hans-Peter Moll,Peter Baars,Jan Hoentschel. Владелец: Globalfoundries Inc. Дата публикации: 2016-11-22.

Semiconductor structures and fabrication methods thereof

Номер патента: EP3166137A1. Автор: Fei Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-05-10.

Semiconductor structure and fabrication method thereof

Номер патента: US11688798B2. Автор: Xiang Hu. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2023-06-27.

Semiconductor structure

Номер патента: US20230411468A1. Автор: Jhon-Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-21.

Field effect transistor and preparation method therefor, and semiconductor structure

Номер патента: EP4160696A1. Автор: Wen YIN. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-04-05.

Manufacturing Method of Semiconductor Structure and Semiconductor Structure

Номер патента: US20240298434A1. Автор: Tao DOU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-05.

Semiconductor structure and method for manufacturing same

Номер патента: US12101924B2. Автор: Deyuan Xiao. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Methods of forming semiconductor structures comprising aluminum oxide

Номер патента: US20150235841A1. Автор: Difeng Zhu. Владелец: Micron Technology Inc. Дата публикации: 2015-08-20.

Semiconductor structures comprising aluminum oxide

Номер патента: US20150349082A1. Автор: Difeng Zhu. Владелец: Micron Technology Inc. Дата публикации: 2015-12-03.

Semiconductor structure and manufacturing method thereof

Номер патента: US20230010950A1. Автор: Yumeng SUN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-12.

Manufacture of trench-gate semiconductor devices

Номер патента: WO2001024251A3. Автор: Henricus G R Maas,Erwin A Hijzen,Cornelius E Timmering. Владелец: Koninkl Philips Electronics Nv. Дата публикации: 2001-12-06.

Manufacture of trench-gate semiconductor devices

Номер патента: EP1145299A3. Автор: Erwin A. Hijzen,Henricus G. R. Maas,Cornelius E. Timmering. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2002-03-27.

Self aligned gate and guard ring structure for use in a sit

Номер патента: EP2033224B1. Автор: Li-Shu Chen. Владелец: Northrop Grumman Systems Corp. Дата публикации: 2011-03-02.

Semiconductor structure having buried gate structure, method for manufacturing the same, and memory cell having the same

Номер патента: US09917167B2. Автор: Tae-Su JANG. Владелец: SK hynix Inc. Дата публикации: 2018-03-13.

Semiconductor structure having buried gate structure, method for manufacturing the same, and memory cell having the same

Номер патента: US09704961B2. Автор: Tae-Su JANG. Владелец: SK hynix Inc. Дата публикации: 2017-07-11.

Semiconductor structure and manufacturing method therefor

Номер патента: EP4199089A1. Автор: Deyuan Xiao,Semyeong Jang,Joonsuk Moon,Jo-Lan CHIN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-06-21.

Semiconductor structure and preparation method thereof

Номер патента: US20240120374A1. Автор: Kai Cheng. Владелец: Enkris Semiconductor Inc. Дата публикации: 2024-04-11.

Method of forming semiconductor structure

Номер патента: US8518778B2. Автор: Chu-Kuang Liu. Владелец: Excelliance Mos Corp. Дата публикации: 2013-08-27.

Fabrication method of power semiconductor structure with reduced gate impedance

Номер патента: US20120045877A1. Автор: Hsiu Wen Hsu. Владелец: Great Power Semiconductor Corp. Дата публикации: 2012-02-23.

Method of forming body contact layouts for semiconductor structures

Номер патента: US09960236B2. Автор: Dev Alok Girdhar,Jeffrey Michael Johnston. Владелец: INTERSIL AMERICAS LLC. Дата публикации: 2018-05-01.

Method of forming semiconductor structure

Номер патента: US20120231595A1. Автор: Chu-Kuang Liu. Владелец: Excelliance Mos Corp. Дата публикации: 2012-09-13.

Semiconductor structure

Номер патента: US20120235231A1. Автор: Chu-Kuang Liu. Владелец: Excelliance Mos Corp. Дата публикации: 2012-09-20.

Device with diffusion blocking layer in source/drain region

Номер патента: US09947788B2. Автор: Shesh Mani Pandey,Pei ZHAO,Baofu ZHU,Francis L. Benistant. Владелец: Globalfoundries Inc. Дата публикации: 2018-04-17.

Semiconductor structure and method for forming the same

Номер патента: US09824943B2. Автор: Shiu-Ko Jangjian,Chun-Che Lin,Wei-Ken LIN,Jia-Ming Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-21.

Semiconductor structure with contact plug

Номер патента: US09887129B2. Автор: Chih-Lin Wang,Kang-Min Kuo,Long-Jie HONG,Wen-Jia HSIEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-06.

Etch stop layer for use in forming contacts that extend to multiple depths

Номер патента: US20200105886A1. Автор: Wei Hong,Hui Zang,Hsien-Ching Lo. Владелец: Globalfoundries Inc. Дата публикации: 2020-04-02.

Semiconductor device having multiple field stop layers

Номер патента: US09954086B2. Автор: Yuichi Onozawa. Владелец: Fuji Electric Co Ltd. Дата публикации: 2018-04-24.

Semiconductor structure and method for forming same

Номер патента: US20220293593A1. Автор: CAI Qiaoming,Ma Lisha. Владелец: Semiconductor Manufacturing North China Beijing Corp. Дата публикации: 2022-09-15.

Method for producing surrounding gate semiconductor device

Номер патента: US09842902B1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2017-12-12.

Surrounding gate semiconductor device

Номер патента: US09768267B2. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2017-09-19.

Method for forming semiconductor structure

Номер патента: US12033897B2. Автор: Chien-Hao Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Semiconductor structure having doped active pillars in trenches

Номер патента: US10629615B1. Автор: Teng-Hao Yeh,Chih-Wei Hu. Владелец: Macronix International Co Ltd. Дата публикации: 2020-04-21.

Semiconductor structure, method for forming same and layout structure

Номер патента: US20230018639A1. Автор: Yi Tang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-19.

Semiconductor structure and forming method therefor, and layout structure

Номер патента: EP4329455A1. Автор: Yi Tang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-28.

Forming a semiconductor structure for reduced negative bias temperature instability

Номер патента: US09704758B2. Автор: Ruqiang Bao,Siddarth A. Krishnan. Владелец: International Business Machines Corp. Дата публикации: 2017-07-11.

Forming a semiconductor structure for reduced negative bias temperature instability

Номер патента: US09576958B1. Автор: Ruqiang Bao,Siddarth A. Krishnan. Владелец: International Business Machines Corp. Дата публикации: 2017-02-21.

Semiconductor structure

Номер патента: US11967613B2. Автор: Jung-Tao CHUNG,Yao-Ting Shao,Shu-Hsiao TSAI,Hsi-Tsung Lin,Chen-An Hsieh,Ju-Hsien LIN,Yi-Han Chen. Владелец: WIN Semiconductors Corp. Дата публикации: 2024-04-23.

Semiconductor structure

Номер патента: US20230282697A1. Автор: Jung-Tao CHUNG,Yao-Ting Shao,Shu-Hsiao TSAI,Hsi-Tsung Lin,Chen-An Hsieh,Ju-Hsien LIN,Yi-Han Chen. Владелец: WIN Semiconductors Corp. Дата публикации: 2023-09-07.

Semiconductor structure and manufacturing method therefor

Номер патента: EP4160664A1. Автор: Tieh-Chiang Wu,Lingxin ZHU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-04-05.

Semiconductor structure and manufacturing method thereof

Номер патента: US20210375617A1. Автор: Hongbo Zhu,Yongbo FENG,Houyou WANG,Mingyang TSAI. Владелец: Nexchip Semiconductor Co Ltd. Дата публикации: 2021-12-02.

Semiconductor structure and method of manufacturing the same

Номер патента: US20240087959A1. Автор: Hou-Yu Chen,Yu-Xuan Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-14.

Forming charge trap separation in a flash memory semiconductor device

Номер патента: WO2014081928A1. Автор: Angela Tai Hui. Владелец: SPANSION LLC. Дата публикации: 2014-05-30.

Forming Charge Trap Separation in a Flash Memory Semiconductor Device

Номер патента: US20150162226A1. Автор: Angela Tai Hui. Владелец: SPANSION LLC. Дата публикации: 2015-06-11.

Damascene gate having protected shorting regions

Номер патента: GB2487321B. Автор: Brent A Anderson,Edward J Nowak,Jed H Rankin. Владелец: International Business Machines Corp. Дата публикации: 2013-12-11.

Semiconductor structure and fabrication method thereof

Номер патента: US20210066462A1. Автор: Qingchun Zhang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2021-03-04.

Electrolyte and Deposition of a Copper Barrier Layer in a Damascene Process

Номер патента: US20230282485A1. Автор: Louis Caillard,Paul Blondeau. Владелец: Aveni SA. Дата публикации: 2023-09-07.

Method of fabricating semiconductor structure

Номер патента: US09679850B2. Автор: Che-Cheng Chang,Yu-Cheng Liu,Chen-Hsiang LU,Wei Ting Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-06-13.

Method for forming semiconductor structure

Номер патента: US12087584B2. Автор: Daejoong Won. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-10.

Semiconductor structure and fabrication method thereof

Номер патента: US11417738B2. Автор: Qingchun Zhang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2022-08-16.

Method for forming barrier layer in semiconductor structure

Номер патента: US12062573B2. Автор: YUAN Li,Peng Zhou,Rui Song,Shuliang LV,Ge Mao. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-08-13.

Method for forming a vertical electrical connection in a layered semiconductor structure

Номер патента: US20140084474A1. Автор: Vincent Mevellec,Dominique SUHR. Владелец: Alchimer SA. Дата публикации: 2014-03-27.

Semiconductor structure

Номер патента: US20200176268A1. Автор: DUOHUI Bei. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2020-06-04.

Semiconductor structure and method for forming same

Номер патента: US20210066326A1. Автор: Han Liang,Wang Hai YING. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2021-03-04.

Storage device, semiconductor structure and method for forming same

Номер патента: US12100654B2. Автор: Hsin-Pin Huang,Juanjuan HE. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Semiconductor structure and manufacturing method thereof

Номер патента: US20230164973A1. Автор: Yutong SHEN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-05-25.

Method for forming semiconductor structure

Номер патента: US20240290721A1. Автор: Ming-Hsien Lin,Lun-Chieh Chiu,Ya-Chin Chiu,Chia-Tung Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-29.

Method of manufacturing a copper metal wiring in a semiconductor device

Номер патента: US20020031911A1. Автор: Sung Pyo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-03-14.

Method for forming semiconductor structure

Номер патента: US20150318206A1. Автор: Hsiang-Wei Lin,Yi-Nien Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-11-05.

Method for forming semiconductor structure

Номер патента: US20160358816A1. Автор: Hsiang-Wei Lin,Yi-Nien Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-12-08.

Method to fabricate a high performance capacitor in a back end of line (beol)

Номер патента: US20170294378A1. Автор: Sunil Kumar Singh,Shesh Mani Pandey. Владелец: Globalfoundries Inc. Дата публикации: 2017-10-12.

Control of P-contact resistance in a semiconductor light emitting device

Номер патента: US09991419B2. Автор: Kwong-Hin Henry Choy. Владелец: LUMILEDS LLC. Дата публикации: 2018-06-05.

Method for forming semiconductor structure

Номер патента: US09425091B2. Автор: Hsiang-Wei Lin,Yi-Nien Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-23.

Method to fabricate a high performance capacitor in a back end of line (beol)

Номер патента: US20170025270A1. Автор: Sunil Kumar Singh,Shesh Mani Pandey. Владелец: Globalfoundries Inc. Дата публикации: 2017-01-26.

Method to fabricate a high performance capacitor in a back end of line (BEOL)

Номер патента: US09960113B2. Автор: Sunil Kumar Singh,Shesh Mani Pandey. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-01.

Method to fabricate a high performance capacitor in a back end of line (BEOL)

Номер патента: US09711346B2. Автор: Sunil Kumar Singh,Shesh Mani Pandey. Владелец: Globalfoundries Inc. Дата публикации: 2017-07-18.

Method for forming semiconductor structure

Номер патента: US20220037158A1. Автор: Daejoong Won. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-02-03.

Method for forming barrier layer in semiconductor structure

Номер патента: US20220238372A1. Автор: YUAN Li,Peng Zhou,Rui Song,Shuliang LV,Ge Mao. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2022-07-28.

Method for forming barrier layer in semiconductor structure

Номер патента: WO2022160139A8. Автор: YUAN Li,Peng Zhou,Rui Song,Shuliang LV,Ge Mao. Владелец: Yangtze Memory Technologies Co., Ltd.. Дата публикации: 2023-07-20.

Methods of forming ruthenium conductive structures in a metallization layer

Номер патента: US09589836B1. Автор: Hoon Kim,Xunyuan Zhang. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-07.

Semiconductor structure having gap within gate and cap and process thereof

Номер патента: US09666471B2. Автор: Chia-Fu Hsu,Chun-Che Huang,Tian Choy Gan,Chu-Yun Hsiao. Владелец: United Microelectronics Corp. Дата публикации: 2017-05-30.

Semiconductor structure and method for manufacturing same

Номер патента: US20220045186A1. Автор: Jingwen Lu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-02-10.

Semiconductor structure and fabrication method thereof

Номер патента: US20240321997A1. Автор: Hailong Yu,Xuezhen JING,Jinhui MENG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2024-09-26.

Insulated gate semiconductor device and method

Номер патента: US20150171071A1. Автор: Manabu Yajima,Haruyoshi Yagi. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2015-06-18.

Method of manufacturing field effect transistor having Ohmic electrode in a recess

Номер патента: US20110189826A1. Автор: Katsuaki Kaifu,Juro Mita. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2011-08-04.

Method of manufacturing field effect transistor having Ohmic electrode in a recess

Номер патента: US8202794B2. Автор: Katsuaki Kaifu,Juro Mita. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2012-06-19.

Semiconductor structure, storage structure and method for fabricating same

Номер патента: US20230029936A1. Автор: GuangSu SHAO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-02-02.

Insulated-gate semiconductor device for a rectifier

Номер патента: US6649985B1. Автор: Ikuo Nishimoto,Tatsuya Ueno. Владелец: Azbil Corp. Дата публикации: 2003-11-18.

Semiconductor structure including a nonvolatile memory cell and method for the formation thereof

Номер патента: US09634017B1. Автор: Peter Baars,Hans-Juergen Thees. Владелец: Globalfoundries Inc. Дата публикации: 2017-04-25.

Manufacture of trench-gate semiconductor devices

Номер патента: WO2004055884A1. Автор: Erwin A. Hijzen,Michael A. A. In 't Zandt. Владелец: KONINKLIJKE PHILIPS ELECTRONICS N.V.. Дата публикации: 2004-07-01.

Semiconductor structure and production method thereof

Номер патента: US20210005706A1. Автор: Bin Lu,Jian Shen. Владелец: Shenzhen Goodix Technology Co Ltd. Дата публикации: 2021-01-07.

Semiconductor structure and method for forming the same

Номер патента: US9577031B2. Автор: YUAN Li,Lei Guo. Владелец: Individual. Дата публикации: 2017-02-21.

Semiconductor structure and manufacture method therefor

Номер патента: EP3758065A1. Автор: Bin Lu,Jian Shen. Владелец: Shenzhen Goodix Technology Co Ltd. Дата публикации: 2020-12-30.

Semiconductor structure and method for forming the same

Номер патента: WO2013078867A1. Автор: YUAN Li,Lei Guo. Владелец: Lei Guo. Дата публикации: 2013-06-06.

Semiconductor structure and method for forming the same

Номер патента: US20140332933A1. Автор: YUAN Li,Lei Guo. Владелец: Individual. Дата публикации: 2014-11-13.

Semiconductor structure and method for forming the same

Номер патента: US09577031B2. Автор: YUAN Li,Lei Guo. Владелец: Individual. Дата публикации: 2017-02-21.

Semiconductor structure and manufacturing method thereof

Номер патента: US20200373386A1. Автор: Ming-Chih Hsu,Huang-Nan Chen. Владелец: Winbond Electronics Corp. Дата публикации: 2020-11-26.

Semiconductor structure and method for forming same

Номер патента: US12051699B2. Автор: Zhan Ying,Xin Li,Kui Zhang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-30.

Methods for forming semiconductor structures and semiconductor structures

Номер патента: US12051618B2. Автор: Yuchen Wang,Nianwang YANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-30.

Bulk layer transfer wafer with multiple etch stop layers

Номер патента: EP3311397A1. Автор: Sinan Goktepeli. Владелец: Qualcomm Inc. Дата публикации: 2018-04-25.

Semiconductor structure, method for manufacturing same, and memory

Номер патента: US20230345706A1. Автор: Yi Jiang,Deyuan Xiao,GuangSu SHAO,Youming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-10-26.

Bulk layer transfer wafer with multiple etch stop layers

Номер патента: US09704738B2. Автор: Sinan Goktepeli. Владелец: Qualcomm Inc. Дата публикации: 2017-07-11.

Semiconductor structure and process thereof

Номер патента: US09570339B2. Автор: Yu-Cheng Tung,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2017-02-14.

Semiconductor structure having multilayer of polysilicon and display panel applied with the same

Номер патента: US7476601B2. Автор: Chih-Wei Chao,Mao-Yi Chang. Владелец: AU OPTRONICS CORP. Дата публикации: 2009-01-13.

Semiconductor structure having multilayer of polysilicon and display panel applied with the same

Номер патента: US20060163733A1. Автор: Chih-Wei Chao,Mao-Yi Chang. Владелец: AU OPTRONICS CORP. Дата публикации: 2006-07-27.

Semiconductor structure, method for manufacturing same

Номер патента: US20230010014A1. Автор: Deyuan Xiao,GuangSu SHAO,Youming Liu,Yunsong QIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-12.

Reduction of threshold voltage instabilities in a MOS transistor

Номер патента: US20070215919A1. Автор: DANIEL Benoit,Pierre Morin,Jorge Regolini. Владелец: STMICROELECTRONICS SA. Дата публикации: 2007-09-20.

Semiconductor structure and memory device including the structure

Номер патента: US09728248B2. Автор: Francois Tailliet,Marc Battista. Владелец: STMICROELECTRONICS ROUSSET SAS. Дата публикации: 2017-08-08.

Compound semiconductor structure

Номер патента: US09337265B2. Автор: Lukas Czornomaz,Jean Fompeyrine,Stefan Abel,Mario El Kazzi. Владелец: Globalfoundries Inc. Дата публикации: 2016-05-10.

An insulated gate semiconductor device and manufacture thereof

Номер патента: WO2009024931A1. Автор: Chris Rogers,Ed Huang. Владелец: NXP B.V.. Дата публикации: 2009-02-26.

Semiconductor structure, method for forming semiconductor structure and memory

Номер патента: US12108591B2. Автор: Yiming Zhu,Erxuan PING. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-10-01.

Semiconductor structure and method for manufacturing the same

Номер патента: US20200350162A1. Автор: Kai Cheng. Владелец: Enkris Semiconductor Inc. Дата публикации: 2020-11-05.

Semiconductor structure

Номер патента: US20200135762A1. Автор: Jhon-Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-30.

Composite substrates, semiconductor structures, and methods for manufacturing composite substrates

Номер патента: US20240347601A1. Автор: Kai Cheng. Владелец: Enkris Semiconductor Inc. Дата публикации: 2024-10-17.

Iii-n to rare earth transition in a semiconductor structure

Номер патента: WO2020104562A1. Автор: Andrew Clark,Rytis Dargis,Michael Lebby,Rodney Pelzel,Robert Yanka. Владелец: IQE plc. Дата публикации: 2020-05-28.

Iii-n to rare earth transition in a semiconductor structure

Номер патента: US20200161417A1. Автор: Andrew Clark,Rytis Dargis,Michael Lebby,Rodney Pelzel,Robert Yanka. Владелец: IQE plc. Дата публикации: 2020-05-21.

Iii-n to rare earth transition in a semiconductor structure

Номер патента: EP3884511A1. Автор: Andrew Clark,Rytis Dargis,Michael Lebby,Rodney Pelzel,Robert Yanka. Владелец: IQE plc. Дата публикации: 2021-09-29.

Semiconductor structure and related method

Номер патента: US20200035545A1. Автор: Yu-Hsiang Tsai,Chia-Wei Liu,Chung-Chuan Tseng,Li Hsin CHU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-30.

Semiconductor Structure and Related Method

Номер патента: US20180061698A1. Автор: Yu-Hsiang Tsai,Chia-Wei Liu,Chung-Chuan Tseng,Li Hsin CHU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-01.

Compound semiconductor structure

Номер патента: US20160254147A1. Автор: Lukas Czornomaz,Jean Fompeyrine,Stefan Abel,Mario El Kazzi. Владелец: Globalfoundries Inc. Дата публикации: 2016-09-01.

Method of improving PMOS performance in a contact etch stop layer process

Номер патента: US8569137B1. Автор: Jun Zhou. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2013-10-29.

Semiconductor structures and methods for forming the same

Номер патента: US20220310782A1. Автор: Junchao Zhang,Cheng Yeh HSU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-09-29.

Semiconductor structure and fabrication method thereof

Номер патента: US20190035683A1. Автор: Chun Song. Владелец: Semiconductor Manufacturing International Beijing Corp. Дата публикации: 2019-01-31.

Method for fabricating three-dimensional semiconductor device using buried stop layer in substrate

Номер патента: US20240268119A1. Автор: He Chen. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-08-08.

Method for fabricating three-dimensional semiconductor device using buried stop layer in substrate

Номер патента: US11956958B2. Автор: He Chen. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-04-09.

Method for fabricating three-dimensional semiconductor device using buried stop layer in substrate

Номер патента: EP4139954A1. Автор: He Chen. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2023-03-01.

Method and system for providing a contact hole in a semiconductor device

Номер патента: US6764929B1. Автор: Mark Chang,Chi Chang,Angela Hui. Владелец: Advanced Micro Devices Inc. Дата публикации: 2004-07-20.

Semiconductor structure and method for manufacturing same

Номер патента: US20230187512A1. Автор: Jingwen Lu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-06-15.

Semiconductor structure and manufacturing method therefor

Номер патента: EP4177955A1. Автор: designation of the inventor has not yet been filed The. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-05-10.

Methods of forming one or more covered voids in a semiconductor substrate

Номер патента: US09997398B2. Автор: David H. Wells. Владелец: Micron Technology Inc. Дата публикации: 2018-06-12.

Methods of forming one or more covered voids in a semiconductor substrate

Номер патента: US09786548B2. Автор: David H. Wells. Владелец: Micron Technology Inc. Дата публикации: 2017-10-10.

Method for manufacturing semiconductor structure and semiconductor structure

Номер патента: US20230223264A1. Автор: Ling-Yi Chuang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-07-13.

Semiconductor structure and the manufacturing method thereof

Номер патента: US11695027B2. Автор: Zhi Tian,Haoyu Chen,Zhen Gu,Qiwei Wang. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2023-07-04.

Semiconductor structure and the manufacturing method thereof

Номер патента: US11676987B2. Автор: Zhi Tian,Haoyu Chen,Zhen Gu,Qiwei Wang. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2023-06-13.

Semiconductor structure and the manufacturing method thereof

Номер патента: US20220246667A1. Автор: Zhi Tian,Haoyu Chen,Zhen Gu,Qiwei Wang. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2022-08-04.

Semiconductor structure and the manufacturing method thereof

Номер патента: US20210036045A1. Автор: Zhi Tian,Haoyu Chen,Zhen Gu,Qiwei Wang. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2021-02-04.

Semiconductor structure with through-silicon via

Номер патента: US09859192B2. Автор: Ming-Tzong Yang,Yu-Hua Huang. Владелец: MediaTek Inc. Дата публикации: 2018-01-02.

Method for measuring thickness variations in a layer of a multilayer semiconductor structure

Номер патента: US20180347966A1. Автор: Oleg Kononchuk. Владелец: Soitec SA. Дата публикации: 2018-12-06.

Method of forming an insulator layer in a semiconductor structure and structures resulting therefrom

Номер патента: US20110272756A1. Автор: Michael D. Church. Владелец: INTERSIL AMERICAS LLC. Дата публикации: 2011-11-10.

Method of forming an insulator layer in a semiconductor structure and structures resulting therefrom

Номер патента: US20150235968A1. Автор: Michael D. Church. Владелец: INTERSIL AMERICAS LLC. Дата публикации: 2015-08-20.

Manufacturing method for semiconductor structure, and semiconductor structure

Номер патента: EP4358140A1. Автор: Yi Tang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-04-24.

Semiconductor structure and organic electroluminescence device

Номер патента: US20120298983A1. Автор: Hsing-Hung HSIEH,Chih-Pang Chang. Владелец: AU OPTRONICS CORP. Дата публикации: 2012-11-29.

Memory, semiconductor structure, and manufacturing method thereof

Номер патента: US20230301056A1. Автор: Runping WU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-09-21.

Semiconductor structure and procedure for minimising non-idealities

Номер патента: WO1999067827A2. Автор: Arto Rantala. Владелец: Valtion Teknillinen Tutkimuskeskus Vtt. Дата публикации: 1999-12-29.

Semiconductor structure and procedure for minimising non-idealities

Номер патента: EP1093669A2. Автор: Arto Valtion Teknillinen Tutkimuskeskus RANTALA. Владелец: Valtion teknillinen tutkimuskeskus. Дата публикации: 2001-04-25.

Semiconductor structure and procedure for minimizing non-idealities

Номер патента: US6501126B1. Автор: Arto Rantala. Владелец: Valtion teknillinen tutkimuskeskus. Дата публикации: 2002-12-31.

Semiconductor structure and procedure for minimising non-idealities

Номер патента: WO1999067827A3. Автор: Arto Rantala. Владелец: Arto Rantala. Дата публикации: 2000-02-10.

Semiconductor structure and method for manufacturing same

Номер патента: US20240049457A1. Автор: Deyuan Xiao,Kanyu Cao,Yiming Zhu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-08.

Semiconductor structure with chirp layer

Номер патента: US20210036183A1. Автор: Norbert Krause,Guilherme Tosi. Владелец: Silanna UV Technologies Pte Ltd. Дата публикации: 2021-02-04.

Semiconductor structure, device, and method

Номер патента: US12067341B2. Автор: Wei-Cheng Lin,Shih-Wei Peng,Jiann-Tyng Tzeng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Semiconductor structure and operation method thereof

Номер патента: US20180358354A1. Автор: Wing-Chor CHAN. Владелец: Macronix International Co Ltd. Дата публикации: 2018-12-13.

Insulated gate semiconductor device

Номер патента: RU2407107C2. Автор: Мунаф РАХИМО. Владелец: АББ ШВАЙЦ АГ. Дата публикации: 2010-12-20.

Insulated gate semiconductor device having trench gate and inverter provided with the same

Номер патента: US5828100A. Автор: Yutaka Kobayashi,Akihiko Tamba. Владелец: HITACHI LTD. Дата публикации: 1998-10-27.

Memory structure and manufacturing method thereof, and semiconductor structure

Номер патента: US20230380140A1. Автор: Yi Tang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-11-23.

Semiconductor structure formation

Номер патента: US20210183865A1. Автор: Deepak Chandra Pandey,Naveen KAUSHIK,Venkata Naveen Kumar Neelapala. Владелец: Micron Technology Inc. Дата публикации: 2021-06-17.

Method for fabricating semiconductor device with damascene structure by using etch stop layer

Номер патента: US20230307289A1. Автор: Wei-Chen Pan. Владелец: Nanya Technology Corp. Дата публикации: 2023-09-28.

Semiconductor structure and method making the same

Номер патента: US09613852B2. Автор: Hsiang-Wei Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-04.

Method for fabricating semiconductor device with damascene structure by using etch stop layer

Номер патента: US20230307248A1. Автор: Wei-Chen Pan. Владелец: Nanya Technology Corp. Дата публикации: 2023-09-28.

Method and equipment for forming gaps in a material layer

Номер патента: US12014954B2. Автор: Yu-Ming Lin,Li-Te Lin,Chan-Syun David Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-18.

Semiconductor structure and manufacturing method thereof

Номер патента: US20240234323A1. Автор: Shih-Ping Lee,Mao-Hsing Chiu. Владелец: Powerchip Semiconductor Manufacturing Corp. Дата публикации: 2024-07-11.

Method for fabricating array structure of columnar capacitor and semiconductor structure

Номер патента: US20230298899A1. Автор: Jun Xia,Qiang Wan,Kangshu ZHAN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-09-21.

Metal-Based Etch-Stop Layer

Номер патента: US20190333807A1. Автор: Szu-Ping Tung,Jen Hung Wang,Yu-Kai Lin,Shing-Chyang Pan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-10-31.

Method for forming a via in a damascene process

Номер патента: US20030194856A1. Автор: Ding Yi,Wei Cheng,Yakub Aliyu,Daniel Yen. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2003-10-16.

Semiconductor structure and method of forming the same

Номер патента: US09515021B1. Автор: Hung-Lung Hu,Yu-Chih Chen,Chia-Ching Tsai,Szu-Hung Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-12-06.

Semiconductor structure and manufacturing method thereof

Номер патента: US20230274975A1. Автор: Tze-Liang Lee,Jen-Hung Wang,Yu-Kai Lin,Su-Jen Sung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-08-31.

Method of manufacturing semiconductor structure and semiconductor structure

Номер патента: US12096618B2. Автор: Jingwen Lu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-17.

Adhesive-layer structure and semiconductor structure

Номер патента: US20220375778A1. Автор: Chih-Kai Huang,Yu-Yun Lo,Shiang-Ning YANG,Bo-Wei Wu. Владелец: PlayNitride Display Co Ltd. Дата публикации: 2022-11-24.

Semiconductor Structure Having High Breakdown Voltage Etch-Stop Layer

Номер патента: US20240266292A1. Автор: Joung-Wei Liou,Chin Kun Lan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-08.

Semiconductor structure and method of manufacturing thereof

Номер патента: US20240347461A1. Автор: Chun-Wei Wang,Jen-I Lai,Rou-Wei Wang. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-17.

Method for manufacturing semiconductor structure and same

Номер патента: US12150294B2. Автор: Zhongming Liu,Longyang Chen,Shijie BAI. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-11-19.

Semiconductor structure and method of forming the same

Номер патента: US20200032413A1. Автор: Feng-Yi Chang,Ming-Feng Kuo,Fu-Che Lee. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2020-01-30.

Semiconductor structure and method of forming the same

Номер патента: US20180277354A1. Автор: Feng-Yi Chang,Ming-Feng Kuo,Fu-Che Lee. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2018-09-27.

Semiconductor structure and method making the same

Номер патента: US10403563B2. Автор: Hsiang-Wei Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-09-03.

Semiconductor structure and fabrication method thereof

Номер патента: US20240332066A1. Автор: Chih-Wei Chang,Da-Jun Lin,Fu-Yu Tsai,Bin-Siang Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2024-10-03.

Method for manufacturing semiconductor structure

Номер патента: EP4199043A1. Автор: Shuai Guo. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-06-21.

Method for forming semiconductor structure

Номер патента: US20230011266A1. Автор: Ling-Yi Chuang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-12.

Method for forming a self-aligned contact in a damascene structure used to form a memory device

Номер патента: US20160163593A1. Автор: Masanori Tsukamoto. Владелец: Sony Corp. Дата публикации: 2016-06-09.

Semiconductor structure and method for manufacturing semiconductor structure

Номер патента: US20220359291A1. Автор: Tzung-Han Lee,Shuangshuang WU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-11-10.

Method of fabricating a damascene structure

Номер патента: US20020137319A1. Автор: Chia-Lin Hsu,Teng-Chun Tsai,Hsueh-Chung Chen,Yung-Tsung Wei. Владелец: United Microelectronics Corp. Дата публикации: 2002-09-26.

Semiconductor mask blanks with a compatible stop layer

Номер патента: US09953833B2. Автор: Chih-Chiang Tu,Chun-Lang Chen,Tran-Hui Shen,Boming Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-24.

Semiconductor structure and method for forming a semiconductor structure

Номер патента: US11011416B2. Автор: JIN Jisong. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2021-05-18.

Semiconductor structure and method for forming a semiconductor structure

Номер патента: US20200343132A1. Автор: JIN Jisong. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2020-10-29.

Semiconductor structure and forming method thereof

Номер патента: US12080596B2. Автор: JISONG Jin,Abraham Yoo. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2024-09-03.

Semiconductor structure

Номер патента: US20240006219A1. Автор: Kai Cheng,Kai Liu. Владелец: Enkris Semiconductor Inc. Дата публикации: 2024-01-04.

Semiconductor structure and method for manufacturing the same

Номер патента: US12094758B2. Автор: Yi Liu,Ching-Hwa Tey,Guo-Hai Zhang,Tien-Tsai HUNG. Владелец: United Microelectronics Corp. Дата публикации: 2024-09-17.

Method for manufacturing semiconductor structure and semiconductor structure

Номер патента: US12100670B2. Автор: Qiang Zhang,Mengmeng Wang,Hsin-Pin Huang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Semiconductor structure and manufacturing method of the same

Номер патента: US09455270B1. Автор: Erh-Kun Lai. Владелец: Macronix International Co Ltd. Дата публикации: 2016-09-27.

Method of forming contact vias and interconnect channels in a dielectric layer stack with a single mask

Номер патента: US5726100A. Автор: John H. Givens. Владелец: Micron Technology Inc. Дата публикации: 1998-03-10.

Metal-Based Etch-Stop Layer

Номер патента: US20210280460A1. Автор: Szu-Ping Tung,Jen Hung Wang,Yu-Kai Lin,Shing-Chyang Pan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-09-09.

Metal-based etch-stop layer

Номер патента: US11769693B2. Автор: Szu-Ping Tung,Jen Hung Wang,Yu-Kai Lin,Shing-Chyang Pan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-26.

Semiconductor structure and preparation method therefor

Номер патента: EP4117029A1. Автор: Tzung-Han Lee,Shuangshuang WU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-11.

Method for Forming Semiconductor Structure and Semiconductor Structure

Номер патента: US20220293466A1. Автор: Dongxue Zhang,Ge-Wei Lin. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-09-15.

Method for forming semiconductor structure and semiconductor structure

Номер патента: US20230079234A1. Автор: Junbo PAN,Jinghao WANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-03-16.

Forming method of semiconductor structure and semiconductor structure

Номер патента: US20230282537A1. Автор: Huiwen TANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-09-07.

Method for forming semiconductor structure and semiconductor structure

Номер патента: US12094723B2. Автор: Yuejiao Shu,Ming-Pu Tsai. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-17.

Semiconductor structure and method for forming semiconductor structure

Номер патента: US12120869B2. Автор: Mengna ZHU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-10-15.

Semiconductor structure, and manufacturing method of semiconductor structure

Номер патента: EP3618123A1. Автор: Peng Xiang,Kai Cheng. Владелец: Enkris Semiconductor Inc. Дата публикации: 2020-03-04.

Heat dissipation structure, method for forming heat dissipation structure, and semiconductor structure

Номер патента: US12119283B2. Автор: Luguang WANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-10-15.

Semiconductor structure and method of preparing semiconductor structure

Номер патента: US20190157394A1. Автор: Peng Xiang,Kai Cheng. Владелец: Enkris Semiconductor Inc. Дата публикации: 2019-05-23.

Method and equipment for forming gaps in a material layer

Номер патента: US20220157648A1. Автор: Yu-Ming Lin,Li-Te Lin,Chan-Syun David Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-05-19.

Semiconductor structure with via extending across adjacent conductive lines

Номер патента: US20240234301A1. Автор: Yen-Sen Wang,Shu-Wei Chung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-11.

Semiconductor structure and manufacturing method therefor

Номер патента: EP4355048A1. Автор: Deyuan Xiao,GuangSu SHAO,Yunsong QIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-04-17.

Semiconductor structure and method for forming same

Номер патента: EP4325551A1. Автор: Lingyi CHUANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-21.

Semiconductor structures and methods of forming the same

Номер патента: US20230411171A1. Автор: Shin-puu Jeng,Hsien-Wei Chen,Jing-Ye Juang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-21.

Semiconductor structure implementing sacrificial material

Номер патента: IL157828A. Автор: Yehiel Gotkis,Rodney Kistler,David Wei. Владелец: David Wei. Дата публикации: 2010-06-16.

Semiconductor structure and method of forming the same

Номер патента: US20240282700A1. Автор: Li Han Lin. Владелец: Nanya Technology Corp. Дата публикации: 2024-08-22.

Method for transferring semiconductor structure

Номер патента: US09997399B2. Автор: Li-Yi Chen,Shih-Chyn Lin. Владелец: Mikro Mesa Technology Co Ltd. Дата публикации: 2018-06-12.

Protecting layer in a semiconductor structure

Номер патента: US09859152B2. Автор: Tien-I Bao,Hai-Ching Chen,Chi-Lin Teng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-02.

Etch stop layer in integrated circuits

Номер патента: US09437484B2. Автор: Chun Che Lin,Shiu-Ko Jangjian,Tsung-Hsuan Hong,Chih-Nan Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-06.

Manufacturing method of semiconductor structure

Номер патента: US11862513B2. Автор: Xifei BAO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-01-02.

Method and System for Providing Contact to a First Polysilicon Layer in a Flash Memory Device

Номер патента: US20120302017A1. Автор: Hao Fang,King Wai Kelwin Ko,Mark S. Chang. Владелец: SPANSION LLC. Дата публикации: 2012-11-29.

Method and system for providing contact to a first polysilicon layer in a flash memory device

Номер патента: US20120217563A1. Автор: Hao Fang,Mark S. Chang,King Wai Kelwin. Владелец: Individual. Дата публикации: 2012-08-30.

Semiconductor structure and method for manufacturing the same

Номер патента: US11776895B2. Автор: Tung-Jiun Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-03.

Semiconductor structure and method of manufacturing thereof

Номер патента: US20230095867A1. Автор: Chun-Wei Wang,Jen-I Lai,Rou-Wei Wang. Владелец: Nanya Technology Corp. Дата публикации: 2023-03-30.

Semiconductor structure having etch stop layer

Номер патента: US20130299987A1. Автор: Chih-Kang Chao,Ling-Sung Wang,Chih-Hsun Lin,Mei-Hsuan LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-11-14.

Semiconductor structure and method for manufacturing the same

Номер патента: US20230378048A1. Автор: Tung-Jiun Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

Semiconductor structure and forming method therefor, and memory

Номер патента: EP4358134A1. Автор: Kaimin Lv. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-04-24.

Preparation method for leads of semiconductor structure, and semiconductor structure

Номер патента: US12040269B2. Автор: Chung Yen Chou. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-16.

Semiconductor structure and method of manufacture

Номер патента: WO2009076510A2. Автор: Bishnu Prasanna Gogoi. Владелец: Hvvi Semiconductors, Inc.. Дата публикации: 2009-06-18.

Semiconductor structure and method for preparing same

Номер патента: US12100680B2. Автор: Luguang WANG,Jinrong HUANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Semiconductor structure having high breakdown voltage etch-stop layer

Номер патента: US11961803B2. Автор: Joung-Wei Liou,Chin Kun Lan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-16.

Semiconductor structure as well as manufacturing method therefor, storage chip, and electronic device

Номер патента: EP4319528A1. Автор: Hong Wang,Xiaojie Li. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-07.

Method for manufacturing semiconductor structure, semiconductor structure, and capacitor structure

Номер патента: US20230034079A1. Автор: Ting-Chung Chiu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-02-02.

Semiconductor structure and method of forming the same

Номер патента: US10472731B2. Автор: Feng-Yi Chang,Ming-Feng Kuo,Fu-Che Lee. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2019-11-12.

Method for manufacturing semiconductor structure and semiconductor structure

Номер патента: US11985807B2. Автор: Tao Liu,Sen Li,Qiang Wan. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-05-14.

Semiconductor structure and method for manufacturing semiconductor structure

Номер патента: US20230012447A1. Автор: Deyuan Xiao,GuangSu SHAO,Yunsong QIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-12.

Method of manufacturing a dual gate semiconductor device with a poly-metal electrode

Номер патента: US6881618B2. Автор: Naoki Yamamoto. Владелец: Renesas Technology Corp. Дата публикации: 2005-04-19.

Semiconductor structure and manufacturing method thereof

Номер патента: US20230275066A1. Автор: Shing-Yih Shih. Владелец: Nanya Technology Corp. Дата публикации: 2023-08-31.

Semiconductor structure and manufacturing method thereof

Номер патента: US20230061921A1. Автор: Deyuan Xiao,GuangSu SHAO,Weiping BAI,Yunsong QIU. Владелец: Beijing Superstring Academy of Memory Technology. Дата публикации: 2023-03-02.

Semiconductor structure and manufacturing method thereof

Номер патента: US20140374805A1. Автор: Ching-Wen Hung,Chih-Sen Huang,Yi-Ching Wu. Владелец: United Microelectronics Corp. Дата публикации: 2014-12-25.

Semiconductor structure, memory device, semiconductor device and method of manufacturing the same

Номер патента: US20210082817A1. Автор: Ling-Yi Chuang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2021-03-18.

Semiconductor structure and fabrication method

Номер патента: US20190273043A1. Автор: Xing Hua SONG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-09-05.

Semiconductor structure and formation method thereof

Номер патента: US12082401B2. Автор: Xinman CAO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-03.

Method for industrial manufacturing of a semiconductor structure with reduced bowing

Номер патента: EP3449497A1. Автор: Leonida Miglio. Владелец: PILEGROWTH TECH Srl. Дата публикации: 2019-03-06.

Semiconductor structure and manufacturing method for the same

Номер патента: US20210296208A1. Автор: Ming-Hsiu Lee,Dai-Ying LEE. Владелец: Macronix International Co Ltd. Дата публикации: 2021-09-23.

Formation method of semiconductor structure

Номер патента: US12080589B2. Автор: Hong Lin,Weijun Wang. Владелец: Shanghai IC R&D Center Co Ltd. Дата публикации: 2024-09-03.

Semiconductor structure and manufacturing method for the same

Номер патента: US20220199490A1. Автор: Ming-Hsiu Lee,Dai-Ying LEE. Владелец: Macronix International Co Ltd. Дата публикации: 2022-06-23.

Semiconductor structure and method for preparing same, memory, and electronic device

Номер патента: US20240290678A1. Автор: Ming Zeng. Владелец: Beijing Superstring Academy of Memory Technology. Дата публикации: 2024-08-29.

Semiconductor structure and manufacturing method for the same

Номер патента: US11776873B2. Автор: Ming-Hsiu Lee,Dai-Ying LEE. Владелец: Macronix International Co Ltd. Дата публикации: 2023-10-03.

Method for removing epitaxial layer and respective semiconductor structure

Номер патента: US20240363416A1. Автор: Soeren Steudel,Johan Vertommen. Владелец: Micledi Microdisplays BV. Дата публикации: 2024-10-31.

Method for removing epitaxial layer and respective semiconductor structure

Номер патента: EP4456157A1. Автор: Soeren Steudel,Johan Vertommen. Владелец: Micledi Microdisplays BV. Дата публикации: 2024-10-30.

Semiconductor structure having layers in a trench and method of manufacturing the same

Номер патента: US11784087B2. Автор: Hao Chuan CHANG,Kai Jen. Владелец: Winbond Electronics Corp. Дата публикации: 2023-10-10.

Semiconductor structure and method of manufacturing

Номер патента: US20130043590A1. Автор: Chih-Kang Chao,Ling-Sung Wang,Chih-Hsun Lin,Mei-Hsuan LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-02-21.

Semiconductor structure and method for manufacturing semiconductor structure

Номер патента: US20230413508A1. Автор: Hong Wang,Xiaojie Li. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-12-21.

Selective etching of silicon layers in a semiconductor device

Номер патента: US20230317772A1. Автор: Timothy Boles. Владелец: MACOM Technology Solutions Holdings Inc. Дата публикации: 2023-10-05.

Semiconductor structure and method for forming semiconductor structure

Номер патента: US20220301871A1. Автор: Kai Cao. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-09-22.

Method for forming semiconductor structure and semiconductor structure

Номер патента: EP4075492A1. Автор: Chih-Cheng Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-10-19.

Method for fabricating semiconductor structure, and semiconductor structure

Номер патента: US20240021518A1. Автор: Jingwen Lu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-01-18.

Semiconductor structure and forming method therefor

Номер патента: US20240172418A1. Автор: Boyong He,Wenyu HUA. Владелец: ICLeague Technology Co Ltd. Дата публикации: 2024-05-23.

Method for Manufacturing Semiconductor Structure

Номер патента: US20220319848A1. Автор: Shuai Guo. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-10-06.

Method for manufacturing semiconductor structure

Номер патента: US12046472B2. Автор: Shuai Guo. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-23.

Methods for removing etch stop layers

Номер патента: WO2023015116A1. Автор: Joung Joo Lee,Nitin K. Ingle,Suketu Parikh,Andrew Yeoh,Tom S. Choi. Владелец: Applied Materials, Inc.. Дата публикации: 2023-02-09.

Semiconductor structure and preparation method thereof

Номер патента: US12089401B2. Автор: Jingwen Lu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-10.

Semiconductor structure having a capacitor and metal wiring integrated in a same dielectric layer

Номер патента: US09577030B2. Автор: Nick Lindert. Владелец: Intel Corp. Дата публикации: 2017-02-21.

Semiconductor structures and devices including conductive lines and peripheral conductive pads

Номер патента: US09343669B2. Автор: Giulio Albini. Владелец: Micron Technology Inc. Дата публикации: 2016-05-17.

Method of forming a damascene structure with integrated planar dielectric layers

Номер патента: US20060057829A1. Автор: Sasson Somekh,Chien Chiang,Tom Wu. Владелец: Novellus Systems Inc. Дата публикации: 2006-03-16.

Semiconductor structure and formation method therefor

Номер патента: EP4131354A1. Автор: Kai Cao. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-02-08.

Semiconductor structures and methods for forming the same

Номер патента: US12027422B2. Автор: Hung-Sheng Chen,Ching-Yung Wang,cheng-hong Wei,Tseng-Yao PAN,Chien-Hsiang Yu. Владелец: Winbond Electronics Corp. Дата публикации: 2024-07-02.

Semiconductor structure and method for manufacturing the same

Номер патента: US20200303324A1. Автор: Victor Y. Lu,Pu-Fang Chen,Shi-Chieh Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-09-24.

Semiconductor Structure and Circuit with Embedded Schottky Diode

Номер патента: US20120286362A1. Автор: Hsin-Liang Chen,Wing-Chor CHAN. Владелец: Macronix International Co Ltd. Дата публикации: 2012-11-15.

Semiconductor structure and manufacturing method therefor

Номер патента: EP4210095A1. Автор: Zhongming Liu,Longyang Chen,Yexiao Yu,Zhong KONG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-07-12.

Semiconductor structure

Номер патента: US20230411308A1. Автор: En-Chiuan Liou,Chia-Chen Sun. Владелец: United Microelectronics Corp. Дата публикации: 2023-12-21.

Semiconductor structure and method of making

Номер патента: US20240339366A1. Автор: Chia-Wei Liu,Jheng-Hong Jiang,Shing-Huang Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-10.

Method for manufacturing semiconductor structure

Номер патента: US12068160B2. Автор: Zhongming Liu,Xinman CAO,Shijie BAI. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-08-20.

Semiconductor structure and method for forming the same

Номер патента: US09960146B1. Автор: Po-Chun Lin. Владелец: Nanya Technology Corp. Дата публикации: 2018-05-01.

Semiconductor structure and manufacturing method thereof

Номер патента: US09490192B1. Автор: Hsien-Wei Chen,Ying-Ju Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-08.

Semiconductor structure and method forming the same

Номер патента: US20200335509A1. Автор: Chin-Cheng Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2020-10-22.

Semiconductor Mask Blanks with a Compatible Stop Layer

Номер патента: US20160013058A1. Автор: Chih-Chiang Tu,Chun-Lang Chen,Tran-Hui Shen,Boming Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-01-14.

Semiconductor structure and method forming the same

Номер патента: US10854616B2. Автор: Chin-Cheng Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2020-12-01.

Semiconductor structure and method for forming same

Номер патента: US20230057480A1. Автор: Deyuan Xiao,GuangSu SHAO,Yunsong QIU,Minmin WU. Владелец: Beijing Superstring Academy of Memory Technology. Дата публикации: 2023-02-23.

Method for manufacturing semiconductor structure and planarization process thereof

Номер патента: US20210354983A1. Автор: Xiang Li,Ding Lung Chen. Владелец: United Microelectronics Corp. Дата публикации: 2021-11-18.

Semiconductor structure

Номер патента: US12068234B2. Автор: Chun-Hung Chen,Ming-Tse Lin. Владелец: United Microelectronics Corp. Дата публикации: 2024-08-20.

Semiconductor structure and manufacturing method thereof

Номер патента: US09984967B2. Автор: Kai-Yu Cheng,Ching-Kun Huang,Shih-Kang TIEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-29.

Etch stop layer in integrated circuits

Номер патента: US11942419B2. Автор: Chun Che Lin,Shiu-Ko Jangjian,Tsung-Hsuan Hong,Chih-Nan Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-26.

Semiconductor Mask Blanks with a Compatible Stop Layer

Номер патента: US20180240668A1. Автор: Chih-Chiang Tu,Chun-Lang Chen,Tran-Hui Shen,Boming Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-08-23.

Semiconductor mask blanks with a compatible stop layer

Номер патента: US10678126B2. Автор: Chih-Chiang Tu,Chun-Lang Chen,Tran-Hui Shen,Boming Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-06-09.

Etch stop layer for backside processing architecture

Номер патента: WO2023114582A1. Автор: Anand Murthy,Prashant Majhi,Prahalad Parthangal. Владелец: Intel Corporation. Дата публикации: 2023-06-22.

Semiconductor structure and method for manufacturing same

Номер патента: US20220076951A1. Автор: Chengchung LIN,Chengtar WU,Zuyuan Zhou,Jiashan YIN. Владелец: SJ Semiconductor Jiangyin Corp. Дата публикации: 2022-03-10.

Semiconductor structure and method of forming the same

Номер патента: US20240222191A1. Автор: Chu-Chun HSIEH,Ping-Lung Yu,Po-Chun Shao. Владелец: Winbond Electronics Corp. Дата публикации: 2024-07-04.

Method of manufacturing semiconductor structure

Номер патента: US20200035524A1. Автор: Jyh-Shiou Hsu,Chi-Ming Yang,Tzu Jeng Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-30.

Method of manufacturing semiconductor structure

Номер патента: US10991604B2. Автор: Jyh-Shiou Hsu,Chi-Ming Yang,Tzu Jeng Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-04-27.

Semiconductor structure and fabrication method thereof

Номер патента: US20230021267A1. Автор: QIAO LI,Zhi Yang,Yue Zhuo,Wentao Xu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-19.

Semiconductor structure and manufacturing method thereof

Номер патента: US20220102349A1. Автор: Tao Chen. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-03-31.

Method for Etching Features in a Layer in a Substrate

Номер патента: US20240234158A1. Автор: Yusuke Yoshida,Ken Kobayashi,Yun Han,Aelan Mosden,Jason MARION,Indroneil Roy. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-07-11.

Method of forming a bottom electrode of a capacitor in a dynamic random access memory cell

Номер патента: US20010008785A1. Автор: Chien-Li Kuo,Wei-Wu Liao. Владелец: United Microelectronics Corp. Дата публикации: 2001-07-19.

Method for forming III-V semiconductor structures including aluminum-silicon nitride passivation

Номер патента: US09991360B2. Автор: Richard Brown,James R. Shealy. Владелец: CORNELL UNIVERSITY. Дата публикации: 2018-06-05.

Semiconductor structure and method for manufacturing the same

Номер патента: US20230386893A1. Автор: Yi Liu,Ching-Hwa Tey,Guo-Hai Zhang,Tien-Tsai HUNG. Владелец: United Microelectronics Corp. Дата публикации: 2023-11-30.

Protecting layer in a semiconductor structure

Номер патента: US20140167229A1. Автор: Tien-I Bao,Hai-Ching Chen,Chi-Lin Teng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-06-19.

Semiconductor mask blanks with a compatible stop layer

Номер патента: US20130193565A1. Автор: Chih-Chiang Tu,Chun-Lang Chen,Tran-Hui Shen,Boming Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-08-01.

Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures

Номер патента: US11798811B2. Автор: Fabrizio MARCHEGIANI. Владелец: American Air Liquide Inc. Дата публикации: 2023-10-24.

Semiconductor mask blanks with a compatible stop layer

Номер патента: US9142423B2. Автор: Chih-Chiang Tu,Chun-Lang Chen,Tran-Hui Shen,Boming Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-09-22.

Semiconductor Mask Blanks with a Compatible Stop Layer

Номер патента: US20140199787A1. Автор: Chih-Chiang Tu,Chun-Lang Chen,Tran-Hui Shen,Boming Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-07-17.

Semiconductor structure and method for manufacturing the same

Номер патента: US20240105502A1. Автор: Yi Liu,Ching-Hwa Tey,Guo-Hai Zhang,Tien-Tsai HUNG. Владелец: United Microelectronics Corp. Дата публикации: 2024-03-28.

Method of forming semiconductor structure and semiconductor structure

Номер патента: US20230043941A1. Автор: Peng Yang,Gongyi WU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-02-09.

Cleaning method of film layer in the plasma processing apparatus

Номер патента: US20240203708A1. Автор: Kazuhiro Ueda,Kazuyuki Ikenaga. Владелец: Hitachi High Tech Corp. Дата публикации: 2024-06-20.

Chip structure with etch stop layer

Номер патента: US20230268272A1. Автор: Wei-Li Huang,Kun-Ming Tsai,Shih-Hao Lin,Ping-En CHENG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-08-24.

Method and apparatus to mitigate word line staircase etch stop layer thickness variations in 3d nand devices

Номер патента: EP4186095A1. Автор: Sha Tao,Qun Li,Hong Ma. Владелец: Intel Corp. Дата публикации: 2023-05-31.

Method for forming isolation layer in semiconductor device

Номер патента: US20030119266A1. Автор: Cheol Park. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2003-06-26.

Method and device for fabricating a layer in semiconductor material

Номер патента: US09528196B2. Автор: Michel Bruel. Владелец: Soitec SA. Дата публикации: 2016-12-27.

Semiconductor structure and method for forming the same

Номер патента: US20240071829A1. Автор: Jeng-Ya Yeh,Hsin-Che Chiang,Chi-Wei Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-29.

Semiconductor structure and method for manufacturing the same

Номер патента: US20240237339A1. Автор: Kuang-Wen Liu,Ting-Feng Liao. Владелец: Macronix International Co Ltd. Дата публикации: 2024-07-11.

Light sensitive semiconductor structures

Номер патента: GB2628444A. Автор: GABLER Daniel,Siles Pablo,Ai Qiang,Abdulrahman Tamer,Hong Tan Tong. Владелец: X Fab Global Services GmbH. Дата публикации: 2024-09-25.

Method for fabricating semiconductor structure and semiconductor structure

Номер патента: US20240090191A1. Автор: Yi Tang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-03-14.

Method for measuring thickness variations in a layer of a multilayer semiconductor structure

Номер патента: US09759546B2. Автор: Oleg Kononchuk,Didier Dutartre. Владелец: Soitec SA. Дата публикации: 2017-09-12.

Semiconductor structure and method for preparing semiconductor structure

Номер патента: US20230015991A1. Автор: Yuanhao Gao. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-19.

Light Sensitive Semiconductor Structures

Номер патента: US20240322062A1. Автор: Daniel Gäbler,Pablo Siles,Qiang Ai,Tamer Abdulrahman,Tong Hong Tan. Владелец: X Fab Global Services GmbH. Дата публикации: 2024-09-26.

Methods and systems for semiconductor structure thickness measurement

Номер патента: US20210295496A1. Автор: Olmez Fatih. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2021-09-23.

Method of manufacturing semiconductor structure

Номер патента: US20210335794A1. Автор: Ching-Chia Huang,Wei-Ming Liao. Владелец: Nanya Technology Corp. Дата публикации: 2021-10-28.

Through-semiconductor-via capping layer as etch stop layer

Номер патента: US09773829B2. Автор: Gang Chen,Duli Mao,Yuanwei Zheng,Dyson Tai. Владелец: Omnivision Technologies Inc. Дата публикации: 2017-09-26.

Method for fabricating semiconductor structure, semiconductor structure, and memory

Номер патента: US20230276609A1. Автор: Shuai Guo,Mingguang ZUO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-08-31.

Semiconductor structure

Номер патента: US20230028636A1. Автор: Zongzheng LU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-26.

Method for manufacturing semiconductor structure

Номер патента: US12089392B2. Автор: Tao Liu,Jun Xia,Penghui Xu,Sen Li,Qiang Wan,Kangshu ZHAN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-10.

Creating layers in thin-film structures

Номер патента: GB2395922B. Автор: Warren Lee,Richard Allan Tuck,Adrian Paul Burden,Michael Stuart Waite. Владелец: Printable Field Emitters Ltd. Дата публикации: 2006-08-09.

Creating layers in thin-film structures

Номер патента: GB2418874B. Автор: Warren Lee,Richard Allan Tuck,Adrian Paul Burden,Michael Stuart Waite. Владелец: Printable Field Emitters Ltd. Дата публикации: 2007-04-18.

Transistor, semiconductor structure, memory, and method for forming same

Номер патента: US20240098966A1. Автор: Yi Tang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-03-21.

Semiconductor structure and method for manufacturing same

Номер патента: US20230031281A1. Автор: XIANG Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-02-02.

Method for fabricating semiconductor structure

Номер патента: US12027575B2. Автор: Hsih-Yang Chiu,Ting-Cih Kang. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-02.

Method and device for measuring dimension of semiconductor structure

Номер патента: US20220229087A1. Автор: ZHENG Li. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-07-21.

Method and device for measuring dimension of semiconductor structure

Номер патента: US11656245B2. Автор: ZHENG Li. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-05-23.

Semiconductor structure and method for manufacturing the same

Номер патента: US20240243133A1. Автор: Jhon-Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-18.

Semiconductor structure and method for manufacturing semiconductor structure

Номер патента: US20240064954A1. Автор: Mengmeng Yang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-22.

Semiconductor structure and manufacturing method thereof

Номер патента: US20230413532A1. Автор: Min Li. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-12-21.

Semiconductor structure and method for manufacturing the same

Номер патента: US20240290669A1. Автор: Dohyun Kim,Hyoeun Kim,Sunkyoung Seo,Yeongseon Kim,Juhyeon KIM,JeongOh Ha. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-29.

Semiconductor structure and method for fabricating the same

Номер патента: US11756988B2. Автор: Hsih-Yang Chiu,Ting-Cih Kang. Владелец: Nanya Technology Corp. Дата публикации: 2023-09-12.

Semiconductor structure for 3d memory and manufacturing method thereof

Номер патента: US20240258250A1. Автор: Yu-Tang Lin. Владелец: Macronix International Co Ltd. Дата публикации: 2024-08-01.

Semiconductor structure

Номер патента: US20240321942A1. Автор: Hsih-Yang Chiu,Ting-Cih Kang. Владелец: Nanya Technology Corp. Дата публикации: 2024-09-26.

Semiconductor structure

Номер патента: US20240258232A1. Автор: Wei-Zhong Li,Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2024-08-01.

Semiconductor structure

Номер патента: US20230012587A1. Автор: Yi Tang,Xiaojie Li,Jianfeng Xiao. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-19.

Semiconductor structure and method for forming semiconductor structure

Номер патента: US20240292606A1. Автор: XIAO Ding,Fandong LIU,Wenyu HUA. Владелец: ICLeague Technology Co Ltd. Дата публикации: 2024-08-29.

Method of manufacturing semiconductor structure having polygonal bonding pad

Номер патента: US11776921B2. Автор: Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2023-10-03.

Semiconductor structure, preparation method of same, and semiconductor device

Номер патента: US20220238639A1. Автор: Xianlei CAO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-07-28.

Semiconductor structure and manufacturing method thereof

Номер патента: US20240096754A1. Автор: Yuan Fang,Yanwu WANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-03-21.

Method for preparing semiconductor structure and semiconductor structure

Номер патента: US12048138B2. Автор: Tao Liu,Jun Xia,Penghui Xu,Sen Li,Qiang Wan,Kangshu ZHAN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-23.

Method of manufacturing semiconductor structure and semiconductor structure

Номер патента: US20230413513A1. Автор: Yi Tang. Владелец: Changxin Memory Technoligies Inc. Дата публикации: 2023-12-21.

Semiconductor structure and manufacturing method thereof

Номер патента: US12120863B2. Автор: Jie Bai,Kang You. Владелец: Beijing Superstrng Academy Of Memory Technology. Дата публикации: 2024-10-15.

Through silicon via sharing in a 3D integrated circuit

Номер патента: US09871020B1. Автор: Sebastian T. Ventrone,Sudeep Mandal. Владелец: Globalfoundries Inc. Дата публикации: 2018-01-16.

Semiconductor structure with anti-efuse device

Номер патента: US09754903B2. Автор: Min-Hwa Chi,Ajey Poovannummoottil Jacob,Suraj K. Patil. Владелец: Globalfoundries Inc. Дата публикации: 2017-09-05.

Semiconductor structure and method for forming same

Номер патента: US20230411444A1. Автор: JISONG Jin. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2023-12-21.

Semiconductor structure and manufacture method therefor

Номер патента: US20230282765A1. Автор: Kai Cheng,Weihua Liu. Владелец: Enkris Semiconductor Inc. Дата публикации: 2023-09-07.

Three-dimensional semiconductor structure and method for forming same

Номер патента: US20240023324A1. Автор: Chao Lin. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-01-18.

Semiconductor structure and method for fabricating same

Номер патента: US20240055325A1. Автор: Ling-Yi Chuang,Kaimin Lv. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-15.

Semiconductor structure and method of forming the same

Номер патента: US20220320127A1. Автор: Hsiu-Han Liao,Che-Fu Chuang. Владелец: Winbond Electronics Corp. Дата публикации: 2022-10-06.

Semiconductor structure and method for manufacturing semiconductor structure

Номер патента: US20230189509A1. Автор: Qinghua Han. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-06-15.

Semiconductor structure

Номер патента: US20160020167A1. Автор: Teng-Hao Yeh,Chih-Wei Hu. Владелец: Macronix International Co Ltd. Дата публикации: 2016-01-21.

Semiconductor structure and integrated circuit

Номер патента: US20210327879A1. Автор: Shih-Ping Lee,Bo-An Tsai,Shyng-Yeuan Che. Владелец: Powerchip Semiconductor Manufacturing Corp. Дата публикации: 2021-10-21.

Semiconductor structures having deep trench capacitor and methods for manufacturing the same

Номер патента: US20240332347A1. Автор: Li-Han Lin,Szu-Yu Hou. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-03.

Semiconductor structures having deep trench capacitor and methods for manufacturing the same

Номер патента: US20240355871A1. Автор: Li-Han Lin,Szu-Yu Hou. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-24.

Semiconductor structure having dielectric layer and conductive strip

Номер патента: US09947665B2. Автор: Teng-Hao Yeh,Chih-Wei Hu. Владелец: Macronix International Co Ltd. Дата публикации: 2018-04-17.

Semiconductor structures having deep trench capacitor and methods for manufacturing the same

Номер патента: US12148791B2. Автор: Li-Han Lin,Szu-Yu Hou. Владелец: Nanya Technology Corp. Дата публикации: 2024-11-19.

Semiconductor structure

Номер патента: US11805660B2. Автор: Shih-Chang Liu,Yuan-Tai Tseng,Chern-Yow Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-31.

Semiconductor device, method for operating same, and semiconductor structure

Номер патента: US20240049446A1. Автор: Youming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-08.

Semiconductor structure body and method for manufacturing semiconductor structure body

Номер патента: US20230408936A1. Автор: Manabu Takakuwa. Владелец: Kioxia Corp. Дата публикации: 2023-12-21.

Heater for annealing trapped charge in a semiconductor device

Номер патента: US20060103007A1. Автор: Philip Oldiges,John Aitken,Ethan Cannon,Alvin Strong. Владелец: International Business Machines Corp. Дата публикации: 2006-05-18.

Method for manufacturing semiconductor structure with single side capacitor

Номер патента: US20230402501A1. Автор: Shih-Fan Kuan,Yu-Min Chou. Владелец: Nanya Technology Corp. Дата публикации: 2023-12-14.

Method for manufacturing semiconductor structure with single side capacitor

Номер патента: US12051719B2. Автор: Shih-Fan Kuan,Yu-Min Chou. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-30.

Memory arrays having substantially vertical, adjacent semiconductor structures and their formation

Номер патента: EP2593966A2. Автор: Zengtao Liu. Владелец: Micron Technology Inc. Дата публикации: 2013-05-22.

Micro semiconductor structure

Номер патента: US20200176509A1. Автор: Yi-Ching Chen,Yu-Chu Li,Yi-Chun Shih,Pei-Hsin Chen,Tzu-Yang Lin,Yu-Hung Lai,Ying-Tsang Liu,Huan-Pu Chang. Владелец: PlayNitride Display Co Ltd. Дата публикации: 2020-06-04.

Semiconductor structure and method for forming same

Номер патента: US20240313042A1. Автор: JISONG Jin,YICHAO Wu. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2024-09-19.

Semiconductor structure and method for forming semiconductor structure

Номер патента: US12120862B2. Автор: Liang Zhao,Wenfeng Wang,Jinping Sun. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-10-15.

Semiconductor structure

Номер патента: US12133378B2. Автор: Wei Zhong Li,Hsih Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-29.

Semiconductor structure

Номер патента: US09859282B1. Автор: Chun-Hsien Huang,Chun-Yen TSENG,Ching-Cheng Lung,Yu-Tse Kuo,Shu-Ru Wang. Владелец: United Microelectronics Corp. Дата публикации: 2018-01-02.

Semiconductor structures and packaging methods

Номер патента: US6028347A. Автор: John B. Sauber,John A. Kowaleski, Jr.,Jeffrey G. Maggard. Владелец: Digital Equipment Corp. Дата публикации: 2000-02-22.

Semiconductor structure, method for forming semiconductor structure, and memory

Номер патента: US20240008247A1. Автор: Xiaojie Li. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-01-04.

Semiconductor structure and method for forming same

Номер патента: US20230020883A1. Автор: Deyuan Xiao,Youming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-19.

Fabricating a semiconductor structure with multiple quantum wells

Номер патента: EP4049083A1. Автор: Petrus Johannes Adrianus Thijs,Steven Everard Filippus KLEIJN. Владелец: Smart Photonics Holding BV. Дата публикации: 2022-08-31.

Semiconductor structure and method of forming the same

Номер патента: US20240379908A1. Автор: Shiou-Yi Kuo,Guo-Yi SHIU. Владелец: Lextar Electronics Corp. Дата публикации: 2024-11-14.

Method and assembly for determining the thickness of a layer in a sample stack

Номер патента: US09587930B2. Автор: Bernd Srocka. Владелец: HSEB Dresden GmbH. Дата публикации: 2017-03-07.

Semiconductor structure and manufacturing method thereof

Номер патента: US12125875B2. Автор: Chia Che CHIANG. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-22.

Method of characterizing group III-V epitaxial semiconductor wafers incorporating an etch stop layer

Номер патента: US5639343A. Автор: Daniel Mark Dobkin. Владелец: Watkins Johnson Co. Дата публикации: 1997-06-17.

Semiconductor structure and manufacturing method thereof

Номер патента: US20230320079A1. Автор: Deyuan Xiao,GuangSu SHAO,Yunsong QIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-10-05.

Semiconductor structure

Номер патента: US20240234035A1. Автор: Yu-Ting Lin,Mao-Ying Wang. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-11.

Floating metal elements in a package substrate

Номер патента: WO2010121167A1. Автор: Ruey Kae Zang,Jack Monjay Yao. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2010-10-21.

Detection pad structure for analysis in a semiconductor device

Номер патента: US12072374B2. Автор: Jihoon Chang,Yeonjin Lee,Jimin CHOI,Minjung Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-27.

A method for creating an antiblooming structure in a charge coupled device

Номер патента: EP1289019A3. Автор: Eric Gordon Stevens. Владелец: Eastman Kodak Co. Дата публикации: 2004-05-19.

Embedded bridge structure in a substrate

Номер патента: US09642259B2. Автор: Chin-Kwan Kim,Dong Wook Kim,Hong Bok We,Omar James Bchir. Владелец: Qualcomm Inc. Дата публикации: 2017-05-02.

Method and apparatus for fabricating a memory device with a dielectric etch stop layer

Номер патента: US20050239246A1. Автор: H. Manning. Владелец: Individual. Дата публикации: 2005-10-27.

Hybrid photon device having etch stop layer and method of fabricating the same

Номер патента: US20180366901A1. Автор: Dongsik Shim,Byounglyong Choi,Byunggil Jeong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-12-20.

Power interconnect structure for balanced bitline capacitance in a memory array

Номер патента: EP1905082A1. Автор: Takao Akaogi. Владелец: SPANSION LLC. Дата публикации: 2008-04-02.

Multiple antennas in a multi-layer substrate

Номер патента: WO2023069390A1. Автор: Hassan Ali,Richard Wallace,Swaminathan Sankaran. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2023-04-27.

Semiconductor package including a plurality of semiconductor chips in a stacked structure

Номер патента: EP4411813A1. Автор: Minseok KANG,Sungwook Moon,Duhyoung AHN. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-07.

Light coupling formation in a waveguide layer

Номер патента: US20150131938A1. Автор: Kuo-Chung Yee,Chun-Hao Tseng,Ying-hao Kuo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-05-14.

Multiple antennas in a multi-layer substrate

Номер патента: EP4420196A1. Автор: Hassan Ali,Richard Wallace,Swaminathan Sankaran. Владелец: Texas Instruments Inc. Дата публикации: 2024-08-28.

Semiconductor Device and Method of Protecting Passivation Layer in a Solder Bump Process

Номер патента: US20100200985A1. Автор: Qing Zhang,Yaojian Lin,Haijing Cao. Владелец: Stats Chippac Pte Ltd. Дата публикации: 2010-08-12.

Display device having a groove in a blocking region

Номер патента: US20240260330A1. Автор: Jae hak Lee,Deuk Jong Kim,Ki Hoon Kim. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-08-01.

Semiconductor Device and Method of Protecting Passivation Layer in a Solder Bump Process

Номер патента: US20120211881A9. Автор: Qing Zhang,Yaojian Lin,Haijing Cao. Владелец: Stats Chippac Pte Ltd. Дата публикации: 2012-08-23.

Integrated circuit package with a conductive grid formed in a packaging substrate

Номер патента: US09831189B2. Автор: Leilei Zhang. Владелец: Nvidia Corp. Дата публикации: 2017-11-28.

Semiconductor structure and manufacturing method therefor, memory and operation method therefor

Номер патента: EP4318476A1. Автор: Yanzhe TANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-07.

Integration of rare-earth doped amplifiers into semiconductor structures

Номер патента: WO2005079395A3. Автор: YIN Tang. Владелец: YIN Tang. Дата публикации: 2006-12-07.

Integration of rare-earth doped amplifiers into semiconductor structures and uses of same

Номер патента: MY144480A. Автор: Yin S Tang. Владелец: Yin S Tang. Дата публикации: 2011-09-30.

Particle transfer blocking device and lithography device using electron layer in vacuum system

Номер патента: EP4418045A1. Автор: Ki Bum Kim. Владелец: Derkwoo Semitech Co Ltd. Дата публикации: 2024-08-21.

Lid for use in a mass spectrometry system

Номер патента: US20220336201A1. Автор: Aaron T. BOOY. Владелец: DH TECHNOLOGIES DEVELOPMENT PTE LTD. Дата публикации: 2022-10-20.

Lid for use in a mass spectrometry system

Номер патента: WO2021044349A1. Автор: Aaron T. BOOY. Владелец: DH Technologies Development Pte. Ltd.. Дата публикации: 2021-03-11.

Semiconductor structure for 3d memory and manufacturing method thereof

Номер патента: US20240268112A1. Автор: Chia-Jung Chiu,Kuan-Yuan SHEN. Владелец: Macronix International Co Ltd. Дата публикации: 2024-08-08.

Semiconductor structure for 3d memory and manufacturing method thereof

Номер патента: EP4412423A1. Автор: Chia-Jung Chiu,Kuan-Yuan SHEN. Владелец: Macronix International Co Ltd. Дата публикации: 2024-08-07.

Semiconductor structure and method for forming semiconductor structure

Номер патента: US20240049442A1. Автор: Chao Lin,Xiaojie Li. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-08.

Planarization stop layer in phase change memory integration

Номер патента: US20110062559A1. Автор: Yu Zhu,Matthew J. Breitwisch. Владелец: International Business Machines Corp. Дата публикации: 2011-03-17.

Ald vs pvd igzo channel and alox channel passivation in a 3d nand vertical wordline driver

Номер патента: US20240121964A1. Автор: Jessica Sevanne Kachian,Jose CRUZ-CAMPA. Владелец: Intel NDTM US LLC. Дата публикации: 2024-04-11.

Manufacturing method for an integrated semiconductor structure

Номер патента: US20070281417A1. Автор: Daniel Koehler,Peter Baars,Stefan Tegen,Klaus Muemmler,Joern Regul. Владелец: Qimonda AG. Дата публикации: 2007-12-06.

Semiconductor structure and method for forming same

Номер патента: US20240081041A1. Автор: Xiaojie Li,Daohuan FENG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-03-07.

Semiconductor structure and manufacturing method therefor

Номер патента: EP4148791A1. Автор: XIANG Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-03-15.

Semiconductor structure and method of forming the same

Номер патента: US20240357798A1. Автор: Chun-Heng Wu. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-24.

A Semiconductor Structure and Method of Forming the Same

Номер патента: US20240098982A1. Автор: Jia Fang,Zhongming Liu,Yexiao Yu. Владелец: Changxin Memory Technologies, Inc. Дата публикации: 2024-03-21.

MRAM cell embedded in a metal layer

Номер патента: US12058942B2. Автор: Chih-Chao Yang,Ashim Dutta. Владелец: International Business Machines Corp. Дата публикации: 2024-08-06.

Semiconductor structure with high inter-layer dielectric layer and manufacturing method thereof

Номер патента: US12069859B2. Автор: Xing Jin. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-08-20.

Method for manufacturing semiconductor structure with capacitor wires

Номер патента: US11856757B2. Автор: Hai-Han Hung,Jingwen Lu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-12-26.

Semiconductor structure and manufacturing method therefor

Номер патента: EP4280257A1. Автор: Deyuan Xiao,GuangSu SHAO,Yunsong QIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-11-22.

A method for data transmission over the mac layer in a wireless mesh network

Номер патента: WO2009121692A1. Автор: Wissam Haddad. Владелец: SIEMENS AKTIENGESELLSCHAFT. Дата публикации: 2009-10-08.

Semiconductor structure and method for forming semiconductor structure

Номер патента: US20230389261A1. Автор: Youming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-11-30.

Method for managing state in a wireless network

Номер патента: US20070288620A1. Автор: Tae-ho Jang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-12-13.

System and method for supporting a scalable message bus in a distributed data grid cluster

Номер патента: US09535862B2. Автор: Mark Falco,Alex Gleyzer. Владелец: Oracle International Corp. Дата публикации: 2017-01-03.

Method and apparatus for application awareness in a network

Номер патента: US09444841B2. Автор: Binyuan Chen,Amit Chopra,Azeem Feroz. Владелец: VMware LLC. Дата публикации: 2016-09-13.

Method for fabricating semiconductor structure and semiconductor structure

Номер патента: US20240040766A1. Автор: Hong Wang,Xiaojie Li. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-01.

Semiconductor structure, and method for forming semiconductor structure

Номер патента: US20240040777A1. Автор: Meng HUANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-01.

Device and method for reading out information stored in a storage layer

Номер патента: US20040104364A1. Автор: Werner Stahl. Владелец: Agfa Gevaert AG. Дата публикации: 2004-06-03.

Support of random access and switching of layers and sub-layers in multi-layer video files

Номер патента: EP3363204A1. Автор: Ye-Kui Wang,Fnu HENDRY. Владелец: Qualcomm Inc. Дата публикации: 2018-08-22.

Support of random access and switching of layers and sub-layers in multi-layer video files

Номер патента: WO2017066075A1. Автор: Ye-Kui Wang,Fnu HENDRY. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2017-04-20.

Managing stale connections in a distributed system

Номер патента: US12050594B2. Автор: Jaykumar Patel. Владелец: PayPal Inc. Дата публикации: 2024-07-30.

Memory device containing dual etch stop layers for selector elements and method of making the same

Номер патента: EP4055636A1. Автор: Jeffrey Lille,Kanaiyalal Patel. Владелец: SanDisk Technologies LLC. Дата публикации: 2022-09-14.

Access line grain modulation in a memory device

Номер патента: US12087358B2. Автор: David Ross Economy,Stephen W. Russell. Владелец: Micron Technology Inc. Дата публикации: 2024-09-10.

Handling of configuration parameters in a wireless modem

Номер патента: WO2014060459A1. Автор: Per Thorell,Mikael Gullberg,Marco Möhle. Владелец: ST-Ericsson SA. Дата публикации: 2014-04-24.

Support of non-HEVC base layer in HEVC multi-layer extensions

Номер патента: US09794558B2. Автор: Ye-Kui Wang. Владелец: Qualcomm Inc. Дата публикации: 2017-10-17.

Method for producing a smooth Ru side gap of a damascene writer pole

Номер патента: US09548069B2. Автор: Sue S. Zhang,Ning Shi,Xiaoyu Xu. Владелец: HGST NETHERLANDS BV. Дата публикации: 2017-01-17.

Semiconductor structure with micro-electro-mechanical system devices

Номер патента: US09624092B1. Автор: Kuan-Yu Wang,Wei-Hua Fang,Her-Yi Tang,Xuan-Rui Chen. Владелец: United Microelectronics Corp. Дата публикации: 2017-04-18.

Method for producing a smooth ru side gap of a damascene writer pole

Номер патента: US20140272120A1. Автор: Sue S. Zhang,Ning Shi,Xiaoyu Xu. Владелец: HGST NETHERLANDS BV. Дата публикации: 2014-09-18.

Semiconductor structure for mems device

Номер патента: US20170369308A1. Автор: Jung-Huei Peng,Chia-Hua Chu,Chun-Wen Cheng,Yu-Chia Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-12-28.

Semiconductor structures and fabrication method thereof

Номер патента: US10112823B2. Автор: Wei Wang,Chao ZHENG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-10-30.

Semiconductor structures and fabrication method thereof

Номер патента: US20160194198A1. Автор: Wei Wang,Chao ZHENG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-07-07.

Semiconductor structures for enhanced transient response in low dropout (LDO) voltage regulators

Номер патента: US09383618B2. Автор: Gwilym Luff. Владелец: INTERSIL AMERICAS LLC. Дата публикации: 2016-07-05.

Mems devices with an etch stop layer

Номер патента: WO2008140815A1. Автор: Fusao Ishii. Владелец: Fusao Ishii. Дата публикации: 2008-11-20.

Methods And Systems For Measurement Of Semiconductor Structures With Multi-Pass Statistical Optimization

Номер патента: US20240353760A1. Автор: John J. Hench,Daniel J. HAXTON. Владелец: KLA Corp. Дата публикации: 2024-10-24.

Methods and systems for measurement of semiconductor structures with multi-pass statistical optimization

Номер патента: WO2024220228A1. Автор: John J. Hench,Daniel J. HAXTON. Владелец: KLA Corporation. Дата публикации: 2024-10-24.

Incorporation of decision trees in a neural network

Номер патента: WO2022240391A1. Автор: Hao Huang,Piotr ZIELINSKI,Aki Oskari Kuusela,Satrajit Chatterjee,Claudionor Jose NUNES COELHO JR.. Владелец: Google LLC. Дата публикации: 2022-11-17.

Method for producing at least one solid-body layer in accordance with predetermined geometry data

Номер патента: US12017412B2. Автор: Hans Mathea. Владелец: 3d Systems GmbH. Дата публикации: 2024-06-25.

Sensor element and a method for detecting a parameter of a gas mixture in a gas chamber

Номер патента: US09829457B2. Автор: Andreas Wildgen,Torsten Reitmeier. Владелец: Continental Automotive GmbH. Дата публикации: 2017-11-28.

A method for determining the location of an interface region in a medium and associated apparatus

Номер патента: WO2011010096A1. Автор: Wayne Rudd,Laurie Linnett. Владелец: Laurie Linnett. Дата публикации: 2011-01-27.

Method and system for measuring spacing in a perpendicular magnetic recording

Номер патента: US7852581B2. Автор: Kazuhiro Saito. Владелец: EMC Corp. Дата публикации: 2010-12-14.

Estimating frost mass formed in a design component of a multi-component structure

Номер патента: US10242133B2. Автор: Sunil Kumar,Madhusudhana Reddy. Владелец: Airbus Group India Pvt Ltd. Дата публикации: 2019-03-26.

Estimating frost mass formed in a design component of a multi-component structure

Номер патента: US10275549B2. Автор: Sunil Kumar,Madhusudhana Reddy. Владелец: Airbus Group India Pvt Ltd. Дата публикации: 2019-04-30.

A sports field having a top layer in which pumice is incorporated

Номер патента: WO2001000931A1. Автор: Marius Cornelis De Baat. Владелец: Maba B.V.. Дата публикации: 2001-01-04.

Device and method for reading out information stored in a storage layer

Номер патента: US20040004198A1. Автор: Werner Stahl. Владелец: Agfa Gevaert AG. Дата публикации: 2004-01-08.

Device and method for reading out information stored in a storage layer

Номер патента: US7342243B2. Автор: Werner Stahl. Владелец: Agfa Gevaert Healthcare GmbH. Дата публикации: 2008-03-11.

Method and System for Measuring Spacing in a Perpendicular Magnetic Recording

Номер патента: US20090027805A1. Автор: Kazuhiro Saito. Владелец: Iomega Corp. Дата публикации: 2009-01-29.

System and Method for Geographic Data Layer Management in a Geographic Information System

Номер патента: US20170123609A1. Автор: David Kornmann,Julian Charles Mercay. Владелец: Google LLC. Дата публикации: 2017-05-04.

Device for reducing energy losses in a machinery unit

Номер патента: EP1556633A1. Автор: Per-Ola Vallebrant. Владелец: PARKER HANNIFIN AB. Дата публикации: 2005-07-27.

Device for reducing energy losses in a machinery unit

Номер патента: EP1556633B1. Автор: Per-Ola Vallebrant. Владелец: PARKER HANNIFIN AB. Дата публикации: 2009-06-03.

Integrating a memory layer in a neural network for one-shot learning

Номер патента: US12073328B2. Автор: Eli Ehrman. Владелец: GSI Technology Inc. Дата публикации: 2024-08-27.

Method for detecting non-problem domain data in a machine learning model

Номер патента: US20240249184A1. Автор: Jan Hoogerbrugge,Wilhelmus Petrus Adrianus Johannus Michiels. Владелец: NXP BV. Дата публикации: 2024-07-25.

Trim support for a solid-state drive in a virtualized environment

Номер патента: US09983992B2. Автор: Deng Liu,Thomas A. Phelan. Владелец: VMware LLC. Дата публикации: 2018-05-29.

Method and system for flotation separation in a magnetically controllable and steerable medium

Номер патента: US09932525B2. Автор: Alan D. Kersey. Владелец: Cidra Corporated Services LLC. Дата публикации: 2018-04-03.

Method of facilitating visual detection of a crack in a component of a gas turbine engine

Номер патента: US09804058B2. Автор: Kin-Leung Cheung. Владелец: Pratt and Whitney Canada Corp. Дата публикации: 2017-10-31.

Method and system for managing background operations in a multi-layer memory

Номер патента: US09734050B2. Автор: Barry Wright,Nicholas James Thomas,Alan Welsh Sinclair. Владелец: SanDisk Technologies LLC. Дата публикации: 2017-08-15.

System and method for adaptive memory layers in a memory device

Номер патента: US09632705B2. Автор: Alan Welsh Sinclair. Владелец: SanDisk Technologies LLC. Дата публикации: 2017-04-25.

System and method for geographic data layer management in a geographic information system

Номер патента: US09606709B2. Автор: Julien Charles Mercay,David Kommann. Владелец: Google LLC. Дата публикации: 2017-03-28.

Management of geographic data layers in a geographic information system

Номер патента: US09483497B1. Автор: David Kornmann,Julien Charles Mercay. Владелец: Google LLC. Дата публикации: 2016-11-01.

Multi-layer non-volatile memory system having multiple partitions in a layer

Номер патента: US09465731B2. Автор: Barry Wright,Nicholas James Thomas,Alan Welsh Sinclair. Владелец: SanDisk Technologies LLC. Дата публикации: 2016-10-11.

Semiconductor Structures with Rare-earths

Номер патента: US20120001171A1. Автор: Atanackovic Petar B.. Владелец: TRANSLUCENT INC.. Дата публикации: 2012-01-05.

Method for Determining an Analyte in a Sample

Номер патента: US20120002207A1. Автор: Lagae Liesbet,De Vlaminck Iwijn,Van Dorpe Pol. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR STRUCTURE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120003815A1. Автор: Lee Sang-yun. Владелец: BESANG INC.. Дата публикации: 2012-01-05.

Multi-gate semiconductor devices

Номер патента: US20120001230A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Semiconductor structure with etch stop layer and method for making the same

Номер патента: WO2024102501A1. Автор: Peiching Ling,Liang-Gi Yao,Nanray Wu. Владелец: Peiching Ling. Дата публикации: 2024-05-16.

ARRANGEMENT FOR SENSING WEIGHT OF AN OCCUPYING ITEM IN A VEHICULAR SEAT

Номер патента: US20120001463A1. Автор: Breed David S.,Johnson Wendell C.,DuVall Wilbur E.. Владелец: . Дата публикации: 2012-01-05.

METHODS, APPARATUSES AND COMPUTER PROGRAM PRODUCTS FOR AUTOMATICALLY GENERATING SUGGESTED INFORMATION LAYERS IN AUGMENTED REALITY

Номер патента: US20120001939A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR TRANSMITTING A REFERENCE SIGNAL IN A WIRELESS COMMUNICATION SYSTEM

Номер патента: US20120002740A1. Автор: Chung Jae Hoon,Kwon Yeong Hyeon,Han Seung Hee. Владелец: . Дата публикации: 2012-01-05.

DEVICE AND METHOD FOR SEPARATING SURFACE LAYERS IN PRODUCTS OF THE FOOD INDUSTRY

Номер патента: US20120000331A9. Автор: Grabau Thomas. Владелец: NORDISCHER MASCHINENBAU RUD. BAADER GMBH + CO. KG. Дата публикации: 2012-01-05.