• Главная
  • Determining critical timing paths in a superconducting circuit design

Determining critical timing paths in a superconducting circuit design

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Superconducting circuit and quantum computer

Номер патента: US20220318660A1. Автор: Tsuyoshi Yamamoto,Masahiro Hasegawa,Yoshihito Hashimoto. Владелец: NEC Corp. Дата публикации: 2022-10-06.

Calibration of iswap gates on a superconducting quantum processing unit

Номер патента: EP4416653A1. Автор: Shobhan KULSHRESHTHA,Eyob SETE. Владелец: Rigetti and Co LLC. Дата публикации: 2024-08-21.

Calibration of iSWAP Gate on a Superconducting Quantum Processing Unit

Номер патента: US20240311671A1. Автор: Eyob A. Sete,Shobhan KULSHRESHTHA. Владелец: Rigetti and Co LLC. Дата публикации: 2024-09-19.

Systems and methods for applying flux to a quantum-coherent superconducting circuit

Номер патента: US09787312B2. Автор: Quentin P. Herr,Anna Y. Herr,Ofer Naaman. Владелец: Northrop Grumman Systems Corp. Дата публикации: 2017-10-10.

Operating a superconducting channel by electron injection

Номер патента: CA3168081A1. Автор: Markus Ritter,Heike Riel,Fabrizio Nichele,Andreas Fuhrer Janett. Владелец: Individual. Дата публикации: 2021-09-30.

Operating a superconducting channel by electron injection

Номер патента: EP4128373A1. Автор: Markus Ritter,Heike Riel,Fabrizio Nichele,Andreas Fuhrer Janett. Владелец: International Business Machines Corp. Дата публикации: 2023-02-08.

Integrated circuit design utilizing array of functionally interchangeable dynamic logic cells

Номер патента: US20060259887A1. Автор: Christophe Tretz. Владелец: International Business Machines Corp. Дата публикации: 2006-11-16.

Superconducting Circuit Multi-Chip Synchronization System

Номер патента: US20240297651A1. Автор: Jeffrey S. Hall,Jonathan D. Egan,Joseph A. Payne. Владелец: Northrop Grumman Systems Corp. Дата публикации: 2024-09-05.

Systems and methods for addressing devices in a superconducting circuit

Номер патента: US11839164B2. Автор: Loren J. Swenson,George E. G. Sterling,Christopher B. Rich. Владелец: D Wave Systems Inc. Дата публикации: 2023-12-05.

Detecting and correcting an error in a digital circuit

Номер патента: US20160116937A1. Автор: Xin Liu,Jun Zhou. Владелец: Agency for Science Technology and Research Singapore. Дата публикации: 2016-04-28.

Detecting and correcting an error in a digital circuit

Номер патента: US09746877B2. Автор: Xin Liu,Jun Zhou. Владелец: Agency for Science Technology and Research Singapore. Дата публикации: 2017-08-29.

Logic circuit design method, logic design program, and semiconductor integrated circuit

Номер патента: US8566763B2. Автор: Yasuhiro Yadoguchi,Hiroharu Shimizu. Владелец: Renesas Electronics Corp. Дата публикации: 2013-10-22.

Logic circuit design method, logic design program, and semiconductor integrated circuit

Номер патента: US20120274354A1. Автор: Yasuhiro Yadoguchi,Hiroharu Shimizu. Владелец: Renesas Electronics Corp. Дата публикации: 2012-11-01.

Superconducting circuit device and applications of same

Номер патента: US20180294815A1. Автор: Michael C. Hamilton,Uday S. Goteti. Владелец: AUBURN UNIVERSITY. Дата публикации: 2018-10-11.

Integrated circuit of superconducting circuit blocks and method of designing the same

Номер патента: US6703857B2. Автор: Shinichi Yorozu,Yoshio Kameda. Владелец: NEC Corp. Дата публикации: 2004-03-09.

Integrated circuit of superconducting circuit blocks and method of designing the same

Номер патента: US20030034794A1. Автор: Shinichi Yorozu,Yoshio Kameda. Владелец: NEC Corp. Дата публикации: 2003-02-20.

Superconducting circuits and methods for latching data

Номер патента: EP3891888A1. Автор: Alexander L. Braun. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2021-10-13.

A superconducting qubit and resonator system based on the josephson ring modulator

Номер патента: WO2021105100A1. Автор: Baleegh ABDO. Владелец: IBM Deutschland GmbH. Дата публикации: 2021-06-03.

A superconducting qubit and resonator system based on the josephson ring modulator

Номер патента: EP4022767A1. Автор: Baleegh ABDO. Владелец: International Business Machines Corp. Дата публикации: 2022-07-06.

Applications of a superconducting device that mixes surface acoustic waves and microwave signals

Номер патента: US20200036332A1. Автор: Baleegh ABDO. Владелец: International Business Machines Corp. Дата публикации: 2020-01-30.

Applications of a superconducting device that mixes surface acoustic waves and microwave signals

Номер патента: EP3830953A1. Автор: Baleegh ABDO. Владелец: International Business Machines Corp. Дата публикации: 2021-06-09.

Integrated circuit for preventing chip swapping and/or device cloning in a host device

Номер патента: US8650633B2. Автор: Love Kothari,Paul Chou. Владелец: Broadcom Corp. Дата публикации: 2014-02-11.

Resource sharing in a telecommunications environment

Номер патента: US09898220B2. Автор: Marcos C. Tzannes,Michael Lund. Владелец: TQ Delta LLC. Дата публикации: 2018-02-20.

Integrated circuit for preventing chip swapping and/or device cloning in a host device

Номер патента: US20130047272A1. Автор: Love Kothari,Paul Chou. Владелец: Broadcom Corp. Дата публикации: 2013-02-21.

Fir filter circuit design method using approximate computing

Номер патента: US20180226953A1. Автор: Seokhyeong Kang,Yesung KANG. Владелец: UNIST Academy Industry Research Corp. Дата публикации: 2018-08-09.

Device of the ternary circuit design on current mirrors

Номер патента: RU2648565C1. Автор: Сергей Петрович Маслов. Владелец: Сергей Петрович Маслов. Дата публикации: 2018-03-26.

Method for simulating a circuit layout to implement analog signal processing in a delta sigma domain

Номер патента: EP1879295A3. Автор: John Houldsworth. Владелец: Powerprecise Solutions Inc. Дата публикации: 2008-01-23.

Dipole element for superconducting circuits

Номер патента: US20210234086A1. Автор: Raphaël Lescanne,Zaki Leghtas. Владелец: Individual. Дата публикации: 2021-07-29.

Improvements in and relating to superconductive circuits

Номер патента: GB926443A. Автор: . Владелец: International Business Machines Corp. Дата публикации: 1963-05-15.

Method for detecting closure of a superconducting switch

Номер патента: GB2592264A. Автор: Chorley Simon,Bampton Adrian. Владелец: Siemens Healthcare Ltd. Дата публикации: 2021-08-25.

Prediction of dynamic current waveform and spectrum in a semiconductor device

Номер патента: US20120198410A1. Автор: Peter Boyle,Iliya G. Zamek. Владелец: Individual. Дата публикации: 2012-08-02.

Prediction of dynamic current waveform and spectrum in a semiconductor device

Номер патента: US9038007B2. Автор: Peter Boyle,Iliya G. Zamek. Владелец: Altera Corp. Дата публикации: 2015-05-19.

Superconducting circuit means

Номер патента: US3761798A. Автор: A Silver,J Zimmerman. Владелец: Ford Motor Co. Дата публикации: 1973-09-25.

Circuit design methodology to reduce leakage power

Номер патента: US20090115504A1. Автор: Dieter Wendel,Friedrich Schroeder,Tobias Gemmeke,Stefan Bonsels. Владелец: International Business Machines Corp. Дата публикации: 2009-05-07.

System and method for electronic automated printed circuit design

Номер патента: US20190012424A1. Автор: Jonathan D. Brookshire. Владелец: Brookshire Software LLC. Дата публикации: 2019-01-10.

Quantum-attack resistant operating system for use in a key management mechanism

Номер патента: US20210312047A1. Автор: Chao-Huang CHEN. Владелец: AHP Tech Inc. Дата публикации: 2021-10-07.

Method for ai-based circuit design and implementation system thereof

Номер патента: US20230316049A1. Автор: ZHEN Li. Владелец: Batelab Co Ltd. Дата публикации: 2023-10-05.

Method for AI-based circuit design and implementation system thereof

Номер патента: US11836602B2. Автор: ZHEN Li. Владелец: Batelab Co Ltd. Дата публикации: 2023-12-05.

Superconducting circuit including superconducting qubits

Номер патента: US11825752B2. Автор: Hao Deng. Владелец: Alibaba Group Holding Ltd. Дата публикации: 2023-11-21.

Superconducting circuit including superconducting qubits

Номер патента: US11489101B2. Автор: Hao Deng. Владелец: Alibaba Group Holding Ltd. Дата публикации: 2022-11-01.

Superconducting circuit including superconducting qubits

Номер патента: US20230031455A1. Автор: Hao Deng. Владелец: Alibaba Group Holding Ltd. Дата публикации: 2023-02-02.

Superconducting circuit including superconducting qubits

Номер патента: EP3981024A2. Автор: Hao Deng. Владелец: Alibaba Group Holding Ltd. Дата публикации: 2022-04-13.

Superconducting circuit including superconducting qubits

Номер патента: US20200388745A1. Автор: Hao Deng. Владелец: Alibaba Group Holding Ltd. Дата публикации: 2020-12-10.

Superconducting circuit including superconducting qubits

Номер патента: WO2020256883A2. Автор: Hao Deng. Владелец: ALIBABA GROUP HOLDING LIMITED. Дата публикации: 2020-12-24.

Superconducting circuit including superconducting qubits

Номер патента: US20240090347A1. Автор: Hao Deng. Владелец: Alibaba Group Holding Ltd. Дата публикации: 2024-03-14.

Inductor tuning in superconducting circuits

Номер патента: US20240282495A1. Автор: Jason Lee,Kenneth Reneris,Matus Lipka,Jamie Kuesel,Paige FREDERICK. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2024-08-22.

Inductor tuning in superconducting circuits

Номер патента: EP4327231A1. Автор: Jason Lee,Kenneth Reneris,Matus Lipka,Jamie Kuesel,Paige FREDERICK. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2024-02-28.

Methods of design rule checking of circuit designs

Номер патента: US09798852B2. Автор: Lei Yuan,Harry J. Levinson,Jongwook Kye. Владелец: Globalfoundries Inc. Дата публикации: 2017-10-24.

Superconductive circuit splitter placement

Номер патента: EP4330847A1. Автор: Kenneth Reneris,Paul Accisano. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2024-03-06.

Superconductive circuit splitter placement

Номер патента: US20220343049A1. Автор: Kenneth Reneris,Paul Accisano. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2022-10-27.

Electronic device including a superconducting electronic circuit

Номер патента: US20240147871A1. Автор: Florian Brandl,Jochen BRAUMÜLLER. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2024-05-02.

Superconductive circuit splitter placement

Номер патента: WO2022231773A1. Автор: Kenneth Reneris,Paul Accisano. Владелец: Microsoft Technology Licensing, LLC. Дата публикации: 2022-11-03.

Electronic device including a superconducting electronic circuit

Номер патента: EP4362651A1. Автор: Florian Brandl,Jochen Braumueller. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2024-05-01.

Calibration of iswap gates on a superconducting quantum processing unit

Номер патента: AU2022366787A1. Автор: Shobhan KULSHRESHTHA,Eyob SETE. Владелец: Rigetti and Co LLC. Дата публикации: 2024-05-09.

Managing coupling in a quantum computing system

Номер патента: US20240289669A1. Автор: Bharath KANNAN,Youngkyu Sung,Leon Chen Ding. Владелец: Atlantic Quantum Corp. Дата публикации: 2024-08-29.

Integrating circuit elements in a stacked quantum computing device

Номер патента: US11937520B2. Автор: Joshua Yousouf MUTUS,Julian Shaw Kelly. Владелец: Google LLC. Дата публикации: 2024-03-19.

Integrating circuit elements in a stacked quantum computing device

Номер патента: US12033029B2. Автор: Joshua Yousouf MUTUS,Julian Shaw Kelly. Владелец: Google LLC. Дата публикации: 2024-07-09.

Distribution of power vias in a multi-layer circuit board

Номер патента: US09594865B2. Автор: Matteo Cocchini,Tingdong Zhou,Zhaoqing Chen,Rohan U. Mandrekar. Владелец: International Business Machines Corp. Дата публикации: 2017-03-14.

Programmable word length and self-testing memory in a gate array with bidirectional symmetry

Номер патента: CA1242276A. Автор: Joseph L. Angleton,Jeffery L. Gutgsell. Владелец: Hughes Aircraft Co. Дата публикации: 1988-09-20.

Version tracking and control for integrated circuit design

Номер патента: US11720728B1. Автор: Joseph Cascioli. Владелец: Architecture Technology Corp. Дата публикации: 2023-08-08.

side channel leakage source identification in an electronic circuit design

Номер патента: NL2025907B1. Автор: Yao Yuan,Robert Schaumont Patrick,Kathuria Tarun,Ege Baris. Владелец: Riscure Beheer B V. Дата публикации: 2022-02-22.

Circuit design watermarking

Номер патента: US20230090521A1. Автор: Carl Radens,Hsueh-Chung Chen,Lawrence A. Clevenger,Daniel James Dechene. Владелец: International Business Machines Corp. Дата публикации: 2023-03-23.

Circuit design watermarking

Номер патента: US11977614B2. Автор: Carl Radens,Hsueh-Chung Chen,Lawrence A. Clevenger,Daniel James Dechene. Владелец: International Business Machines Corp. Дата публикации: 2024-05-07.

Carbon nanotube circuits design methodology

Номер патента: WO2008127773A3. Автор: Islamshah S Amlani,King F Lee. Владелец: King F Lee. Дата публикации: 2009-01-22.

Circuit design layout in multiple synchronous representations

Номер патента: US20160171143A1. Автор: Edwin Smith,Henry Potts,Gerald Suiter. Владелец: Mentor Graphics Corp. Дата публикации: 2016-06-16.

Superconducting circuit

Номер патента: US11764780B2. Автор: Yuichi Igarashi,Aiko Yamaguchi. Владелец: NEC Corp. Дата публикации: 2023-09-19.

Superconducting circuit

Номер патента: US20230127101A1. Автор: Yuichi Igarashi,Aiko Yamaguchi. Владелец: NEC Corp. Дата публикации: 2023-04-27.

A superconducting switch

Номер патента: CA3155496A1. Автор: Rodney Alan Badcock,Christopher William Bumby,Jianzhao GENG. Владелец: GENG Jianzhao. Дата публикации: 2021-04-29.

A superconducting switch

Номер патента: AU2020371092A1. Автор: Rodney Alan Badcock,Christopher William Bumby,Jianzhao GENG. Владелец: Victoria Link Ltd. Дата публикации: 2022-05-19.

A superconducting switch

Номер патента: EP4035214A1. Автор: Rodney Alan Badcock,Christopher William Bumby,Jianzhao GENG. Владелец: Victoria Link Ltd. Дата публикации: 2022-08-03.

Methods and system for object path detection in a workplace

Номер патента: US12100164B2. Автор: Kelby GREEN,Dan Ryan. Владелец: VergeSense Inc. Дата публикации: 2024-09-24.

Method and system for reducing hazards in a flip-flop

Номер патента: US20030062940A1. Автор: Vojin Oklobdzija,William Walker,Nikola Nedovic. Владелец: Fujitsu Ltd. Дата публикации: 2003-04-03.

Method for Improving Performance of a Superconducting, Flux-Quantizing Analog to Digital Converter

Номер патента: US20240063806A1. Автор: Brian A. Higa. Владелец: US Department of Navy. Дата публикации: 2024-02-22.

Systems and Methods for Minimizing Delay in a Control Path

Номер патента: US20070176815A1. Автор: Michael A. Kost. Владелец: D2Audio LLC. Дата публикации: 2007-08-02.

Method for improving performance of a superconducting, flux-quantizing analog to digital converter

Номер патента: US11979168B2. Автор: Brian A. Higa. Владелец: US Department of Navy. Дата публикации: 2024-05-07.

Method for generation of parity symbols and circuit design for carrying out the process.

Номер патента: MY105927A. Автор: Schermmann Heinrich. Владелец: Thomson Brandt Gmbh. Дата публикации: 1995-02-28.

Method and apparatus for storing survivor paths in a Viterbi detector using input-dependent pointer exchange

Номер патента: US20070076825A1. Автор: Nils Graef. Владелец: Agere Systems LLC. Дата публикации: 2007-04-05.

Method and apparatus for storing survivor paths in a Viterbi detector using systematic pointer exchange

Номер патента: US20070076824A1. Автор: Nils Graef. Владелец: Agere Systems LLC. Дата публикации: 2007-04-05.

Prevention of switching discontinuity in a hybrid switched mode amplifier

Номер патента: US09929664B2. Автор: John L. Melanson,Eric J. King,Siddharth Maru,Zhaohui He. Владелец: Cirrus Logic Inc. Дата публикации: 2018-03-27.

Methods and apparatus for facilitating physical synthesis of an integrated circuit design

Номер патента: WO2004017367A3. Автор: Qing Wu,Andrew Lines,Peter Beerel. Владелец: Fulcrum Microsystems Inc. Дата публикации: 2004-05-21.

Methods and apparatus for facilitating physical synthesis of an integrated circuit design

Номер патента: WO2004017367A2. Автор: Qing Wu,Andrew Lines,Peter Beerel. Владелец: FULCRUM MICROSYSTEMS, INC.. Дата публикации: 2004-02-26.

Heuristic clustering of circuit elements in a circuit design

Номер патента: WO2007090715A1. Автор: Glen Howard Handlogten,Mark Fredrickson,Chad McBride. Владелец: Ibm United Kingdom Limited. Дата публикации: 2007-08-16.

Method for measuring assertion density in a system of verifying integrated circuit design

Номер патента: US20140250414A1. Автор: Yuan Lu,Yong Liu,Nitin Mhaske. Владелец: Atrenta Inc. Дата публикации: 2014-09-04.

Electrically superconductive circuit elements

Номер патента: GB861280A. Автор: . Владелец: International Business Machines Corp. Дата публикации: 1961-02-15.

A method and passageway for a superconducting cable

Номер патента: WO2022112740A1. Автор: Rob SHARRATT. Владелец: United Kingdom Atomic Energy Authority. Дата публикации: 2022-06-02.

Systems and methods for testing and packaging a superconducting chip

Номер патента: US09865648B2. Автор: Paul I. Bunyk. Владелец: D Wave Systems Inc. Дата публикации: 2018-01-09.

Method for energizing a superconducting magnet arrangement

Номер патента: US09715958B2. Автор: Robert Schauwecker,Patrik Vonlanthen. Владелец: Bruker Biospin SAS. Дата публикации: 2017-07-25.

Method and apparatus for shimming a superconducting magnet

Номер патента: GB2586493A. Автор: John Disney Mallet Michael. Владелец: Siemens Healthcare Ltd. Дата публикации: 2021-02-24.

Autonomous cooling of a superconductive dry-cooled MR magnetic coil system

Номер патента: US12055608B2. Автор: Marco Strobel. Владелец: Bruker Biospin & Co Kg GmbH. Дата публикации: 2024-08-06.

Energizing and discharging a superconducting magnet of an mri system

Номер патента: US20210405139A1. Автор: Cornelis Leonardus Gerardus Ham. Владелец: Koninklijke Philips NV. Дата публикации: 2021-12-30.

Magnetic resonance apparatus comprising a superconducting magnet

Номер патента: US5426366A. Автор: Johannes A. Overweg,Gerardus B. J. Mulder. Владелец: US Philips Corp. Дата публикации: 1995-06-20.

System for Controlling a Superconducting Coil with a Magnetic Persistent Current Switch

Номер патента: US20240290525A1. Автор: Peter Forthmann,Philippe Abel Menteur. Владелец: Koninklijke Philips NV. Дата публикации: 2024-08-29.

Method and apparatus for shutting down a superconducting magnet of a magnetic resonance device

Номер патента: US20180172787A1. Автор: Stephan Biber,Nicholas Aley. Владелец: Siemens Healthcare GmbH. Дата публикации: 2018-06-21.

Magnetic Resonance Apparatus and Method for Operating a Cooling Apparatus in a Magnetic Resonance Apparatus

Номер патента: US20240280656A1. Автор: Stephan Biber. Владелец: Siemens Healthineers AG. Дата публикации: 2024-08-22.

Remotely driven cryocooler for a superconducting generator

Номер патента: US12066233B2. Автор: Ernst Wolfgang Stautner. Владелец: General Electric Renovables Espana SL. Дата публикации: 2024-08-20.

Displacers to reduce the volume of liquid helium in the cryogen vessel of a superconducting magnet

Номер патента: GB2505207A. Автор: Adam Paul Johnstone. Владелец: Siemens PLC. Дата публикации: 2014-02-26.

Circuit for monitoring a superconducting magnet winding

Номер патента: US4879622A. Автор: Lutz Intichar. Владелец: SIEMENS AG. Дата публикации: 1989-11-07.

System for controlling a superconducting coil with a magnetic persistent current switch

Номер патента: EP4352763A1. Автор: Peter Forthmann,Philippe Abel Menteur. Владелец: Koninklijke Philips NV. Дата публикации: 2024-04-17.

Support member for a superconducting magnet assembly

Номер патента: US20040108925A1. Автор: David Reeves. Владелец: GE Medical Systems Global Technology Co LLC. Дата публикации: 2004-06-10.

Detachable leads for a superconducting magnet

Номер патента: US3839689A. Автор: P Hanley,Kinnon J Mc,R Wheatley,M Biltcliffe. Владелец: Individual. Дата публикации: 1974-10-01.

Signal path for a small signal occurring in a magnetic resonance system

Номер патента: US9118101B2. Автор: Stephan Biber. Владелец: SIEMENS AG. Дата публикации: 2015-08-25.

System for monitoring a quench tube of a superconducting magnet in an MRT apparatus

Номер патента: US7408350B2. Автор: Gerhard Bittner. Владелец: SIEMENS AG. Дата публикации: 2008-08-05.

System for monitoring a quench tube of a superconducting magnet in an MRT apparatus

Номер патента: US20070126424A1. Автор: Gerhard Bittner. Владелец: SIEMENS AG. Дата публикации: 2007-06-07.

Flexible circuit design for monitoring physical bodies

Номер патента: EP3942602A1. Автор: Phillip Bogdanovich,Craig Weller,Shaka Joaquin Doyle Bahadu. Владелец: Cipher Skin. Дата публикации: 2022-01-26.

Flexible circuit design for monitoring physical bodies

Номер патента: US11408784B2. Автор: Phillip Bogdanovich,Craig Weller,Shaka Joaquin Doyle Bahadu. Владелец: Cipher Skin. Дата публикации: 2022-08-09.

I/O circuit design for SRAM-based PUF generators

Номер патента: US11949799B2. Автор: Cheng Hung Lee,Shih-Lien Linus Lu,Chia-En HUANG,Jui-che Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-02.

Method and arrangement for reading out the states of qubits in a quantum computing system

Номер патента: US20240289672A1. Автор: Pasi Lähteenmäki. Владелец: IQM Finland Oy. Дата публикации: 2024-08-29.

Quantum circuit design program, quantum circuit design method, and quantum circuit design device

Номер патента: EP4459513A1. Автор: Masatoshi Ishii. Владелец: Fujitsu Ltd. Дата публикации: 2024-11-06.

Converting signals between regimes in a quantum computing system

Номер патента: US11983602B1. Автор: Mark Field,Rodney Franklyn Sinclair. Владелец: Rigetti and Co LLC. Дата публикации: 2024-05-14.

Electrical circuit design

Номер патента: EP4066150A1. Автор: Alexandre LATERRE,Yunguan FU,Nabil CHOUBA. Владелец: Instadeep Ltd. Дата публикации: 2022-10-05.

Automated analog and mixed-signal circuit design and validation

Номер патента: US11727175B2. Автор: ASHISH Khandelwal,Nikhil Gupta,Sreenivasan K. Koduri,Timothy W. FISCHER. Владелец: Texas Instruments Inc. Дата публикации: 2023-08-15.

Machine-learning driven prediction in integrated circuit design

Номер патента: WO2021050434A1. Автор: Siddhartha Nath,Vishal Khandelwal,Ravi Mamidi,Sudipto Kundu. Владелец: Synopsys, Inc.. Дата публикации: 2021-03-18.

Machine-learning driven prediction in integrated circuit design

Номер патента: US20210073456A1. Автор: Siddhartha Nath,Vishal Khandelwal,Ravi Mamidi,Sudipto Kundu. Владелец: Synopsys Inc. Дата публикации: 2021-03-11.

Superconducting circuit physical layout system and method

Номер патента: WO2016018430A1. Автор: Quentin P. Herr,Anna Y. Herr. Владелец: Northrop Grumman Systems Corporation. Дата публикации: 2016-02-04.

Predicting local layout effects in circuit design patterns

Номер патента: US20200380088A1. Автор: ZHENG Xu,Dongbing Shao,Jing Sha,Yufei Wu. Владелец: International Business Machines Corp. Дата публикации: 2020-12-03.

Application-or algorithm-specific quantum circuit design

Номер патента: EP3853782A1. Автор: Markus BRINK,Dongbing Shao,Martin Sandberg. Владелец: International Business Machines Corp. Дата публикации: 2021-07-28.

Application-or algorithm-specific quantum circuit design

Номер патента: WO2020057927A1. Автор: Markus BRINK,Dongbing Shao,Martin Sandberg. Владелец: Ibm (China) Investment Company Limited. Дата публикации: 2020-03-26.

Machine learning-based prediction of metrics at early-stage circuit design

Номер патента: WO2021188429A1. Автор: Wei-Ting Chan,Siddhartha Nath,Vishal Khandelwal,Ravi Mamidi. Владелец: Synopsys, Inc.. Дата публикации: 2021-09-23.

Automated analog and mixed-signal circuit design and validation

Номер патента: US11797732B2. Автор: ASHISH Khandelwal,Nikhil Gupta,Sreenivasan K. Koduri,Timothy W. FISCHER. Владелец: Texas Instruments Inc. Дата публикации: 2023-10-24.

Machine learning-based prediction of metrics at early-stage circuit design

Номер патента: US11836641B2. Автор: Wei-Ting Chan,Siddhartha Nath,Vishal Khandelwal,Ravi Mamidi. Владелец: Synopsys Inc. Дата публикации: 2023-12-05.

Electrical circuit design

Номер патента: US12019968B2. Автор: Alexandre LATERRE,Yunguan FU,Nabil CHOUBA. Владелец: Instadeep Ltd. Дата публикации: 2024-06-25.

Integrated circuit design using fuzzy machine learning

Номер патента: US11748552B2. Автор: Chao TONG,Qingwen Deng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-05.

Integrated circuit design using fuzzy machine learning

Номер патента: US12050853B2. Автор: Chao TONG,Qingwen Deng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-30.

Integrated circuit design using fuzzy machine learning

Номер патента: US20230359806A1. Автор: Chao TONG,Qingwen Deng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-09.

Integrated circuit design using fuzzy machine learning

Номер патента: US20220350951A1. Автор: Chao TONG,Qingwen Deng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-03.

Cycle cutting with timing path analysis

Номер патента: WO2012078343A1. Автор: Kenneth S. Stevens,Vikas Vij. Владелец: THE UNIVERSITY OF UTAH RESEARCH FOUNDATION. Дата публикации: 2012-06-14.

Variation aware adjustments to superconducting electronic circuit designs

Номер патента: US20240289524A1. Автор: Aaron John Barker. Владелец: Synopsys Inc. Дата публикации: 2024-08-29.

Statistical timing characterization of superconducting electronic circuit designs

Номер патента: US20240281582A1. Автор: Aaron John Barker. Владелец: Synopsys Inc. Дата публикации: 2024-08-22.

Endpoint path margin based integrated circuit design using sub-critical timing paths

Номер патента: US11797739B2. Автор: Deyuan Guo,Kailash PAWAR. Владелец: Synopsys Inc. Дата публикации: 2023-10-24.

Method to solve similar timing paths

Номер патента: US7284215B1. Автор: Peter F. Lai,Von-Kyoung Kim,Dakshesh Amin,Sriram Satakopan. Владелец: Sun Microsystems Inc. Дата публикации: 2007-10-16.

Cycle cutting with timing path analysis

Номер патента: US20130097567A1. Автор: Kenneth S. Stevens,Vikas Vij. Владелец: Individual. Дата публикации: 2013-04-18.

Quantum control by modulating tunable devices in a superconducting circuit

Номер патента: WO2021102321A2. Автор: Nicolas Didier. Владелец: RIGETTI & CO., INC.. Дата публикации: 2021-05-27.

Post-placement and pre-routing processing of critical paths in a circuit design

Номер патента: US09773083B1. Автор: Zhiyong Wang,Sabyasachi Das. Владелец: Xilinx Inc. Дата публикации: 2017-09-26.

Photonic circuit design systems

Номер патента: EP3268782A1. Автор: Jason Pelc,Thomas VAN VAERENBERGH. Владелец: HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP. Дата публикации: 2018-01-17.

Applying Two-qubit Quantum Logic Gates in a Superconducting Quantum Processing Unit

Номер патента: US20230409942A1. Автор: Nicolas Didier,Eyob A. Sete,Stefano Poletto. Владелец: Rigetti and Co LLC. Дата публикации: 2023-12-21.

Applying two-qubit quantum logic gates in a superconducting quantum processing unit

Номер патента: EP4268148A1. Автор: Nicolas Didier,Stefano Poletto,Eyob SETE. Владелец: Rigetti and Co LLC. Дата публикации: 2023-11-01.

Method and system for characterizing integrated circuit design in target semiconductor manufacturing process

Номер патента: WO2014053191A1. Автор: Petr Dobrovolny,Phillip Christie. Владелец: IMEC. Дата публикации: 2014-04-10.

Method and system for analyzing a VLSI circuit design

Номер патента: US20020112214A1. Автор: S Keller,Gregory Rogers,Charles Lelm. Владелец: Hewlett Packard Co. Дата публикации: 2002-08-15.

Device, method, and computer-readable medium for formal verification of a circuit design

Номер патента: US20240220703A1. Автор: George Constantinides,Theo Drane,Samuel COWARD. Владелец: Individual. Дата публикации: 2024-07-04.

Incremental register retiming of an integrated circuit design

Номер патента: US09996652B2. Автор: Gordon Raymond Chiu,Nishanth Sinnadurai. Владелец: Altera Corp. Дата публикации: 2018-06-12.

Method and apparatus for placement and routing of circuit designs

Номер патента: US09864830B1. Автор: Pradip K. Jha,Steven Banks,Nicholas A. Mezei,Atul Srinivasan. Владелец: Xilinx Inc. Дата публикации: 2018-01-09.

Integrated circuit design systems and methods

Номер патента: US09959380B2. Автор: Ji xU,Bharath Rangarajan,Vito Dai,Edward Kah Ching Teoh. Владелец: Motivo Inc. Дата публикации: 2018-05-01.

Clock gating verification during RTL stage of integrated circuit design

Номер патента: US09934342B1. Автор: Lei Ji,Song Huang,Yifeng Liu. Владелец: NXP USA Inc. Дата публикации: 2018-04-03.

Predictive circuit design for integrated circuits

Номер патента: US09881117B1. Автор: Nabeel Shirazi,Anindita Patra. Владелец: Xilinx Inc. Дата публикации: 2018-01-30.

Method and arrangement for reading out the states of qubits in a quantum computing system

Номер патента: CA3217184A1. Автор: Pasi Lähteenmäki. Владелец: IQM Finland Oy. Дата публикации: 2022-12-22.

Method and arrangement for reading out the states of qubits in a quantum computing system

Номер патента: EP4356308A1. Автор: Pasi Lähteenmäki. Владелец: IQM Finland Oy. Дата публикации: 2024-04-24.

Method and arrangement for reading out the states of qubits in a quantum computing system

Номер патента: WO2022263705A1. Автор: Pasi Lähteenmäki. Владелец: IQM Finland Oy. Дата публикации: 2022-12-22.

Quantum circuit design device, quantum circuit design program, and quantum circuit design method

Номер патента: EP4390777A1. Автор: Masatoshi Ishii. Владелец: Fujitsu Ltd. Дата публикации: 2024-06-26.

Connecting quantum processor chips in a modular quantum processing unit

Номер патента: EP4402616A2. Автор: Mark Field,Andrew Joseph Bestwick,Benjamin SCHARMANN. Владелец: Rigetti and Co LLC. Дата публикации: 2024-07-24.

Quantum processing system for a superconducting phase qubit

Номер патента: WO2002097725A3. Автор: Geordie Rose,Alexandre Zagoskin,Jeremy P Hilton,Mohammad H S Amin. Владелец: Dwave Sys Inc. Дата публикации: 2003-07-10.

Quantum processing system for a superconducting phase qubit

Номер патента: EP1395947A2. Автор: Geordie Rose,Alexandre Zagoskin,Mohammad H. S. Amin,Jeremy P. Hilton. Владелец: D Wave Systems Inc. Дата публикации: 2004-03-10.

Quantum processing system for a superconducting phase qubit

Номер патента: EP1669911A3. Автор: Geordie Rose,Alexandre Zagoskin,Mohammad H. S. Amin,Jeremy P. Hilton. Владелец: D Wave Systems Inc. Дата публикации: 2006-06-21.

Quantum processing system for a superconducting phase qubit

Номер патента: AU2002304920A1. Автор: Geordie Rose,Alexandre Zagoskin,Mohammad H. S. Amin,Jeremy P. Hilton. Владелец: D Wave Systems Inc. Дата публикации: 2002-12-09.

Quantum processing system for a superconducting phase qubit

Номер патента: EP1395947B1. Автор: Geordie Rose,Alexandre Zagoskin,Mohammad H. S. Amin,Jeremy P. Hilton. Владелец: D Wave Systems Inc. Дата публикации: 2006-07-05.

Connecting Quantum Processor Chips in a Modular Quantum Processing Unit

Номер патента: US20240295617A1. Автор: Mark Field,Andrew Joseph Bestwick,Benjamin Charles Scharmann. Владелец: Rigetti and Co LLC. Дата публикации: 2024-09-05.

Hierarchical fill in a design layout

Номер патента: US09940428B2. Автор: Fedor Pikus,Jimmy Jason Tomblin,William S. Graupp. Владелец: Mentor Graphics Corp. Дата публикации: 2018-04-10.

Integrated circuit design using dynamic voltage scaling

Номер патента: US20150095863A1. Автор: Michael Scott,Raed Moughabghab,Branislav Petrovic. Владелец: Entropic Communications LLC. Дата публикации: 2015-04-02.

Interactive loop configuration in a behavioral synthesis tool

Номер патента: US7496864B2. Автор: Peter Pius Gutberlet,David Gaines Burnette. Владелец: Individual. Дата публикации: 2009-02-24.

Circuit design device for conducting failure analysis facilitating design

Номер патента: US8365124B2. Автор: Junpei Nonaka. Владелец: Renesas Electronics Corp. Дата публикации: 2013-01-29.

Interactive loop configuration in a behavioral synthesis tool

Номер патента: US20090172634A1. Автор: Peter Pius Gutberlet,David Gaines Burnette. Владелец: Mentor Graphics Corp. Дата публикации: 2009-07-02.

Interactive loop configuration in a behavioral synthesis tool

Номер патента: US8146030B2. Автор: Peter Pius Gutberlet,David Gaines Burnette. Владелец: Mentor Graphics Corp. Дата публикации: 2012-03-27.

Identifying speed binning test vectors during simulation of an integrated circuit design

Номер патента: US20130061190A1. Автор: Fritz A. Boehm. Владелец: Apple Inc. Дата публикации: 2013-03-07.

Handling Engineering Change Orders for Integrated Circuits in a Design

Номер патента: US20230195992A1. Автор: Wilson Li,Roydan N. Ongie,Mackenzie Peterson. Владелец: Advanced Micro Devices Inc. Дата публикации: 2023-06-22.

Partitioning circuit designs for implementation within multi-die integrated circuits

Номер патента: US10108773B1. Автор: Xiao Dong,Xiaojian Yang,Grigor S. Gasparyan. Владелец: Xilinx Inc. Дата публикации: 2018-10-23.

Handling engineering change orders for integrated circuits in a design

Номер патента: US12124788B2. Автор: Wilson Li,Roydan N. Ongie,Mackenzie Peterson. Владелец: Advanced Micro Devices Inc. Дата публикации: 2024-10-22.

Folding duplicate instances of modules in a circuit design

Номер патента: US09875330B2. Автор: Henri Fraisse,Ashish Sirasao,Alireza S. Kaviani,Ilya K. Ganusov. Владелец: Xilinx Inc. Дата публикации: 2018-01-23.

Method and apparatus for concurrent emulation of multiple circuit designs on an emulation system

Номер патента: US20030055622A1. Автор: Frederic Reblewski. Владелец: Individual. Дата публикации: 2003-03-20.

Method and apparatus for concurrent emulation of multiple circuit designs on an emulation system

Номер патента: EP1135736A1. Автор: Frederic Reblewski. Владелец: Mentor Graphics Corp. Дата публикации: 2001-09-26.

Method and apparatus for concurrent emulation of multiple circuit designs on an emulation system

Номер патента: WO2001024065A1. Автор: Frederic Reblewski. Владелец: MENTOR GRAPHICS CORPORATION. Дата публикации: 2001-04-05.

Clock alias for timing analysis of an integrated circuit design

Номер патента: US20120204138A1. Автор: Craig M. Darsow,Michael D. Amundson. Владелец: International Business Machines Corp. Дата публикации: 2012-08-09.

Clock alias for timing analysis of an integrated circuit design

Номер патента: US8438514B2. Автор: Craig M. Darsow,Michael D. Amundson. Владелец: International Business Machines Corp. Дата публикации: 2013-05-07.

Third party component debugging for integrated circuit design

Номер патента: US20150149973A1. Автор: Krishnamurthy Suresh,Sanjay Gupta,Charles W. Selvidge. Владелец: Mentor Graphics Corp. Дата публикации: 2015-05-28.

Clock alias for timing analysis of an integrated circuit design

Номер патента: US20130074022A1. Автор: Craig M. Darsow,Michael D. Amundson. Владелец: International Business Machines Corp. Дата публикации: 2013-03-21.

Clock alias for timing analysis of an integrated circuit design

Номер патента: US20130074021A1. Автор: Craig M. Darsow,Michael D. Amundson. Владелец: International Business Machines Corp. Дата публикации: 2013-03-21.

Third Party Component Debugging For Integrated Circuit Design

Номер патента: US20130318484A1. Автор: Charles Selvidge. Владелец: Mentor Graphics Corp. Дата публикации: 2013-11-28.

Method for integrated circuit design using pin direction optimization

Номер патента: US20240249061A1. Автор: Seungju KIM,Wooshik MYUNG,Jiyoon LIM,Wonjun Yoo. Владелец: MakinaRocks Co Ltd. Дата публикации: 2024-07-25.

Integrated circuit design system and method

Номер патента: WO2018118078A1. Автор: Evan Jeffrey,Joshua Yousouf MUTUS,Julian Shaw Kelly. Владелец: GOOGLE INC.. Дата публикации: 2018-06-28.

Methods of balancing logic resource usage in a programmable logic device

Номер патента: US7788624B1. Автор: Yu Hu,Satyaki Das. Владелец: Xilinx Inc. Дата публикации: 2010-08-31.

Circuit design visibility in integrated circuit devices

Номер патента: US20240303406A1. Автор: Yi Peng,Brandon Lewis Gordon. Владелец: Intel Corp. Дата публикации: 2024-09-12.

Implementing integrated circuit designs using depopulation and repopulation operations

Номер патента: US09811621B2. Автор: Kimberly Anne Bozman,David Ian Milton,Nishanth Sinnadurai. Владелец: Altera Corp. Дата публикации: 2017-11-07.

Memory utilization in a circuit design

Номер патента: US09792395B1. Автор: Jayaram Pvss,Khang K. Dao,Robert Bellarmin Susai. Владелец: Xilinx Inc. Дата публикации: 2017-10-17.

Look-up table restructuring for timing closure in circuit designs

Номер патента: US09767247B1. Автор: Ruibing Lu,Sabyasachi Das. Владелец: Xilinx Inc. Дата публикации: 2017-09-19.

Event-driven tracing in static timing analysis of digital circuit designs

Номер патента: WO2024118329A1. Автор: Paul Gross,Clayton Mcdonald,Jacob Philip THOMAS,Norbert HEINDL. Владелец: Synopsys, Inc.. Дата публикации: 2024-06-06.

Analyzing timing requirements of a hierarchical integrated circuit design

Номер патента: US20140282320A1. Автор: Timothy D. Helvey. Владелец: International Business Machines Corp. Дата публикации: 2014-09-18.

Electrical circuit design

Номер патента: GB2589354A. Автор: CHOUBA Nabil,LATERRE Alexandre,FU Yunguan. Владелец: Instadeep Ltd. Дата публикации: 2021-06-02.

Circuit design data obfuscation

Номер патента: WO2024158426A1. Автор: Manish Sharma,Wu-Tung Cheng,Douglas GEHRINGER. Владелец: Siemens Industry Software Inc.. Дата публикации: 2024-08-02.

Circuit design implementations in secure partitions of an integrated circuit

Номер патента: US09946826B1. Автор: Herman Schmit,Ting Lu,Dana How,Sean Atsatt. Владелец: Altera Corp. Дата публикации: 2018-04-17.

UAV real-time path planning method for urban scene reconstruction

Номер патента: US11288884B2. Автор: Bin Zhou,Jinbo Wu,Qi KUANG,Qinpin ZHAO. Владелец: BEIHANG UNIVERSITY. Дата публикации: 2022-03-29.

Apparatus and method with circuit designing

Номер патента: US20240232498A1. Автор: Hyung-Dal Kwon,Youngmin OH,Bosun HWANG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-11.

Side channel leakage source identification in an electronic circuit design

Номер патента: US20230237229A1. Автор: Yao Yuan,Baris EGE,Tarun KATHURIA,Robert Patrick SCHAUMONT. Владелец: Riscure Beheer BV. Дата публикации: 2023-07-27.

Side channel leakage source identification in an electronic circuit design

Номер патента: WO2021255019A1. Автор: Yao Yuan,Baris EGE,Patrick Robert SCHAUMONT,Tarun KATHURIA. Владелец: Riscure Beheer B.V.. Дата публикации: 2021-12-23.

Method of compacting layouts of semiconductor integrated circuit designed in a hierarchy

Номер патента: US5663892A. Автор: Sachio Hayashi,Tyusei Ogawa. Владелец: Toshiba Corp. Дата публикации: 1997-09-02.

Flip-flop insertion in a circuit design

Номер патента: US20040153984A1. Автор: Nataraj Akkiraju. Владелец: Intel Corp. Дата публикации: 2004-08-05.

Routing nets over circuit blocks in a hierarchical circuit design

Номер патента: US20100325600A1. Автор: YI WU,Dajen Huang,Kalon S. Holdbrook. Владелец: Sun Microsystems Inc. Дата публикации: 2010-12-23.

Integrated circuit design method

Номер патента: US20170024507A1. Автор: Yuan-Hsiang Lung,Chuan-Fang Su,Kun-Zhi CHUNG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-26.

Integrated circuit design method

Номер патента: US09754064B2. Автор: Yuan-Hsiang Lung,Chuan-Fang Su,Kun-Zhi CHUNG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-05.

Timing driven routing in integrated circuit design

Номер патента: US20120284683A1. Автор: YING Zhou,ZHUO Li,Charles Jay Alpert,Stephen Thomas Quay. Владелец: International Business Machines Corp. Дата публикации: 2012-11-08.

Method and apparatus for automated circuit design

Номер патента: EP1634209A2. Автор: Kenneth S. McElvain,Champaka Ramachandran,Andrew Crews. Владелец: Synplicity LLC. Дата публикации: 2006-03-15.

Yield process for analog circuit design optimization

Номер патента: US20160232266A1. Автор: Christopher M. Yates,Sowmyan Rajagopalan. Владелец: Thalia Design Automation Ltd. Дата публикации: 2016-08-11.

Incremental register retiming of an integrated circuit design

Номер патента: US20180293343A1. Автор: Gordon Raymond Chiu,Nishanth Sinnadurai. Владелец: Altera Corp. Дата публикации: 2018-10-11.

Incremental register retiming of an integrated circuit design

Номер патента: EP3139291A3. Автор: Gordon Raymond Chiu,Nishanth Sinnadurai. Владелец: Altera Corp. Дата публикации: 2017-03-15.

Identification Of High Impedance Nodes In A Circuit Design

Номер патента: US20160210394A1. Автор: Pole Shang Lin,Kuei Shan Wen. Владелец: Mentor Graphics Corp. Дата публикации: 2016-07-21.

System for making circuit design changes

Номер патента: US12147748B2. Автор: Tao Lin,Tao Huang,Min Pan,Tobias Bjerregaard,Zuo Dai,Konstantinos TSIROGIANNIS,JaeHan JEON. Владелец: Synopsys Inc. Дата публикации: 2024-11-19.

Identification of high impedance nodes in a circuit design

Номер патента: US09703917B2. Автор: Pole Shang Lin,Kuei Shan Wen. Владелец: Mentor Graphics Corp. Дата публикации: 2017-07-11.

Alternative hierarchical views of a circuit design

Номер патента: US10248751B2. Автор: Glenn B. Graham,Ajay Guleria,Jeffrey J. Loescher. Владелец: Synopsys Inc. Дата публикации: 2019-04-02.

Application generator for use in verifying a hierarchical circuit design

Номер патента: US5497334A. Автор: Philip J. Russell,Glenwood S. Weinert. Владелец: International Business Machines Corp. Дата публикации: 1996-03-05.

Integrated circuit design systems and methods

Номер патента: US20170277818A1. Автор: Ji xU,Bharath Rangarajan,Vito Dai,Edward Kah Ching Teoh. Владелец: Motivo Inc. Дата публикации: 2017-09-28.

Method and architecture for integrated circuit design and manufacture

Номер патента: WO2005086035A1. Автор: Behnam Malekkhosravi,Daniel J. Woodard. Владелец: Rapid Bridge LLC. Дата публикации: 2005-09-15.

Visualizing sensitivity information in integrated circuit design

Номер патента: US20130158953A1. Автор: Sani Richard Nassif,Anne Elizabeth Gattiker. Владелец: International Business Machines Corp. Дата публикации: 2013-06-20.

Visualizing sensitivity information in integrated circuit design

Номер патента: US8914272B2. Автор: Sani Richard Nassif,Anne Elizabeth Gattiker. Владелец: International Business Machines Corp. Дата публикации: 2014-12-16.

Logic circuit design method and logic circuit designing apparatus

Номер патента: US20240046018A1. Автор: Hiroshi Ishiyama. Владелец: Renesas Electronics Corp. Дата публикации: 2024-02-08.

Data path clock skew management in a dynamic power management environment

Номер патента: WO1999050821A1. Автор: Ignatius Tjandrasuwita. Владелец: Ignatius Tjandrasuwita. Дата публикации: 1999-10-07.

Integrated circuit design system, integrated circuit design program, and integrated circuit design method

Номер патента: US20050204317A1. Автор: Masahide Yamagata. Владелец: Sony Corp. Дата публикации: 2005-09-15.

Data-driven pattern matching in synthesis of circuit designs

Номер патента: US8938700B1. Автор: Elliott Delaye,Ashish Sirasao,Alireza S. Kaviani,Yinyi Wang. Владелец: Xilinx Inc. Дата публикации: 2015-01-20.

Analogue circuit design

Номер патента: GB2602291A. Автор: HULSE MICHAEL. Владелец: Agile Analog Ltd. Дата публикации: 2022-06-29.

Analogue circuit design

Номер патента: EP4118555A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2023-01-18.

Analogue circuit design

Номер патента: US20230111448A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2023-04-13.

Analogue circuit design

Номер патента: EP4118554A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2023-01-18.

Analogue circuit design

Номер патента: US20230111082A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2023-04-13.

Method and apparatus for verifying circuit design

Номер патента: US20140214355A1. Автор: Taku Kawamura. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2014-07-31.

Analog/digital partitioning of circuit designs for simulation

Номер патента: US8640073B2. Автор: Iyengar Srinivasan,Abhijeet Kolpekwar,Chandrashekar L. Chetput. Владелец: Cadence Design Systems Inc. Дата публикации: 2014-01-28.

Circuit design support apparatus and circuit design support method

Номер патента: US20190294752A1. Автор: Sachio Hayashi. Владелец: Toshiba Electronic Devices and Storage Corp. Дата публикации: 2019-09-26.

Circuit design support apparatus and circuit design support method

Номер патента: US10482213B2. Автор: Sachio Hayashi. Владелец: Toshiba Electronic Devices and Storage Corp. Дата публикации: 2019-11-19.

Folding duplicate instances of modules in a circuit design

Номер патента: US20170161419A1. Автор: Henri Fraisse,Ashish Sirasao,Alireza S. Kaviani,Ilya K. Ganusov. Владелец: Xilinx Inc. Дата публикации: 2017-06-08.

Folding duplicate instances of modules in a circuit design

Номер патента: WO2017095627A1. Автор: Henri Fraisse,Ashish Sirasao,Alireza S. Kaviani,Ilya K. Ganusov. Владелец: XILINX, INC.. Дата публикации: 2017-06-08.

Automatic circuit design technique

Номер патента: US20110239182A1. Автор: Yu Liu,Izumi Nitta. Владелец: Fujitsu Ltd. Дата публикации: 2011-09-29.

Circuit design device, circuit design method, and storage medium

Номер патента: US20210073457A1. Автор: Jiro Hayakawa,Naohito Kojima. Владелец: Toshiba Electronic Devices and Storage Corp. Дата публикации: 2021-03-11.

Integrated circuit design system and method

Номер патента: US20240126970A1. Автор: Evan Jeffrey,Joshua Yousouf MUTUS,Julian Shaw Kelly. Владелец: Google LLC. Дата публикации: 2024-04-18.

Integrated circuit design method, system and computer program product

Номер патента: US20230376660A1. Автор: Yun-Han Lee,Sandeep Kumar Goel,Ankita Patidar. Владелец: TSMC Nanjing Co Ltd. Дата публикации: 2023-11-23.

Timing in a circuit design having finite state machines

Номер патента: US8990748B1. Автор: Reed P. Tidwell. Владелец: Xilinx Inc. Дата публикации: 2015-03-24.

Analog/digital partitioning of circuit designs for simulation

Номер патента: US20080184181A1. Автор: Abhijeet Kolpekwar,Chandrashekar L. Chetput,Srinivasan Iyengar. Владелец: Cadence Design Systems Inc. Дата публикации: 2008-07-31.

Connecting quantum processor chips in a modular quantum processing unit

Номер патента: WO2023191848A2. Автор: Mark Field,Andrew Joseph Bestwick,Benjamin SCHARMANN. Владелец: Rigetti & Co, Llc. Дата публикации: 2023-10-05.

Circuit design method and related device

Номер патента: EP4325391A1. Автор: Ding Li,Zhichao LI,Xianglong Meng,Yisheng HU,Chongjun Ding,Shangxia Fang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-02-21.

Analog/digital partitioning of circuit designs for simulation

Номер патента: US20130326440A1. Автор: Abhijeet Kolpekwar,Chandrashekar L. Chetput,Srinivasan Iyengar. Владелец: Cadence Design Systems Inc. Дата публикации: 2013-12-05.

Resource Remapping in a Hardware Emulation Environment

Номер патента: US20120284010A1. Автор: Eric Durand,Estelle Reymond,Laurent Buchard,Gregoire Brunot. Владелец: Mentor Graphics Corp. Дата публикации: 2012-11-08.

Event scheduler for an electrical circuit design to account for hold time violations

Номер патента: US20120240089A1. Автор: TONG XIAO. Владелец: Oracle International Corp. Дата публикации: 2012-09-20.

Connecting quantum processor chips in a modular quantum processing unit

Номер патента: AU2022450360A1. Автор: Mark Field,Andrew Joseph Bestwick,Benjamin SCHARMANN. Владелец: Rigetti and Co LLC. Дата публикации: 2024-05-02.

Connecting quantum processor chips in a modular quantum processing unit

Номер патента: WO2023191848A3. Автор: Mark Field,Andrew Joseph Bestwick,Benjamin SCHARMANN. Владелец: Rigetti & Co, Llc. Дата публикации: 2024-01-25.

Integrated circuit design system and method

Номер патента: EP3542285A1. Автор: Evan Jeffrey,Joshua Yousouf MUTUS,Julian Shaw Kelly. Владелец: Google LLC. Дата публикации: 2019-09-25.

Integrated circuit design system and method

Номер патента: US20190370430A1. Автор: Evan Jeffrey,Joshua Yousouf MUTUS,Julian Shaw Kelly. Владелец: Google LLC. Дата публикации: 2019-12-05.

Circuit design visibility in integrated circuit devices

Номер патента: US12014129B2. Автор: Yi Peng,Brandon Lewis Gordon. Владелец: Intel Corp. Дата публикации: 2024-06-18.

Circuit design device and circuit design program

Номер патента: US20070006111A1. Автор: Yasuo Otsuka. Владелец: NEC Electronics Corp. Дата публикации: 2007-01-04.

Adaptable framework for circuit design simulation verification

Номер патента: US20240232482A9. Автор: Rajvinder S. Klair,Saikat Bandyopadhyay. Владелец: Xilinx Inc. Дата публикации: 2024-07-11.

Analogue circuit design

Номер патента: US20240061985A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2024-02-22.

Analogue circuit design

Номер патента: EP4118556A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2023-01-18.

Test point insertion in analog circuit design testing

Номер патента: US20240232485A1. Автор: Peilin Jiang,Mayukh Bhattacharya. Владелец: Synopsys Inc. Дата публикации: 2024-07-11.

Context-aware circuit design layout construct

Номер патента: US11868698B1. Автор: RWIK Sengupta,Jonathan R. Fales,Joshua David Tygert,Timothy H. Pylant. Владелец: Cadence Design Systems Inc. Дата публикации: 2024-01-09.

Analogue circuit design

Номер патента: EP4268113A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2023-11-01.

Semiconductor circuit design device

Номер патента: US20180173819A1. Автор: Takashi Kawano. Владелец: Renesas Electronics Corp. Дата публикации: 2018-06-21.

Analogue circuit design

Номер патента: WO2022136822A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2022-06-30.

Analogue circuit design

Номер патента: US20230116699A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2023-04-13.

Circuit design apparatus, circuit design program, and circuit design method

Номер патента: US20070143726A1. Автор: Ryo Mizutani,Hiromichi Makishima,Seiji Shigihara,Yasutomo Honma. Владелец: Fujitsu Ltd. Дата публикации: 2007-06-21.

Conductive Signal Paths in Woven Fabrics

Номер патента: US20200123683A1. Автор: Daniel D. Sunshine,Kathryn P. Crews,Daniel A. Podhajny,Yohji Hamada,Joseph B. Walker. Владелец: Apple Inc. Дата публикации: 2020-04-23.

Integrated Circuit Design Using Dynamic Voltage Scaling

Номер патента: US20160125123A1. Автор: Michael Scott,Raed Moughabghab,Branislav Petrovic. Владелец: Entropic Communications LLC. Дата публикации: 2016-05-05.

Memory module with timing-controlled data paths in distributed data buffers

Номер патента: US09824035B2. Автор: Hyun Lee,Jayesh R. Bhakta. Владелец: Netlist Inc. Дата публикации: 2017-11-21.

Determining slack estimates for multiple instances of a cell in a hierarchical circuit design

Номер патента: US09754069B2. Автор: Qiuyang Wu,Chang Zhao. Владелец: Synopsys Inc. Дата публикации: 2017-09-05.

Integrated circuit design using dynamic voltage scaling

Номер патента: US9501610B2. Автор: Michael Scott,Raed Moughabghab,Branislav Petrovic. Владелец: Entropic Communications LLC. Дата публикации: 2016-11-22.

Hdl co-simulation in a high-level modeling system

Номер патента: CA2516347C. Автор: Roger B. Milne,L. James Hwang,Jeffrey D. Stroomer,Nabeel Shirazi,Haibing Ma,Jonathan B. Ballagh. Владелец: Xilinx Inc. Дата публикации: 2011-01-04.

System for making circuit design changes

Номер патента: US20230334211A1. Автор: Tao Lin,Tao Huang,Min Pan,Tobias Bjerregaard,Zuo Dai,Konstantinos TSIROGIANNIS,JaeHan JEON. Владелец: Synopsys Inc. Дата публикации: 2023-10-19.

Modeling gate size range in a numerical gate sizing framework

Номер патента: US20140033163A1. Автор: Mahesh A. Iyer,Amir H. Mottaez. Владелец: Synopsys Inc. Дата публикации: 2014-01-30.

Circuit design support apparatus, circuit design support method, and computer product

Номер патента: US20140289691A1. Автор: Akiko KASAI. Владелец: Fujitsu Ltd. Дата публикации: 2014-09-25.

Visualization of data buses in circuit designs

Номер патента: US11586791B1. Автор: Aman Gayasen,Anup Hosangadi,Srinivasan Dasasathyan,Padmini Gopalakrishnan. Владелец: Xilinx Inc. Дата публикации: 2023-02-21.

Clock alias for timing analysis of an integrated circuit design

Номер патента: US8250515B2. Автор: Craig M. Darsow,Michael D. Amundson. Владелец: International Business Machines Corp. Дата публикации: 2012-08-21.

Method and apparatus to analyze noise in a pulse logic digital circuit design

Номер патента: US20040255255A1. Автор: Puneet Singh. Владелец: Intel Corp. Дата публикации: 2004-12-16.

Row formation during datapath placement in circuit design

Номер патента: US9177091B2. Автор: GUO Yu,Wonjoon Choi,Akshay Sharma,Huy Tran Ba Vo. Владелец: Oracle International Corp. Дата публикации: 2015-11-03.

Physics-based reliability model for large-scale cmos circuit design

Номер патента: US20140165017A1. Автор: Hugh James Barnaby,Ivan Sanchez Esqueda. Владелец: Arizona State University ASU. Дата публикации: 2014-06-12.

Optimizing routing of a signal path in a semiconductor device

Номер патента: US20180165239A1. Автор: Lukas Daellenbach. Владелец: International Business Machines Corp. Дата публикации: 2018-06-14.

Loop manipulation in a behavioral synthesis tool

Номер патента: EP1769407A2. Автор: Peter Pius Gutberlet,Andres R. Takach,Bryan Darrell Bowyer. Владелец: Mentor Graphics Corp. Дата публикации: 2007-04-04.

Loop manipulation in a behavioral synthesis tool

Номер патента: EP1769407A4. Автор: Peter Pius Gutberlet,Bryan Darrell Bowyer,Andres R Takach. Владелец: Mentor Graphics Corp. Дата публикации: 2009-04-29.

Circuit design method, apparatus, and program

Номер патента: US20040098675A1. Автор: Makiko Kan. Владелец: Sony Corp. Дата публикации: 2004-05-20.

Electronic circuit designs adaptable for applications having different binary data formats

Номер патента: US6477699B1. Автор: Goran Bilski. Владелец: Xilinx Inc. Дата публикации: 2002-11-05.

System and method for text based placement engine for custom circuit design

Номер патента: US7895561B2. Автор: Gaurav Mittal,Sanjay Dubey. Владелец: International Business Machines Corp. Дата публикации: 2011-02-22.

Capturing and combining media data and geodata in a composite file

Номер патента: US20090259686A1. Автор: Joseph Figueroa,Meir E Abergel. Владелец: Microsoft Corp. Дата публикации: 2009-10-15.

Methods and apparatus to simulate metastability for circuit design verification

Номер патента: US20220269845A1. Автор: Venkatraman Ramakrishnan,Sudhakar Surendran. Владелец: Texas Instruments Inc. Дата публикации: 2022-08-25.

Methods and apparatus to simulate metastability for circuit design verification

Номер патента: US11531798B2. Автор: Venkatraman Ramakrishnan,Sudhakar Surendran. Владелец: Texas Instruments Inc. Дата публикации: 2022-12-20.

Query language to traverse a path in a graph

Номер патента: US20140089293A1. Автор: Cheng Xu,Xu Jiang,Jean-Christophe Martin,Alan Honkwan Ngai. Владелец: eBay Inc. Дата публикации: 2014-03-27.

Cell placement in circuit design

Номер патента: US20070234259A1. Автор: Ruchir Puri,Anthony Drumm,Louise Trevillyan,Pooja Kotecha. Владелец: International Business Machines Corp. Дата публикации: 2007-10-04.

System and method for text based placement engine for custom circuit design

Номер патента: US20080098343A1. Автор: Gaurav Mittal,Sanjay Dubey. Владелец: Individual. Дата публикации: 2008-04-24.

Method of leakage optimization in integrated circuit design

Номер патента: US7448009B2. Автор: Shrikrishna Pundoor. Владелец: Texas Instruments Inc. Дата публикации: 2008-11-04.

Machine for circuit design

Номер патента: WO1990009639A1. Автор: David Latham Grundy,Glenn William Birchby,Denzil John Broadhurst. Владелец: Plessey Overseas Limited. Дата публикации: 1990-08-23.

Query language to traverse a path in a graph

Номер патента: US20150317360A1. Автор: Cheng Xu,Xu Jiang,Jean-Christophe Martin,Alan Honkwan Ngai. Владелец: Individual. Дата публикации: 2015-11-05.

Circuit design simulation and clock event reduction

Номер патента: US12086521B2. Автор: Hemant Kashyap,Tharun Kumar Ksheerasagar,Rohit Bhadana,Pratyush Ranjan. Владелец: Xilinx Inc. Дата публикации: 2024-09-10.

Circuit design modification using timing-based yield calculation

Номер патента: US12086529B1. Автор: Yang Gao,Eric K. Anderson,Igor Keller. Владелец: Cadence Design Systems Inc. Дата публикации: 2024-09-10.

Fanout optimization to facilitate timing improvement in circuit designs

Номер патента: US09965581B1. Автор: Zhiyong Wang,Aaron Ng,Ruibing Lu,Sabyasachi Das,Niyati Shah. Владелец: Xilinx Inc. Дата публикации: 2018-05-08.

Method for identifying a character in a digital image

Номер патента: US09836646B2. Автор: Frédéric COLLET,Jordi Hautot,Michel DAUW,Pierre De Muelenaere. Владелец: IRIS SA. Дата публикации: 2017-12-05.

Recognizing and utilizing circuit topology in an electronic circuit design

Номер патента: US09817932B2. Автор: Alan Sherman. Владелец: Mentor Graphics Corp. Дата публикации: 2017-11-14.

Delivering circuit designs for programmable integrated circuits

Номер патента: US09710582B1. Автор: Siddharth Rele,Sumit Nagpal,Avdhesh Palliwal. Владелец: Xilinx Inc. Дата публикации: 2017-07-18.

Creating a standard cell circuit design from a programmable logic device circuit design

Номер патента: US8667437B2. Автор: Salil Ravindra Raje,Dinesh D. Gaitonde. Владелец: Xilinx Inc. Дата публикации: 2014-03-04.

Creating a standard cell circuit design from a programmable logic device circuit design

Номер патента: WO2009117203A3. Автор: Salil Ravindra Raje,Dinesh D. Gaitonde. Владелец: XILINX, INC.. Дата публикации: 2009-12-10.

Sub-circuit pattern recognition in integrated circuit design

Номер патента: US20100131908A1. Автор: Sandeep Shylaja Krishnan. Владелец: Texas Instruments Inc. Дата публикации: 2010-05-27.

Method and apparatus for synthesizing pipelined input/output in a circuit design from high level synthesis

Номер патента: US20120084067A1. Автор: Mustafa Ispir. Владелец: Individual. Дата публикации: 2012-04-05.

Method and System for Repartitioning a Hierarchical Circuit Design

Номер патента: US20110035711A1. Автор: Wilhelm Haller,Friedhelm Kessler,Elmar Gaugler. Владелец: International Business Machines Corp. Дата публикации: 2011-02-10.

Adaptable framework for circuit design simulation verification

Номер патента: US20240135074A1. Автор: Rajvinder S. Klair,Saikat Bandyopadhyay. Владелец: Xilinx Inc. Дата публикации: 2024-04-25.

Modeling metastability in circuit design

Номер патента: US20050251779A1. Автор: Osman Koyuncu,T-Pinn Koh,Gary Chard,Steve Dondershine. Владелец: Texas Instruments Inc. Дата публикации: 2005-11-10.

DC path checking in a hierarchical circuit design

Номер патента: US7412681B1. Автор: Xiaodong Zhang,Bruce W. McGaughy,Jun Kong. Владелец: Cadence Design Systems Inc. Дата публикации: 2008-08-12.

Circuit design updates using reinforced learning loop

Номер патента: US20240184963A1. Автор: Gi-Joon Nam,Alexey Y. Lvov,Victor N. Kravets,Ashish Jaitly. Владелец: International Business Machines Corp. Дата публикации: 2024-06-06.

Compiler for a Fracturable Data Path in a Reconfigurable Data Processor

Номер патента: US20230229407A1. Автор: David Brian Jackson,Raghu Prabhakar,Scott BURSON. Владелец: SambaNova Systems Inc. Дата публикации: 2023-07-20.

Timing closure of circuit designs for integrated circuits

Номер патента: US10366201B1. Автор: Sridhar Krishnamurthy,Aaron Ng,Grigor S. Gasparyan. Владелец: Xilinx Inc. Дата публикации: 2019-07-30.

Library scaling for circuit design analysis

Номер патента: US20240281581A1. Автор: Peivand Tehrani,Jianquan Zheng. Владелец: Synopsys Inc. Дата публикации: 2024-08-22.

Method, system, and software for tracing paths in graph

Номер патента: US20240296402A1. Автор: Qi XIU. Владелец: HITACHI LTD. Дата публикации: 2024-09-05.

Methods and systems for performing timing sign-off of an integrated circuit design

Номер патента: US20120089383A1. Автор: Rajkumar Agrawal. Владелец: Individual. Дата публикации: 2012-04-12.

Method and apparatus for balancing workloads among paths in a multi-path computer system

Номер патента: WO2000039679A2. Автор: Matthew J. D'Errico. Владелец: EMC CORPORATION. Дата публикации: 2000-07-06.

Dynamic control of circuit design emulation

Номер патента: US20240330548A1. Автор: Mikhail Bershteyn,Etienne Lepercq,Marc-Andre Daigneault. Владелец: Synopsys Inc. Дата публикации: 2024-10-03.

Systems And Methods For Generating Redacted Circuit Designs For Integrated Circuits

Номер патента: US20240311537A1. Автор: Nij Dorairaj,David Kehlet,Shuanghong SUN. Владелец: Intel Corp. Дата публикации: 2024-09-19.

Symmetry verifications for differential signal vias of an electronic circuit design

Номер патента: US09971864B2. Автор: Karl J. Bois,Elene Chobanyan. Владелец: HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP. Дата публикации: 2018-05-15.

System and method for modeling electronic circuit designs

Номер патента: US09864827B1. Автор: Jian Liu,Jian Chen,An-Yu Kuo,Tiejun Yu,Jilin Tan. Владелец: Cadence Design Systems Inc. Дата публикации: 2018-01-09.

Circuit design instrumentation for state visualization

Номер патента: US09798842B1. Автор: Michael Hutton. Владелец: Altera Corp. Дата публикации: 2017-10-24.

Semiconductor circuit design verifying apparatus

Номер патента: US5699264A. Автор: Yoshiki Nakamura,Hirofumi Yamamoto,Terutoshi Yamasaki. Владелец: Renesas Design Corp. Дата публикации: 1997-12-16.

Circuit design validation tool for radiation-hardened design

Номер патента: US20220245314A1. Автор: Lawrence James Gewax,Timothy Paul Duryea. Владелец: Texas Instruments Inc. Дата публикации: 2022-08-04.

Statistical graph circuit component probability model for an integrated circuit design

Номер патента: US20240037313A1. Автор: XIANG Gao,Manish Sharma,Hursh NAIK,Bryan Charles WALSH. Владелец: Synopsys Inc. Дата публикации: 2024-02-01.

Circuit design device and circuit design program

Номер патента: US20170068764A1. Автор: Keita Yamaguchi,Takehiro Kawai,Nobuhide TAKASHINA. Владелец: Mitsubishi Electric Corp. Дата публикации: 2017-03-09.

Apparatus and method for integrated circuit design for circuit edit

Номер патента: WO2009048979A1. Автор: Hitesh Suri,Theodore R. Lundquist,Tahir Malik. Владелец: DCG SYSTEMS, INC.. Дата публикации: 2009-04-16.

System for optimizing buffers in integrated circuit design timing fixes

Номер патента: US20040261046A1. Автор: Umesh Nair. Владелец: Sun Microsystems Inc. Дата публикации: 2004-12-23.

Systems and methods for testing integrated circuit designs

Номер патента: US9501590B1. Автор: Vivek Chickermane,Steev Wilcox,Paul A. Cunningham. Владелец: Cadence Design Systems Inc. Дата публикации: 2016-11-22.

Thermal analysis based circuit design

Номер патента: US20140053124A1. Автор: Kenneth S. McElvain,Khalid Rahmat. Владелец: Synopsys Inc. Дата публикации: 2014-02-20.

Apparatus and method of optimizing an integrtted circuit design

Номер патента: US20230281366A1. Автор: FU Chin-Ming,Chih-Hsien Chang,Tsung-Che Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-07.

Circuit design method and related device

Номер патента: US20240135075A1. Автор: Ding Li,Zhichao LI,Xianglong Meng,Yisheng HU,Chongjun Ding,Shangxia Fang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-04-25.

Formal verification of bit-serial division and bit-serial square-root circuit designs

Номер патента: US20140033150A1. Автор: Himanshu Jain,Carl P. Pixley. Владелец: Synopsys Inc. Дата публикации: 2014-01-30.

Systems and methods for testing integrated circuit designs

Номер патента: US9465896B1. Автор: Vivek Chickermane,Steev Wilcox,Paul A. Cunningham. Владелец: Cadence Design Systems Inc. Дата публикации: 2016-10-11.

Circuit design approximation

Номер патента: US20120192130A1. Автор: Eli Arbel,Oleg Rokhlenko. Владелец: International Business Machines Corp. Дата публикации: 2012-07-26.

Semiconductor integrated circuit and circuit design apparatus

Номер патента: US20040207429A1. Автор: Takeshi Hashizume,Takenobu Iwao. Владелец: Renesas Technology Corp. Дата публикации: 2004-10-21.

Analogue circuit design

Номер патента: GB2620947A. Автор: HULSE MICHAEL. Владелец: Agile Analog Ltd. Дата публикации: 2024-01-31.

Marketing Strategy Processing Method of EDA Tools in the Integrated Circuit Design Industry and System Thereof

Номер патента: NL2032048A. Автор: Chang Chao. Владелец: Chang Chao. Дата публикации: 2023-11-07.

Marketing Strategy Processing Method of EDA Tools in the Integrated Circuit Design Industry and System Thereof

Номер патента: NL2032048B1. Автор: Chang Chao. Владелец: Chang Chao. Дата публикации: 2023-12-08.

Machine learning-based down selection of candidate hotspot locations of circuit designs

Номер патента: US20240232495A1. Автор: Le Hong,Yuansheng Ma. Владелец: Siemens Industry Software Inc. Дата публикации: 2024-07-11.

Test functionality integrity verification for integrated circuit design

Номер патента: WO2013044122A1. Автор: Steven M. Millendorf. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2013-03-28.

Logic simulation of circuit designs using on-the-fly bit reduction for constraint solving

Номер патента: US20210089695A1. Автор: In-Ho Moon. Владелец: Synopsys Inc. Дата публикации: 2021-03-25.

Analogue circuit design

Номер патента: WO2024023496A1. Автор: Michael Hulse. Владелец: Agile Analog Ltd. Дата публикации: 2024-02-01.

Integrated circuit design verification with module swapping

Номер патента: WO2023158530A1. Автор: Adam Moshe IZRAELEVITZ,Albert Pengju CHEN. Владелец: SiFive, Inc.. Дата публикации: 2023-08-24.

Reduction of metal fill insertion time in integrated circuit design process

Номер патента: US20140149953A1. Автор: Fulvio Pugliese,Goran Davidovic,Rupert Kleeberger,Juergen Inderst. Владелец: LSI Corp. Дата публикации: 2014-05-29.

Equivalence checking between two or more circuit designs that include square root circuits

Номер патента: US20160012177A1. Автор: Himanshu Jain,Carl P. Pixley. Владелец: Synopsys Inc. Дата публикации: 2016-01-14.

Routing interconnect of integrated circuit designs

Номер патента: US8365128B2. Автор: Jing Chen,Limin He,So-Zen Yao,Wenyong Deng,Liang-Jih Chao. Владелец: Cadence Design Systems Inc. Дата публикации: 2013-01-29.

Equivalence checking between two or more circuit designs that include square root circuits

Номер патента: US09870442B2. Автор: Himanshu Jain,Carl P. Pixley. Владелец: Synopsys Inc. Дата публикации: 2018-01-16.

Database-aided circuit design system and method therefor

Номер патента: US7559045B2. Автор: Po-Cheng Chiu,Ying-Chun Chen,Che-Ming Chen,Chin-Tien Tseng,Cheng-Hsun Ho. Владелец: Inventec Corp. Дата публикации: 2009-07-07.

Model-based simulation result predictor for circuit design

Номер патента: US11842130B1. Автор: Roland Ruehl,Saleha Khatun,David VARGHESE. Владелец: Cadence Design Systems Inc. Дата публикации: 2023-12-12.

Integrated circuit design verification with signal forcing

Номер патента: WO2023158531A1. Автор: Adam Moshe IZRAELEVITZ,Albert Pengju CHEN. Владелец: SiFive, Inc.. Дата публикации: 2023-08-24.

Optimizing a circuit design for delay using load-and-slew-independent numerical delay models

Номер патента: US20140040851A1. Автор: Mahesh A. Iyer,Amir H. Mottaez. Владелец: Synopsys Inc. Дата публикации: 2014-02-06.

Detecting instability in combinational loops in electronic circuit designs

Номер патента: US20230351085A1. Автор: Mikhail Bershteyn,Srivatsan Raghavan,Vinod CHANDRASEKARAN. Владелец: Synopsys Inc. Дата публикации: 2023-11-02.

Determining the location of safety mechanism within a circuit design

Номер патента: US20230325570A1. Автор: Shivakumar Shankar Chonnad. Владелец: Synopsys Inc. Дата публикации: 2023-10-12.

Circuit designing method and circuit designing system

Номер патента: US20120284681A1. Автор: Yasuo Amano,Sumiko Makino. Владелец: Fujitsu Ltd. Дата публикации: 2012-11-08.

Methods and apparatus to simulate metastability for circuit design verification

Номер патента: US11775718B2. Автор: Venkatraman Ramakrishnan,Sudhakar Surendran. Владелец: Texas Instruments Inc. Дата публикации: 2023-10-03.

Algorithmic circuit design automation

Номер патента: US20240095435A1. Автор: Xin Zhang,Jing Li,Shun Zhang,Chuang GAN,Xiaoxiao Guo,Shaoze Fan,Ningyuan Cao. Владелец: International Business Machines Corp. Дата публикации: 2024-03-21.

Integrated circuit design

Номер патента: EP1150222A3. Автор: Robert J. Gluss,Nicholas A. Fiduccia. Владелец: Hewlett Packard Co. Дата публикации: 2003-04-02.

Generation method and device of circuit design, computer equipment and storage medium

Номер патента: CA3180242A1. Автор: Chao Tang,Kai GU,Jiale Chen,Jianchang Cao,Enzhao Fu. Владелец: 10353744 Canada Ltd. Дата публикации: 2023-04-28.

Runtime efficient multi-stage router flow for circuit designs

Номер патента: US20240202423A1. Автор: Dinesh D. Gaitonde,Chirag Ravishankar,Stefan Nikolic. Владелец: Xilinx Inc. Дата публикации: 2024-06-20.

Semiconductor circuit design and unit pin placement

Номер патента: GB2611691A. Автор: Daellenbach Lukas,Richter Ralf. Владелец: International Business Machines Corp. Дата публикации: 2023-04-12.

Semiconductor circuit design and unit pin placement

Номер патента: US20220004691A1. Автор: Ralf Richter,Lukas Daellenbach. Владелец: International Business Machines Corp. Дата публикации: 2022-01-06.

Semiconductor circuit design and unit pin placement

Номер патента: WO2022003438A1. Автор: Ralf Richter,Lukas Daellenbach. Владелец: Ibm (China) Investment Company Ltd.. Дата публикации: 2022-01-06.

Stochastic analysis process optimization for integrated circuit design and manufacture

Номер патента: WO2006063359A3. Автор: Jun Li,Meiling Wang,Hsien-Yen Chiu. Владелец: Anova Solutions Inc. Дата публикации: 2007-04-12.

Methods and circuits for debugging circuit designs

Номер патента: US20170115348A1. Автор: Philip B. James-Roxby,Paul R. Schumacher,Graham F. Schelle,Patrick Lysaght,Yi-Hua E. Yang. Владелец: Xilinx Inc. Дата публикации: 2017-04-27.

Physical verification workflow for semiconductor circuit designs

Номер патента: EP4217819A1. Автор: Nikolay GRUDANOV,Valery BOBOVSKY,Igor LOPANENKO,Yuri LEVSKY,Alexander GRUDANOV. Владелец: Silvaco Inc. Дата публикации: 2023-08-02.

Method and apparatus for facilitating circuit design

Номер патента: US20030061586A1. Автор: Andrew Wallace. Владелец: Broadcom Corp. Дата публикации: 2003-03-27.

Integrated-circuit design methods

Номер патента: US20240211674A1. Автор: Ciro Corcelli,Johnny PIHL. Владелец: NORDIC SEMICONDUCTOR ASA. Дата публикации: 2024-06-27.

Apparatus, Device, Method and Computer Program for Generating a Circuit Design of Polynomial Interpolation Hardware

Номер патента: US20220222413A1. Автор: Theo Drane,Bryce ORLOSKI. Владелец: Intel Corp. Дата публикации: 2022-07-14.

Electrostatics-based global placement of circuit designs having overlapping region constraints

Номер патента: US20240265182A1. Автор: Mehrdad Eslami Dehkordi,Wuxi Li. Владелец: Xilinx Inc. Дата публикации: 2024-08-08.

Virtual Platforms of Integrated Circuit Designs

Номер патента: US20240037305A1. Автор: Kalen Brunham,Jakob ENGBLOM. Владелец: Intel Corp. Дата публикации: 2024-02-01.

Computer implemented system and method for reducing failure in time soft errors of a circuit design

Номер патента: US09922152B2. Автор: Vikas Chandra,Liangzhen Lai. Владелец: ARM LTD. Дата публикации: 2018-03-20.

Circuit design generator

Номер патента: US09916408B2. Автор: Seyed Mohammadali Eslami,Khodor Fawaz. Владелец: Individual. Дата публикации: 2018-03-13.

Process and device for circuit design by means of high-level synthesis

Номер патента: US7181720B2. Автор: Ansgar Stammermann. Владелец: ChipVision Design Systems AG. Дата публикации: 2007-02-20.

Integrated circuits design

Номер патента: US20110119646A1. Автор: David Murray,Sean Boylan. Владелец: Duolog Research Ltd. Дата публикации: 2011-05-19.

Prediction and optimization of multi-kernel circuit design performance using a programmable overlay

Номер патента: US20230065842A1. Автор: Lucian Petrica,Mario Daniel Ruiz Noguera. Владелец: Xilinx Inc. Дата публикации: 2023-03-02.

Circuit design having an improved clock tree

Номер патента: US20230376670A1. Автор: Tao Lin,Tao Huang,Min Pan,Zuo Dai,JaeHan JEON. Владелец: Synopsys Inc. Дата публикации: 2023-11-23.

Relative Positioning of Circuit Elements in Circuit Design

Номер патента: US20120284682A1. Автор: Anand Arunachalam. Владелец: Synopsys Inc. Дата публикации: 2012-11-08.

Logic simulation of circuit designs using on-the-fly bit reduction for constraint solving

Номер патента: US11615225B2. Автор: In-Ho Moon. Владелец: Synopsys Inc. Дата публикации: 2023-03-28.

Routing methods for integrated circuit designs

Номер патента: US20090106728A1. Автор: Jing Chen,Limin He,So-Zen Yao,Wenyong Deng,Liang-Jih Chao. Владелец: Individual. Дата публикации: 2009-04-23.

Methods of routing an integrated circuit design

Номер патента: US20060190897A1. Автор: Jing Chen,Limin He,So-Zen Yao,Wenyong Deng,Liang-Jih Chao. Владелец: Individual. Дата публикации: 2006-08-24.

Interconnect routing methods of integrated circuit designs

Номер патента: US20090113372A1. Автор: Jing Chen,Limin He,So-Zen Yao,Wenyong Deng,Liang-Jih Chao. Владелец: Individual. Дата публикации: 2009-04-30.

Routing interconnect of integrated circuit designs

Номер патента: US20090113371A1. Автор: Jing Chen,Limin He,So-Zen Yao,Wenyong Deng,Liang-Jih Chao. Владелец: Individual. Дата публикации: 2009-04-30.

Routing interconnect of integrated circuit designs with varying grid densities

Номер патента: US9177093B2. Автор: Jing Chen,Limin He,So-Zen Yao,Wenyong Deng,Liang-Jih Chao. Владелец: Cadence Design Systems Inc. Дата публикации: 2015-11-03.

Method and apparatus for calculating delay timing values for an integrated circuit design

Номер патента: US9977849B2. Автор: Sergey Sofer,Michael Priel,Asher BERKOVITZ. Владелец: NXP USA Inc. Дата публикации: 2018-05-22.

Thermal analysis based circuit design

Номер патента: US8572535B2. Автор: Kenneth S. McElvain,Khalid Rahmat. Владелец: Synopsys Inc. Дата публикации: 2013-10-29.

Circuit design method and associated computer program product

Номер патента: US20190205497A1. Автор: Shih-Hsiang Tai. Владелец: Silicon Motion Inc. Дата публикации: 2019-07-04.

Circuit design method and associated computer program product

Номер патента: US10635850B2. Автор: Shih-Hsiang Tai. Владелец: Silicon Motion Inc. Дата публикации: 2020-04-28.

Determining aging effects for a circuit design

Номер патента: US20230359796A1. Автор: Li Ding,Wei-kai Shih,Hsien-Han CHENG. Владелец: Synopsys Inc. Дата публикации: 2023-11-09.

Method and system to verify a circuit design

Номер патента: US20050071147A1. Автор: Edmund Clarke,Daniel Kroening,Karen Yorav. Владелец: CARNEGIE MELLON UNIVERSITY. Дата публикации: 2005-03-31.

Circuit design verification using checkpointing

Номер патента: EP1932090A1. Автор: Jesse Ethan Craig,Jason Michael Norman. Владелец: International Business Machines Corp. Дата публикации: 2008-06-18.

Integrated circuit design using metadata

Номер патента: WO2023163814A1. Автор: Adam Moshe IZRAELEVITZ,Albert Pengju CHEN. Владелец: SiFive, Inc.. Дата публикации: 2023-08-31.

Machine learning-based down selection of candidate hotspot locations of circuit designs

Номер патента: EP4179452A1. Автор: Le Hong,Yuansheng Ma. Владелец: Siemens Industry Software Inc. Дата публикации: 2023-05-17.

Loop manipulation in a behavioral synthesis tool

Номер патента: WO2005119528A2. Автор: Peter Pius Gutberlet,Andres R. Takach,Bryan Darrell Bowyer. Владелец: MENTOR GRAPHICS CORPORATION. Дата публикации: 2005-12-15.

Circuit Design Methods for Quantum Separator (QS) and Systems to Use Its Output

Номер патента: US20120180004A1. Автор: Paul John Werbos. Владелец: Individual. Дата публикации: 2012-07-12.

Tracking taint propagation in integrated circuit design

Номер патента: WO2023244780A1. Автор: Arturo Salz. Владелец: Synopsys, Inc.. Дата публикации: 2023-12-21.

Circuit design verification using checkpointing

Номер патента: WO2007039387A1. Автор: Jesse Ethan Craig,Jason Michael Norman. Владелец: Ibm United Kingdom Limited. Дата публикации: 2007-04-12.

Method and apparatus for timing characterization of integrated circuit designs

Номер патента: US7143378B1. Автор: Sudip K. Nag. Владелец: Xilinx Inc. Дата публикации: 2006-11-28.

Layout overlap detection with selective flattening in computer implemented integrated circuit design

Номер патента: US6011911A. Автор: Hongbo Tang,Wai-Yan Ho. Владелец: Synopsys Inc. Дата публикации: 2000-01-04.

Multiplier circuit design for a programmable logic device

Номер патента: US5754459A. Автор: Anil L. N. Telikepalli. Владелец: Xilinx Inc. Дата публикации: 1998-05-19.

Method and system for indicating a status of a circuit design

Номер патента: US5629857A. Автор: Thomas C. Brennan. Владелец: International Business Machines Corp. Дата публикации: 1997-05-13.

Stochastic analysis process optimization for integrated circuit design and manufacture

Номер патента: EP1836626A2. Автор: Jun Li,Meiling Wang,Hsien-Yen Chiu. Владелец: Anova Solutions Inc. Дата публикации: 2007-09-26.

Determining slack estimates for multiple instances of a cell in a hierarchical circuit design

Номер патента: US20170109468A1. Автор: Qiuyang Wu,Chang Zhao. Владелец: Synopsys Inc. Дата публикации: 2017-04-20.

Computer Implemented System and Method for Reducing Failure in Time Soft Errors of a Circuit Design

Номер патента: US20170277817A1. Автор: Vikas Chandra,Liangzhen Lai. Владелец: ARM LTD. Дата публикации: 2017-09-28.

Global mistracking analysis in integrated circuit design

Номер патента: US11893332B2. Автор: Li Ding,Wenwen Chai. Владелец: Synopsys Inc. Дата публикации: 2024-02-06.

Methods and circuits for debugging circuit designs

Номер патента: WO2017074568A1. Автор: Paul R. Schumacher,Graham F. Schelle,Patrick Lysaght,Yi-Hua E. Yang,Philip B JAMES-ROXBY. Владелец: XILINX, INC.. Дата публикации: 2017-05-04.

Boundary assertion-based power recovery in integrated circuit design

Номер патента: US20200089828A1. Автор: Alexander J. Suess,Cindy S. Washburn. Владелец: International Business Machines Corp. Дата публикации: 2020-03-19.

Synchronizing distributed simulations of a circuit design

Номер патента: US20230409788A1. Автор: Parijat Biswas,Sitikant Sahu,Tilak Chand Vinay Kumar MEKA,Shivani JAIN. Владелец: Synopsys Inc. Дата публикации: 2023-12-21.

Integrated circuit design tool apparatus and method of designing an integrated circuit

Номер патента: WO2011101698A1. Автор: Pascal CAUNEGRE. Владелец: Freescale Semiconductor, Inc.. Дата публикации: 2011-08-25.

Physical verification workflow for semiconductor circuit designs

Номер патента: US20230334216A1. Автор: Nikolay GRUDANOV,Valery BOBOVSKY,Igor LOPANENKO,Yuri LEVSKY,Alexander GRUDANOV. Владелец: Silvaco Inc. Дата публикации: 2023-10-19.

File managing method and system thereof for integrated circuit design

Номер патента: US20180246900A1. Автор: Jye-Yuan Lee,Hsien-Ming LIU,Yen-Hsiu HUANG. Владелец: Global Unichip Corp. Дата публикации: 2018-08-30.

Circuit design method and associated computer program product

Номер патента: US20200218846A1. Автор: Shih-Hsiang Tai. Владелец: Silicon Motion Inc. Дата публикации: 2020-07-09.

Circuit design routing based on routing demand adjustment

Номер патента: US11461530B1. Автор: ZHUO Li,Gracieli Posser,Wing-Kai CHOW,Mehmet Can Yildiz,Mateus Paiva Fogaça. Владелец: Cadence Design Systems Inc. Дата публикации: 2022-10-04.

Interconnect flow graph for integrated circuit design

Номер патента: US11768990B1. Автор: Guy Nakibly,Uri Leder,Ori Ariel,Max Chvalevsky,Benzi Denkberg. Владелец: Amazon Technologies Inc. Дата публикации: 2023-09-26.

Integrated Circuit Design Verification Through Forced Clock Glitches

Номер патента: US20140325463A1. Автор: Xiao Sun,Jayanta Bahadra,Xiushan Feng. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2014-10-30.

Activity coverage assessment of circuit designs under test stimuli

Номер патента: US11455447B2. Автор: Stephen Kenneth Sunter. Владелец: Siemens Industry Software Inc. Дата публикации: 2022-09-27.

Circuit design method and associated circuit

Номер патента: US11914706B2. Автор: Tzung-Juei WU. Владелец: Realtek Semiconductor Corp. Дата публикации: 2024-02-27.

Implementing a circuit design with re-convergence

Номер патента: US10990736B1. Автор: Ashish Sirasao,Krishna Garlapati,Chaithanya Dudha,Satyaprakash Pareek. Владелец: Xilinx Inc. Дата публикации: 2021-04-27.

System of monitoring vehicles in a parking arena

Номер патента: US20200226390A1. Автор: Akiva ARMON. Владелец: Wisesight Technologies Ltd. Дата публикации: 2020-07-16.

System of monitoring vehicles in a parking arena

Номер патента: EP3665662A1. Автор: Akiva ARMON. Владелец: Wisesight Technologies Ltd. Дата публикации: 2020-06-17.

Improving speed limit function (slf) confidence in a vehicle using rear-facing vision camera

Номер патента: SE2450603A1. Автор: Arnaud Brun,Yann QUIBRIAC,Fanette SEVENIER. Владелец: Volvo Truck Corp. Дата публикации: 2024-06-04.

Method for trading and trial running integrated circuit design code

Номер патента: US20120303473A1. Автор: Chia-Fen Huang,Yu-Ju Yeh,Chiao-Leng Wang. Владелец: Individual. Дата публикации: 2012-11-29.

Superconducting switch for a superconducting magnet

Номер патента: US20240274336A1. Автор: Susumu Mine,Minfeng Xu,Mark Ernest Vermilyea,Anbo Wu. Владелец: General Electric Co. Дата публикации: 2024-08-15.

Flux-trapping magnetic films in superconducting circuits

Номер патента: US20230260679A1. Автор: Daniel Robert Queen. Владелец: Northrop Grumman Systems Corp. Дата публикации: 2023-08-17.

Flux-trapping magnetic films in superconducting circuits

Номер патента: WO2023154167A1. Автор: Daniel Robert Queen. Владелец: Northrop Grumman Systems Corporation. Дата публикации: 2023-08-17.

Conformal winding and current-sharing in a dipole magnet using superconducting tape conductor

Номер патента: EP4233079A1. Автор: Peter McIntyre,John Scott Rogers. Владелец: Accelerator Tech Corp. Дата публикации: 2023-08-30.

Superconducting circuit and a process for fabricating the same

Номер патента: CA2054597C. Автор: Hiroshi Inada,Takao Nakamura,Michitomo Iiyama. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 1997-08-19.

Superconducting switch for a superconducting magnet

Номер патента: EP4352760A1. Автор: Susumu Mine,Minfeng Xu,Mark Ernest Vermilyea,Anbo Wu. Владелец: General Electric Co. Дата публикации: 2024-04-17.

Method and apparatus for discharging a superconducting magnet

Номер патента: WO2001041545A3. Автор: Warren Elliott Buckles,Douglas C Folts. Владелец: American Superconductor Corp. Дата публикации: 2002-01-03.

Magnetic flux mitigation in superconducting circuits

Номер патента: US20230380301A1. Автор: Daniel Robert Queen. Владелец: Northrop Grumman Systems Corp. Дата публикации: 2023-11-23.

Magnetic flux mitigation in superconducting circuits

Номер патента: US11937518B2. Автор: Daniel Robert Queen. Владелец: Northrop Grumman Systems Corp. Дата публикации: 2024-03-19.

Magnetic flux mitigation in superconducting circuits

Номер патента: WO2023224743A1. Автор: Daniel Robert Queen. Владелец: Northrop Grumman Systems Corporation. Дата публикации: 2023-11-23.

Anti-epitaxial film in a superconducting article and related articles, devices and systems

Номер патента: WO2007136421A2. Автор: Venkat Selvamanickam,Ping Hou,Xuming Xiong. Владелец: SUPERPOWER, INC.. Дата публикации: 2007-11-29.

Anti-epitaxial film in a superconducting article and related articles, devices and systems

Номер патента: WO2007136421A3. Автор: Venkat Selvamanickam,Ping Hou,Xuming Xiong. Владелец: Superpower Inc. Дата публикации: 2008-10-02.

Anti-epitaxial film in a superconducting article and related articles, devices and systems

Номер патента: EP1966837A2. Автор: Venkat Selvamanickam,Ping Hou,Xuming Xiong. Владелец: Superpower Inc. Дата публикации: 2008-09-10.

Superconductive circuit with film-layered josephson junction and process of fabrication thereof

Номер патента: US5304817A. Автор: Ichiro Ishida. Владелец: NEC Corp. Дата публикации: 1994-04-19.

Termination unit for a superconducting cable

Номер патента: US09735562B2. Автор: Nicolas Lallouet,Sebastien Delplace,Mark Stemmle,Erik Marzahn. Владелец: Nexans SA. Дата публикации: 2017-08-15.

Conformal winding and current-sharing in a dipole magnet using superconducting tape conductor

Номер патента: US20230395295A1. Автор: Peter McIntyre,John Scott Rogers. Владелец: Accelerator Tech Corp. Дата публикации: 2023-12-07.

Persistent current switch for a superconducting electromagnet

Номер патента: WO2023144247A1. Автор: Cornelis Leonardus Gerardus Ham. Владелец: KONINKLIJKE PHILIPS N.V.. Дата публикации: 2023-08-03.

Quench protection circuit including a superconducting current limiter

Номер патента: GB2483991A. Автор: Minfeng Xu,Xianrui Huang,Anbo Wu. Владелец: General Electric Co. Дата публикации: 2012-03-28.

A superconducting electrical machine

Номер патента: EP2215707A1. Автор: Stephen Mark Husband,Stephen Williamson,Alexander Charles Smith. Владелец: Rolls Royce PLC. Дата публикации: 2010-08-11.

A current limiter with a superconducting current limiting element

Номер патента: WO1998043335A1. Автор: Torsten Freltoft. Владелец: Nkt Research Center A/S. Дата публикации: 1998-10-01.

A superconducting fault current limiting transformer

Номер патента: WO2023191741A1. Автор: Ali Gencer,Serap SAFRAN,Ercan ERTEKIN,Elvan COSKUN,Sukru CELIK. Владелец: Sinop Universitesi Rektorlugu. Дата публикации: 2023-10-05.

Method of manufacturing a superconductive cable

Номер патента: US09806511B2. Автор: Mark Stemmle,Erik Marzahn. Владелец: Nexans SA. Дата публикации: 2017-10-31.

A method for constructing a superconducting multiphase cable comprising N phases.

Номер патента: ZA200003514B. Автор: Dag Willén. Владелец: Nkt Res Ct As. Дата публикации: 2002-06-06.

A superconducting device

Номер патента: EP2257952A1. Автор: Stephen Mark Husband,Michael Philip Sargent,Archie Macrobert Campbell,Tim Arthur Coombs. Владелец: Rolls Royce PLC. Дата публикации: 2010-12-08.

Process for producing a superconducting article

Номер патента: CA1326467C. Автор: Hiroyuki Fujikawa,Tetsuji Jodai,Kouichi Iwata,Shuji Yazu. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 1994-01-25.

Method for increasing extrusion yield in forming a superconducting rod

Номер патента: US5475915A. Автор: Peter Valaris. Владелец: IGC/Advanced Superconductors Inc. Дата публикации: 1995-12-19.

Procedure of densifying filaments for a superconductive wire

Номер патента: US8372784B2. Автор: Rene Flukiger. Владелец: Bruker Biospin SAS. Дата публикации: 2013-02-12.

Procedure of densifying filaments for a superconductive wire

Номер патента: US20100087324A1. Автор: Rene Fluekiger. Владелец: Individual. Дата публикации: 2010-04-08.

Method of producing a superconducting cable

Номер патента: WO2001033578A1. Автор: Kristian GLEJBØL,Claus Nygaard Rasmussen,Dag Willén. Владелец: Nkt Cables A/S. Дата публикации: 2001-05-10.

Method and Device for Cooling A Superconducting Current Carrier

Номер патента: US20210044099A1. Автор: Friedhelm Herzog,Thomas Kutz. Владелец: MESSER INDUSTRIEGASE GMBH. Дата публикации: 2021-02-11.

Method of synthesis of a superconducting material

Номер патента: US20100081573A1. Автор: Sihai Zhou,Shi Xue Dou,Hau Kun Liu,Alexey Vladimirovich Pan,Mihail Horia Ionescu. Владелец: Individual. Дата публикации: 2010-04-01.

Filter circuit and a superconducting filter circuit

Номер патента: US20020186092A1. Автор: Fumihiko Aiga,Hiroyuki Kayano. Владелец: Toshiba Corp. Дата публикации: 2002-12-12.

Method of forming a superconductive metallic film

Номер патента: GB1098974A. Автор: Constantine Alois Neu Gebauer. Владелец: General Electric Co. Дата публикации: 1968-01-10.

Method of forming a superconductive joint between multifilament superconductors

Номер патента: CA1245836A. Автор: Donald W. Jones. Владелец: General Electric Co. Дата публикации: 1988-12-06.

Method of coiling a superconducting cable with clocking feature

Номер патента: US11978571B2. Автор: Christopher M. Rey. Владелец: Individual. Дата публикации: 2024-05-07.

Dual-mode superconductive filter having an opening pattern in a ground plane

Номер патента: US7734319B2. Автор: Akihiko Akasegawa. Владелец: Fujitsu Ltd. Дата публикации: 2010-06-08.

Forced flow cooling system for a superconducting machine

Номер патента: WO2024144764A1. Автор: James William Bray,Ernst Wolfgang Stautner. Владелец: GENERAL ELECTRIC COMPANY. Дата публикации: 2024-07-04.

Method and device for compensating for time path

Номер патента: EP2597791A4. Автор: Buyun Wang,Chao SU,Dezhong Cao,Guojie Pan. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2014-01-29.

Filter circuit and a superconducting filter circuit

Номер патента: US20010029241A1. Автор: Fumihiko Aiga,Hiroyuki Kayano. Владелец: Toshiba Corp. Дата публикации: 2001-10-11.

Methods for making a superconducting device with at least one enclosure

Номер патента: CA2898608C. Автор: Luigi FRUNZIO,Michel Devoret,Teresa BRECHT,Robert John Schoelkopf, Iii. Владелец: YALE UNIVERSITY. Дата публикации: 2022-01-11.

A superconductive composite tape and a method of fabrication thereof

Номер патента: WO2005024963A1. Автор: Claudio Serracane,Alberto Baldini,Armando Sbrana,Sergio Zannella. Владелец: Edison S.P.A.. Дата публикации: 2005-03-17.

Division of current between different strands of a superconducting winding

Номер патента: CA2150137C. Автор: Dietrich Bonmann,Sven Hornfeldt. Владелец: ABB AB. Дата публикации: 2004-01-20.

Sliding support for a superconducting generator rotor

Номер патента: US4092555A. Автор: Sui-Chun Ying,Glen D. Cooper. Владелец: Electric Power Research Institute Inc. Дата публикации: 1978-05-30.

Magnetic field shield including a superconductive film

Номер патента: CA1300253C. Автор: Masaru Inoue,Takao Sugioka,Souichi Ogama. Владелец: Koatsu Gas Kogyo Co Ltd. Дата публикации: 1992-05-05.

Permanent current switch for short circuiting a superconducting magnet

Номер патента: US4378479A. Автор: Hans Hieronymus. Владелец: SIEMENS AG. Дата публикации: 1983-03-29.

Radiant heat shield for a superconducting generator

Номер патента: CA1201744A. Автор: George D. Hooper. Владелец: Westinghouse Electric Corp. Дата публикации: 1986-03-11.

Radiation shield for use in a superconducting generator or the like and method

Номер патента: US4275320A. Автор: Sui-Chun Ying,Kai J. Baumann. Владелец: Electric Power Research Institute Inc. Дата публикации: 1981-06-23.

SUPERCONDUCTIVITY IN A Bi-Ca-Sr-Cu OXIDE COMPOUND SYSTEM FREE OF RARE EARTHS

Номер патента: WO1989008076A1. Автор: Ching-Wu Chu. Владелец: University Of Houston-University Park. Дата публикации: 1989-09-08.

Method for fabricating a superconducting wire

Номер патента: US20090312187A1. Автор: Kazuhiko Nakagawa,Katsumi Ohata,Masahiro Seido. Владелец: Hitachi Cable Ltd. Дата публикации: 2009-12-17.

Field coil support structure and modular field coil design in a superconducting machine

Номер патента: WO2020242445A1. Автор: Ernst Wolfgang Stautner. Владелец: GENERAL ELECTRIC COMPANY. Дата публикации: 2020-12-03.

Arrangement with a superconductive cable

Номер патента: US20110269630A1. Автор: Nicolas Lallouet,Frank Schimidt. Владелец: Nexans SA. Дата публикации: 2011-11-03.

Method for producing a superconductive electrical conductor

Номер патента: US20080119365A1. Автор: Arnaud Allais,Nat Dirk Isfort. Владелец: Nexans SA. Дата публикации: 2008-05-22.

Template for a superconducting coil

Номер патента: CA2628228A1. Автор: Eamonn Maher. Владелец: Individual. Дата публикации: 2007-05-31.

Template for a superconducting coil

Номер патента: EP1958273A1. Автор: Eamonn Maher. Владелец: Coated Conductor Cylinders Ltd. Дата публикации: 2008-08-20.

Method of producing a superconducting tape

Номер патента: WO2001017036A3. Автор: Peder Skov-Hansen. Владелец: Skov Hansen Peder. Дата публикации: 2001-11-08.

Rotor of a superconductive rotary electric machine

Номер патента: US4443722A. Автор: Toshiki Hirao,Kouichi Okamoto. Владелец: Mitsubishi Electric Corp. Дата публикации: 1984-04-17.

Method and apparatus for cooling a superconducting magnet

Номер патента: GB2596781A. Автор: Gouveia Clint,Shaw Nick,Shepheard Adam. Владелец: Scient Magnetics Ltd. Дата публикации: 2022-01-12.

A receiver unit and method for suppressing interference in a multipath radio signal

Номер патента: EP2745410A1. Автор: Henrik Egnell,Nina BLOM. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2014-06-25.

Receiver Unit and Method for Suppressing Interference in a Multipath Radio Signal

Номер патента: US20140198829A1. Автор: Henrik Egnell,Nina BLOM. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2014-07-17.

Active cancellation of transmitter leakage in a radio receiver

Номер патента: US09882603B2. Автор: Mark Wyville. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2018-01-30.

Admission control and routing in a packet network

Номер патента: EP2266266A1. Автор: Ben Strulo,Marc Wennink,Gabriele Corliano. Владелец: British Telecommunications plc. Дата публикации: 2010-12-29.

Selection of a virtual path or channel in a communications network

Номер патента: EP1243102A1. Автор: Matti Kinnunen. Владелец: Nokia Oyj. Дата публикации: 2002-09-25.

Method for signaling link or node failure in a direct interconnect network

Номер патента: US20240250897A1. Автор: Dan Oprea. Владелец: Rockport Networks Inc. Дата публикации: 2024-07-25.

Method and arrangement in a packet switch

Номер патента: US7433305B2. Автор: Lars-Goran Petersen,Ulf Peter Hansson,Mats Nils Fredrik Ernkell. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2008-10-07.

Dynamic configuration of routing paths in a data network

Номер патента: EP3384641A1. Автор: Giovanni Caire,Danilo Gotta,Carlo Cinato. Владелец: Telecom Italia SpA. Дата публикации: 2018-10-10.

Segment routing based wide area network orchestration in a network environment

Номер патента: US20160248680A1. Автор: Clarence Filsfils,Alan Thornton Gous,Thomas F. Telkamp. Владелец: Cisco Technology Inc. Дата публикации: 2016-08-25.

Traffic distribution over multiple paths in a network while maintaining flow affinity

Номер патента: US09716592B1. Автор: Arjun Singh,Subhasree Mandal,Ashish Naik. Владелец: Google LLC. Дата публикации: 2017-07-25.

Segment routing based wide area network orchestration in a network environment

Номер патента: US09647944B2. Автор: Clarence Filsfils,Alan Thornton Gous,Thomas F. Telkamp. Владелец: Cisco Technology Inc. Дата публикации: 2017-05-09.

Synchronous electrical machine having a superconductive field winding

Номер патента: CA1049613A. Автор: Michel Renard,Alain Mailfert. Владелец: Agence National de Valorisation de la Recherche ANVAR. Дата публикации: 1979-02-27.

Clamshell microwave cavities having a superconductive coating

Номер патента: US5296457A. Автор: D. Wayne Cooke,Paul N. Arendt,Helmut Piel. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 1994-03-22.

A superconducting cable system with evaporative cooling

Номер патента: EP4324006A1. Автор: Eoin HODGE,Dean VOLSCHENK. Владелец: Supernode Ltd. Дата публикации: 2024-02-21.

Wi-fi dbdc rf front-end circuit designs

Номер патента: EP4220970A1. Автор: Shen-Yi Liao,Tzu-Hao Liao. Владелец: MediaTek Inc. Дата публикации: 2023-08-02.

Transmit energy leakage control in a receiver

Номер патента: US20180227009A1. Автор: Michail Tsatsanis,Branislav Petrovic,Shachar Kons. Владелец: Entropic Communications LLC. Дата публикации: 2018-08-09.

Wi-Fi DBDC RF Front-End Circuit Designs

Номер патента: US20230238716A1. Автор: Shen-Yi Liao,Tzu-Hao Liao. Владелец: MediaTek Inc. Дата публикации: 2023-07-27.

System and method for providing a path avoidance feature in a network environment

Номер патента: US20110317695A1. Автор: Yiqun Cai,Heidi Ou. Владелец: Cisco Technology Inc. Дата публикации: 2011-12-29.

Improved handling of backup paths in radio access networks

Номер патента: WO2016066211A1. Автор: Walter Müller,Jari Tapio Vikberg,Christofer Lindheimer. Владелец: TELEFONAKTIEBOLAGET L M ERICSSON (PUBL). Дата публикации: 2016-05-06.

Service performance monitoring in a virtualized communication network

Номер патента: EP3257199A1. Автор: Rafi Rabipour,Tommy Lindgren. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2017-12-20.

Predicting future changes to strenths of paths in mimo systems

Номер патента: WO2007100442A3. Автор: Costa Behram Da. Владелец: Costa Behram Da. Дата публикации: 2007-12-13.

Predicting future changes to strenths of paths in mimo systems

Номер патента: EP1989631A2. Автор: Behram Da Costa. Владелец: Sony Electronics Inc. Дата публикации: 2008-11-12.

Predicting future changes to strengths of paths in mimo systems

Номер патента: CA2642118A1. Автор: Behram Da Costa. Владелец: Individual. Дата публикации: 2007-09-07.

Predicting future changes to strenths of paths in mimo systems

Номер патента: WO2007100442A2. Автор: Behram Da Costa. Владелец: Sony Electronics, Inc.. Дата публикации: 2007-09-07.

Routing scheme using preferred paths in a multi-path interconnection fabric in a storage network

Номер патента: WO2002091690A2. Автор: Randall D. Rettberg,Whay S. Lee. Владелец: SUN MICROSYSTEMS, INC.. Дата публикации: 2002-11-14.

Method and apparatus for tracing paths in service function chains

Номер патента: US20180131590A1. Автор: Paul Quinn,Reinaldo Penno,Carlos M. Pignataro. Владелец: Cisco Technology Inc. Дата публикации: 2018-05-10.

Method and apparatus for creating and dismantling a transit path in a subnetwork

Номер патента: US7039055B1. Автор: Steven V. Luong. Владелец: Cisco Technology Inc. Дата публикации: 2006-05-02.

Detection of escalation paths in cloud environments

Номер патента: EP4409434A1. Автор: Yinon COSTICA,Ami LUTTWAK,Roy REZNIK,Avi Tal LICHTENSTEIN,Assaf Rappaport. Владелец: Wiz Inc. Дата публикации: 2024-08-07.

Method and apparatus for telemetry monitoring of BGP prefixes in a network topology

Номер патента: US12113700B2. Автор: Keyur Patel,Alpesh Patel,Lakshman Swaroop Babu,Ting-Chi Yeh. Владелец: Arrcus Inc. Дата публикации: 2024-10-08.

Identifying a source of packet drops in a network

Номер патента: US09979619B2. Автор: Jean-Philippe Vasseur,Pascal Thubert,Patrick Wetterwald,Eric Levy-Abegnoli. Владелец: Cisco Technology Inc. Дата публикации: 2018-05-22.

System and method of discovering paths in a network

Номер патента: US09954736B2. Автор: Cyrus John Durgin,Brett A. Holton,Kelly Ann Wanser. Владелец: Fortinet Inc. Дата публикации: 2018-04-24.

Isolating electric paths in semiconductor device packages

Номер патента: US09899312B2. Автор: Adrian E. Ong,Dong Sik Jeong. Владелец: RAMBUS INC. Дата публикации: 2018-02-20.

Motor characterization in a printer

Номер патента: US09776438B2. Автор: Raimon CASTELLS DE MONET,Carles Ruiz Floriach,Carlos FELIP ARAGON,Xavier DOMINGO REGUANT. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2017-10-03.

Synchronous machine with a superconducting voltage and speed regulator

Номер патента: US4591776A. Автор: Jean-Louis Sabrie. Владелец: Alsthom Atlantique SA. Дата публикации: 1986-05-27.

A superconducting transistor wherein hot electrons are injected into and trapped from the base

Номер патента: US5318952A. Автор: Tsunehiro Hato. Владелец: Fujitsu Ltd. Дата публикации: 1994-06-07.

Method of forming a superconductive metallic film

Номер патента: GB1098975A. Автор: Constantine Alois Neugebauer. Владелец: General Electric Co. Дата публикации: 1968-01-10.

Rotary electrical machines comprising a superconductive winding

Номер патента: US4001617A. Автор: Lionel Boyer. Владелец: Agence National de Valorisation de la Recherche ANVAR. Дата публикации: 1977-01-04.

Cooling system for a superconducting generator

Номер патента: WO2024072381A1. Автор: Minfeng Xu,Ernst Wolfgang Stautner,Anbo Wu. Владелец: GENERAL ELECTRIC COMPANY. Дата публикации: 2024-04-04.

System and method for analyzing communication paths in a telecommunications network

Номер патента: EP1212864A2. Автор: Larry D. Hebel. Владелец: Metasolv Software Inc. Дата публикации: 2002-06-12.

System and method for analyzing communication paths in a telecommunications network

Номер патента: WO2001019026A2. Автор: Larry D. Hebel. Владелец: Metasolv Software, Inc.. Дата публикации: 2001-03-15.

System and method for analyzing communication paths in a telecommunications network

Номер патента: EP1212864B1. Автор: Larry D. Hebel. Владелец: Metasolv Software Inc. Дата публикации: 2007-10-10.

Use of alternate paths in forwarding of network packets

Номер патента: US20160323176A1. Автор: Krishnamurthy Subramanian,Shivakumar Sundaram. Владелец: Dell Products LP. Дата публикации: 2016-11-03.

An enhanced path selection scheme for equal cost paths in communication networks

Номер патента: EP2951964A1. Автор: Zafar Ali,Michel Taillon,Tarek Saad,Sherif Saeed AWAD. Владелец: Cisco Technology Inc. Дата публикации: 2015-12-09.

An enhanced path selection scheme for equal cost paths in communication networks

Номер патента: WO2014120751A1. Автор: Zafar Ali,Michel Taillon,Tarek Saad,Sherif Saeed AWAD. Владелец: CISCO TECHNOLOGY, INC.. Дата публикации: 2014-08-07.

Sha-256 quantum circuit design apparatus and method

Номер патента: US20230163949A1. Автор: Jong Heon Lee. Владелец: Electronics and Telecommunications Research Institute ETRI. Дата публикации: 2023-05-25.

Soft rerouting in a network using predictive reliability metrics

Номер патента: EP3143737A1. Автор: Jean-Philippe Vasseur,Sukrit Dasgupta. Владелец: Cisco Technology Inc. Дата публикации: 2017-03-22.

Computing a path in a label switched network

Номер патента: WO2009013085A1. Автор: Richard Douville,Nicolas Le Sauze. Владелец: ALCATEL LUCENT. Дата публикации: 2009-01-29.

Method and device for providing access path in wireless communication system

Номер патента: US20230319757A1. Автор: Dongyeon KIM,Dongeun Suh. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-10-05.

Securing transmission paths in a mesh network

Номер патента: US12075246B2. Автор: Zhuangzhi Duo,Atul Dhablania. Владелец: SonicWall LLC. Дата публикации: 2024-08-27.

Recording media path in a multifunction printer

Номер патента: EP2633675A1. Автор: Richard A. Murray. Владелец: Eastman Kodak Co. Дата публикации: 2013-09-04.

Method of determining network paths in a three stage switching matrix

Номер патента: EP1113627A3. Автор: Anthony Mazzurco,Jimmy Hu,Robert B. Nguyen,James G. Gray. Владелец: Alcatel USA Sourcing Inc. Дата публикации: 2004-05-19.

Method of configuring multi-level packet transmission paths in a wireless sensor network

Номер патента: US20110228726A1. Автор: Ting-Yi Chen,Chung-Ta King. Владелец: National Tsing Hua University NTHU. Дата публикации: 2011-09-22.

Path optimization in a mesh network

Номер патента: US12089133B2. Автор: Ivan Ong,David J. Urban. Владелец: COMCAST CABLE COMMUNICATIONS LLC. Дата публикации: 2024-09-10.

Method and apparatus for tracing paths in service function chains

Номер патента: US09912566B1. Автор: Paul Quinn,Reinaldo Penno,Carlos M. Pignataro. Владелец: Cisco Technology Inc. Дата публикации: 2018-03-06.

Soft rerouting in a network using predictive reliability metrics

Номер патента: US09887874B2. Автор: Jean-Philippe Vasseur,Sukrit Dasgupta. Владелец: Cisco Technology Inc. Дата публикации: 2018-02-06.

Slow-wave transmission line formed in a multi-layer substrate

Номер патента: US09847564B2. Автор: Baker Scott,Marcus Granger-Jones,Dirk Robert Walter Leipold,George Maxim. Владелец: Qorvo US Inc. Дата публикации: 2017-12-19.

Methods and apparatus for determining a path in a communications network

Номер патента: US09820021B2. Автор: Song Dong,Robert Friskney,Nigel Baker,Christopher Phillips,Fiona Davis. Владелец: Ciena Corp. Дата публикации: 2017-11-14.

System and methodology for expressing ion path in a time-of-flight mass spectrometer

Номер патента: US09761431B2. Автор: Daniel Murphy. Владелец: NOAA Technology Partnerships Office. Дата публикации: 2017-09-12.

Pixel and circuit design for image sensors with hole-based photodiodes

Номер патента: US09728575B1. Автор: Jeffery Beck,Hong-Wei Lee. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2017-08-08.

Use of alternate paths in forwarding of network packets

Номер патента: US09654382B2. Автор: Krishnamurthy Subramanian,Shivakumar Sundaram. Владелец: Dell Products LP. Дата публикации: 2017-05-16.

High power superconductive circuits and method of construction thereof

Номер патента: CA2166014C. Автор: Raafat R. Mansour. Владелец: Com Dev Ltd. Дата публикации: 1998-02-24.

Fluorine Containing Low Loss Dielectric Layers for Superconducting Circuits

Номер патента: US20160133819A1. Автор: Ashish Bodke,Frank Greer. Владелец: Intermolecular Inc. Дата публикации: 2016-05-12.

System and method of discovering paths in a network

Номер патента: US20180227181A1. Автор: Cyrus John Durgin,Brett A. Holton,Kelly Ann Wanser. Владелец: Fortinet Inc. Дата публикации: 2018-08-09.

Identifying Influence Paths in a Communication Network

Номер патента: US20160028614A1. Автор: Vikas Verma,N Hari Kumar,Ranjani Balakrishnan. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2016-01-28.

Identifying influence paths in a communication network

Номер патента: US9680732B2. Автор: Vikas Verma,N Hari Kumar,Ranjani Balakrishnan. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2017-06-13.

Recording media path in a multifunction printer

Номер патента: WO2012058064A1. Автор: Richard A. Murray. Владелец: EASTMAN KODAK COMPANY. Дата публикации: 2012-05-03.

Method for designating communication paths in a network

Номер патента: US20020120770A1. Автор: Mark Brown,William Lees,Jeffrey Parham,Van Vu,Laszlo Lovasz,Nicholas Harvey,Katalin Vesztergombi. Владелец: Individual. Дата публикации: 2002-08-29.

Apparatus and method for optimizing data-path in mobile communication network

Номер патента: EP2987359A1. Автор: Yegin Alper,Yeong-Moon Son,Jung-Shin Park,Won-Il Roh. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-02-24.

Method and set top box for use in a multimedia system

Номер патента: US09832536B2. Автор: Indra Laksono. Владелец: ViXS Systems Inc. Дата публикации: 2017-11-28.

System and method for identifying pre-computed paths in a policy-based routing network

Номер патента: EP1839415A1. Автор: Carl Rajsic,Maged Edward Shaker. Владелец: Alcatel SA. Дата публикации: 2007-10-03.

System and method for identifying pre-computed paths in a policy-based routing network

Номер патента: WO2006075252A1. Автор: Carl Rajsic,Maged Edward Shaker. Владелец: ALCATEL LUCENT. Дата публикации: 2006-07-20.

Buck converter system with an asymmetric threshold voltage gate drive circuit design

Номер патента: US20230299673A1. Автор: Weidong Zhu,Wenkai Wu,Jialun Du. Владелец: Individual. Дата публикации: 2023-09-21.

System for providing powerline communication over flexible mesh for circuit design used in biometric monitoring

Номер патента: AU2021214552A1. Автор: Phillip Bogdanovich. Владелец: Cipher Skin. Дата публикации: 2022-09-22.

Metamaterial substrate for circuit design

Номер патента: US09748663B2. Автор: Chih Wei Wong. Владелец: Transsip Inc. Дата публикации: 2017-08-29.

Circuit arrangement for detecting the vertical blanking periods in a picture signal

Номер патента: US4684988A. Автор: Kurt J. Johannes. Владелец: US Philips Corp. Дата публикации: 1987-08-04.

System for providing powerline communication over flexible mesh for circuit design used in biometric monitoring

Номер патента: EP4097819A1. Автор: Phillip Bogdanovich. Владелец: Cipher Skin. Дата публикации: 2022-12-07.

Rapid method for determining critical vapor pressure

Номер патента: WO1994011727A1. Автор: Ray W. Wood,Lee D. Hansen,John W. Crawford. Владелец: BAXTER INTERNATIONAL INC.. Дата публикации: 1994-05-26.

Apparatus for quality control of a superconducting tape

Номер патента: US12050254B2. Автор: Thomas Braun,Michael Baecker,Roger Woerdenweber,Ruslan KARABALAEV. Владелец: Commonwealth Fusion Systems LLC. Дата публикации: 2024-07-30.

Suspension device for a superconducting magnet heat shield enclosure

Номер патента: US20090279260A1. Автор: Xing En Yu. Владелец: SIEMENS AG. Дата публикации: 2009-11-12.

Method and system for real-time path planning

Номер патента: US20210094182A1. Автор: Ranjan Dasgupta,Mohit Ludhiyani,Arnab Sinha,Arup Kumar SADHU,Titas BERA. Владелец: Tata Consultancy Services Ltd. Дата публикации: 2021-04-01.

Method for locating functional mistakes in digital circuit designs

Номер патента: US20020004919A1. Автор: Zhe Li. Владелец: Individual. Дата публикации: 2002-01-10.

Method for insertion of test points into integrated logic circuit designs

Номер патента: US20020116690A1. Автор: David Lackey. Владелец: International Business Machines Corp. Дата публикации: 2002-08-22.

Device and method for operating a bending beam in a closed control loop

Номер патента: US20240230709A1. Автор: Christof Baur,Florian Demski. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2024-07-11.

Test method and test device for identifying critical points of a circuit design in a post-silicon stage

Номер патента: US20240345157A1. Автор: Harry Hai Chen,Jeng-Yu Liao. Владелец: MediaTek Inc. Дата публикации: 2024-10-17.

Method for Identifying a Leakage Current Path in a Circuit

Номер патента: US20230333176A1. Автор: Peter Bell,Indrajit Manna,Russell Giles. Владелец: Dialog Semiconductor UK Ltd. Дата публикации: 2023-10-19.

A device for automatically controlling the process of separating froth concentrate from gangue in a flotation machine

Номер патента: GB9021644D0. Автор: . Владелец: Yakuzky Ni I Pi Almazodobyva. Дата публикации: 1990-11-21.

Method for operating a superconductive magnet

Номер патента: US5635838A. Автор: Evangelos T. Laskaris,Bizhan Dorri,Howard R. Hart, Jr.. Владелец: General Electric Co. Дата публикации: 1997-06-03.

System and Method For Controlling Registration In A Continuous Feed Tandem Printer

Номер патента: US20110280638A1. Автор: Yongsoon Eun. Владелец: Xerox Corp. Дата публикации: 2011-11-17.

System and methods for the efficient identification and extraction of sequence paths in genome graphs

Номер патента: WO2021063904A1. Автор: Yee Him Cheung. Владелец: KONINKLIJKE PHILIPS N.V.. Дата публикации: 2021-04-08.

Systems and methods for measuring temperature in a gas turbine using acoustic interference

Номер патента: US09989423B2. Автор: Fei Han,Eamon P. Gleeson. Владелец: General Electric Co. Дата публикации: 2018-06-05.

Magnetic resonance system having a superconducting whole-body receiving arrangement

Номер патента: US8013605B2. Автор: Volker Matschl. Владелец: SIEMENS AG. Дата публикации: 2011-09-06.

Apparatus for the determination of a concentration of a component to be measured in a gas

Номер патента: US20130039811A1. Автор: Michael Zochbauer,Carsten ROGGE,Dominikus HUTTNER. Владелец: SICK AG. Дата публикации: 2013-02-14.

Analog read and write paths in a solid state memory device

Номер патента: US20120057408A1. Автор: Vishal Sarin,Frankie F. Roohparvar. Владелец: Micron Technology Inc. Дата публикации: 2012-03-08.

Analog read and write paths in a solid state memory device

Номер патента: WO2009126516A2. Автор: Vishal Sarin,Frankie F. Roohparvar. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2009-10-15.

Adaptive modeling of secondary path in an active noise control system

Номер патента: US20170287461A1. Автор: Emery M. Ku. Владелец: Bose Corp. Дата публикации: 2017-10-05.

Methods, apparatus, and articles of manufacture to select track paths for one or more vehicles in a field

Номер патента: US12085955B2. Автор: Curtis A. Maeder,Qiang R. Liu. Владелец: Deere and Co. Дата публикации: 2024-09-10.

Detecting contamination of a cryogenic refrigerant in a cryogenic refrigeration system

Номер патента: EP4426982A1. Автор: Peter F. Moran,Steven Edward ZACHARSKI. Владелец: Edwards Vacuum LLC. Дата публикации: 2024-09-11.

Adaptive modeling of secondary path in an active noise control system

Номер патента: US09704471B1. Автор: Emery M. Ku. Владелец: Bose Corp. Дата публикации: 2017-07-11.

Compensation coil for temporal drift of a superconducting magnet

Номер патента: US4812797A. Автор: Raghavan Jayakumar. Владелец: General Electric Co. Дата публикации: 1989-03-14.

Device and method for operating a bending beam in a closed control loop

Номер патента: US20220082583A1. Автор: Christof Baur,Florian Demski. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2022-03-17.

Device and method for operating a bending beam in a closed control loop

Номер патента: US20230251285A1. Автор: Christof Baur,Florian Demski. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2023-08-10.

Device and method for operating a bending beam in a closed control loop

Номер патента: US11630124B2. Автор: Christof Baur,Florian Demski. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2023-04-18.

Device and method for operating a bending beam in a closed control loop

Номер патента: US11965910B2. Автор: Christof Baur,Florian Demski. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2024-04-23.

A method of arranging the ray path in an optical instrument

Номер патента: WO1991002995A1. Автор: Rolf STRÖMBERG. Владелец: Stroemberg Rolf. Дата публикации: 1991-03-07.

Method and system for traversing planned path in marked facility

Номер патента: WO2021148883A1. Автор: Venkadesh M. Владелец: GREYORANGE PTE. LTD.. Дата публикации: 2021-07-29.

Analog read and write paths in a solid state memory device

Номер патента: EP2263154A2. Автор: Vishal Sarin,Frankie F. Roohparvar. Владелец: Micron Technology Inc. Дата публикации: 2010-12-22.

Method for protecting and unprotecting the fluid path in a controlled environment enclosure

Номер патента: US12111329B2. Автор: Christopher A. Procyshyn,Marcin Cichy. Владелец: VanRx Pharmasystems Inc. Дата публикации: 2024-10-08.

Motion control system with minimum time path generation

Номер патента: US4769583A. Автор: Robert M. Goor. Владелец: Motors Liquidation Co. Дата публикации: 1988-09-06.

Wire electrical discharge machine which corrects machining path in corner portion

Номер патента: US09724776B2. Автор: Kaoru Hiraga. Владелец: FANUC Corp. Дата публикации: 2017-08-08.

Apparatuses And Methods For A Superconducting Explosive

Номер патента: US20210293508A1. Автор: Mark J. Clemen, Jr.,Keith A. Coleman. Владелец: Boeing Co. Дата публикации: 2021-09-23.

Method for transiting a metal conductor into a superconducting state

Номер патента: CA2601517A1. Автор: Gennadij Alexandrovich Markov. Владелец: Individual. Дата публикации: 2005-09-22.

Wireless system for charging an electric battery for a motor vehicle suit able for use in a car park

Номер патента: US20240336151A1. Автор: Nicolas Lallouet. Владелец: Nexans SA. Дата публикации: 2024-10-10.

Hatch arrangement for closing an opening in a floor of a wind turbine and a wind turbine

Номер патента: US11506188B2. Автор: Thorkil Munk-Hansen. Владелец: Siemens Gamesa Renewable Energy AS. Дата публикации: 2022-11-22.

Preferred break points and paths in airframes for ballistic parachute systems

Номер патента: US10518889B1. Автор: Amy Qian,Damon Vander Lind. Владелец: Kitty Hawk Corp. Дата публикации: 2019-12-31.

Printhead cartridge for releasable mounting in a printer

Номер патента: US20110316944A1. Автор: Kia Silverbrook,Akira Nakazawa,Norman Micheal Berry. Владелец: SILVERBROOK RESEARCH PTY LTD. Дата публикации: 2011-12-29.

Preferred break points and paths in airframes for ballistic parachute systems

Номер патента: WO2020076419A2. Автор: Amy Qian,Damon Vander Lind. Владелец: Kitty Hawk Corporation. Дата публикации: 2020-04-16.

Satellite attitude control system using a superconducting magnetic loop

Номер патента: US5141180A. Автор: Alain Reboux. Владелец: AIRBUS GROUP SAS. Дата публикации: 1992-08-25.

A superconducting light-emitting laminate lamp

Номер патента: EP4246034A1. Автор: Fangxiao CHEN. Владелец: Zhongshan Nanduo Lighting Co Ltd. Дата публикации: 2023-09-20.

Excessive substrate media height detection in a printing apparatus

Номер патента: US20130257956A1. Автор: Joannes N. M. de Jong,Thomas J. Wyble. Владелец: Xerox Corp. Дата публикации: 2013-10-03.

Apparatus and methods for closing flow paths in wellbores

Номер патента: US09869154B2. Автор: Juan C. Flores,Edward O'malley,Beau R. Wright. Владелец: Baker Hughes Inc. Дата публикации: 2018-01-16.

Rotary Printhead Disc In A Rotary Inkjet Imaging Apparatus

Номер патента: US20100165043A1. Автор: Donald Paul McCoy,Mark Connelly Ott,David Charles Weatherly. Владелец: Individual. Дата публикации: 2010-07-01.

Tire having a conductive path in a sidewall

Номер патента: US12083834B2. Автор: Ruben L. MADRID. Владелец: Bridgestone Americas Tire Operations LLC. Дата публикации: 2024-09-10.

Reaction circuit design in microfluidic circuits

Номер патента: US20180104690A1. Автор: Paul BLAINEY,Soohong Kim,Son Hoang,Huaibin (Eli) Zhang,Jillian Nolan. Владелец: Broad Institute Inc. Дата публикации: 2018-04-19.

FLATBAND VOLTAGE ADJUSTMENT IN A SEMICONDUCTOR DEVICE

Номер патента: US20120001253A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Optical sensor for use in a domestic washing machine or dishwasher

Номер патента: US20120001099A1. Автор: Schenkl Johann,Signorino Manfredi,Brabec Martin. Владелец: EMZ-HANAUER GMBH & CO. KGAA. Дата публикации: 2012-01-05.

Process for Finger Insertion and Removal in a Rake Receiver and Receiver for Carrying Out The Process

Номер патента: US20120002769A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

DUAL OPTICAL PATH PRISM AND CAMERA IN A MINIMALLY INVASIVE SURGICAL SYSTEM

Номер патента: US20120002956A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Switch for use in a hybrid circuit breaker

Номер патента: WO2024193853A1. Автор: Matthias KATZENSTEINER,Tanmay Pralhad TAMBOLI,Rushikesh Bhagvat SOLASE. Владелец: Eaton Intelligent Power Limited. Дата публикации: 2024-09-26.

Ternary circuit design unit and decoder-switches based thereon

Номер патента: RU2461122C1. Автор: Сергей Петрович Маслов. Владелец: Сергей Петрович Маслов. Дата публикации: 2012-09-10.

Circuit design and vehicle traction transformer electric locomotives

Номер патента: SK6037Y1. Автор: Jozef Buday,Jozef Kuchta. Владелец: Evpu As. Дата публикации: 2012-03-02.