Method for etching silicon wafer

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method for etching high aspect ratio structures

Номер патента: WO2024205690A1. Автор: Tong Liu,Qian Fu,FENG Qiao,Sangjun Park,Hailong Zhou,Jayoung Choi,Radhe AGARWAL. Владелец: Applied Materials, Inc.. Дата публикации: 2024-10-03.

Method for etching features in dielectric layers

Номер патента: US09673058B1. Автор: Eric Hudson,John Holland,Leonid Belau,Scott Briggs,Mark Wilcoxson. Владелец: Lam Research Corp. Дата публикации: 2017-06-06.

Method For Reducing Reactive Ion Etch Lag in Low K Dielectric Etching

Номер патента: US20180358227A1. Автор: Christopher Cole,Angelique D. Raley,Andrew W. METZ. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-12-13.

Methods for etching materials using synchronized RF pulses

Номер патента: US9269587B2. Автор: Daisuke Shimizu,Sergio Fukuda Shoji,Jong Mun Kim,Katsumasa Kawasaki. Владелец: Applied Materials Inc. Дата публикации: 2016-02-23.

Pressure purge etch method for etching complex 3-D structures

Номер патента: US09870932B1. Автор: Joydeep Guha,Pilyeon Park. Владелец: Lam Research Corp. Дата публикации: 2018-01-16.

Method for etching high-k metal gate stack

Номер патента: US09514943B1. Автор: Hae-Jung Lee,Su-Bum Shin. Владелец: SK hynix Inc. Дата публикации: 2016-12-06.

Method for etching high-k metal gate stack

Номер патента: US09431255B2. Автор: Hae-Jung Lee,Su-Bum Shin. Владелец: SK hynix Inc. Дата публикации: 2016-08-30.

Method for etching organic film

Номер патента: US09735027B2. Автор: Takayuki Katsunuma,Masanobu Honda,Chungjong Lee. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-08-15.

Method for etching silicon surface

Номер патента: US5902452A. Автор: C. C. Chang,M. C. Cheng,J. S. Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 1999-05-11.

Method for Etching Features in a Layer in a Substrate

Номер патента: US20240234158A1. Автор: Yusuke Yoshida,Ken Kobayashi,Yun Han,Aelan Mosden,Jason MARION,Indroneil Roy. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-07-11.

Microelectronic method for etching a layer

Номер патента: US09570317B2. Автор: Olivier Joubert,Nicolas Posseme,Laurent Vallier. Владелец: Universite Joseph Fourier Grenoble 1. Дата публикации: 2017-02-14.

Methods for dry etching semiconductor devices

Номер патента: US09484216B1. Автор: Roy H. Olsson,Andrew John Gross,Peggy J. Clews,Todd Bauer. Владелец: Sandia Corp. Дата публикации: 2016-11-01.

Method for conditioning silicon part

Номер патента: US09947558B2. Автор: LIN Xu,Hong Shih,John Daugherty,Satish Srinivasan,Robin Koshy. Владелец: Lam Research Corp. Дата публикации: 2018-04-17.

Method for obtaining extreme selectivity of metal nitrides and metal oxides

Номер патента: US20130244426A1. Автор: Kevin R. Shea. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2013-09-19.

Decapsulator with applied voltage and etchant cooling system for etching plastic-encapsulated devices

Номер патента: US20140342572A1. Автор: Alan M. Wagner. Владелец: NISENE Tech GROUP. Дата публикации: 2014-11-20.

Method for etching multilayer film

Номер патента: US10790152B2. Автор: Tamotsu Morimoto,Takuya Kubo,Song Yun Kang. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-09-29.

Compositions and methods for selectively etching silicon nitride films

Номер патента: EP4189728A1. Автор: Daniela White,Emanuel I. Cooper,Steven M. Bilodeau. Владелец: Entegris Inc. Дата публикации: 2023-06-07.

Compositions and methods for selectively etching silicon nitride films

Номер патента: US20220033710A1. Автор: Daniela White,Emanuel I. Cooper,Steven M. Bilodeau. Владелец: Entegris Inc. Дата публикации: 2022-02-03.

Method for fabricating FinFET with separated double gates on bulk silicon

Номер патента: US09478641B2. Автор: JIA Li,Ru Huang,Xiaoyan Xu,Jiewen Fan,Runsheng Wang. Владелец: PEKING UNIVERSITY. Дата публикации: 2016-10-25.

Method and device for etching silicon oxide

Номер патента: US11715641B2. Автор: Akifumi YAO,Shoi Suzuki. Владелец: Central Glass Co Ltd. Дата публикации: 2023-08-01.

Method and device for etching silicon oxide

Номер патента: US12125709B2. Автор: Akifumi YAO,Shoi Suzuki. Владелец: Central Glass Co Ltd. Дата публикации: 2024-10-22.

Aqueous Solution for Etching Silicon Oxide

Номер патента: US20220098485A1. Автор: Guy Vereecke. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2022-03-31.

Method and device for etching silicon oxide

Номер патента: US20230274944A1. Автор: Akifumi YAO,Shoi Suzuki. Владелец: Central Glass Co Ltd. Дата публикации: 2023-08-31.

Composition for etching and method for manufacturing semiconductor device using same

Номер патента: US20200263087A1. Автор: Jin Uk Lee,Jae Wan Park,Jung Hun Lim. Владелец: Soulbrain Co Ltd. Дата публикации: 2020-08-20.

Composition for etching and method for manufacturing semiconductor device using same

Номер патента: US20210054281A1. Автор: Jin Uk Lee,Jae Wan Park,Jung Hun Lim. Владелец: Soulbrain Co Ltd. Дата публикации: 2021-02-25.

Composition for etching and method for manufacturing semiconductor device using same

Номер патента: US20210054286A1. Автор: Jin Uk Lee,Jae Wan Park,Jung Hun Lim. Владелец: Soulbrain Co Ltd. Дата публикации: 2021-02-25.

Composition for etching and method for manufacturing semiconductor device using same

Номер патента: US20210054285A1. Автор: Jin Uk Lee,Jae Wan Park,Jung Hun Lim. Владелец: Soulbrain Co Ltd. Дата публикации: 2021-02-25.

Composition for etching and method for manufacturing semiconductor device using same

Номер патента: US20210054284A1. Автор: Jin Uk Lee,Jae Wan Park,Jung Hun Lim. Владелец: Soulbrain Co Ltd. Дата публикации: 2021-02-25.

Composition for etching and method for manufacturing semiconductor device using same

Номер патента: US20210054282A1. Автор: Jin Uk Lee,Jae Wan Park,Jung Hun Lim. Владелец: Soulbrain Co Ltd. Дата публикации: 2021-02-25.

Liquid mixture and method for selectively wet etching silicon germanium

Номер патента: US20180277379A1. Автор: Christian Fischer,Dries Dictus. Владелец: LAM RESEARCH AG. Дата публикации: 2018-09-27.

Method for reducing metal contamination of silicon wafers during semiconductor manufacturing

Номер патента: US5637151A. Автор: Peter Schulz. Владелец: Siemens Components Inc. Дата публикации: 1997-06-10.

A method for high temperature heating of silicon wafer

Номер патента: KR100423754B1. Автор: 윤성호,김건,문영희. Владелец: 주식회사 실트론. Дата публикации: 2004-03-22.

Method for removing surface layer on silicon wafer

Номер патента: TW200802590A. Автор: Hiroshi Yamada,Shoichi Kakuya. Владелец: Kyushu Dentsu Co Ltd. Дата публикации: 2008-01-01.

Methods for etch of SiN films

Номер патента: US09842744B2. Автор: Nitin Ingle,Anchuan Wang,Jingchun Zhang. Владелец: Applied Materials Inc. Дата публикации: 2017-12-12.

Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures

Номер патента: US11798811B2. Автор: Fabrizio MARCHEGIANI. Владелец: American Air Liquide Inc. Дата публикации: 2023-10-24.

Systems and methods for etching a high aspect ratio structure

Номер патента: US20240120209A1. Автор: Eric A. Hudson,Takumi Yanagawa,Nikhil Dole,Merrett Wong,Aniruddha JOI. Владелец: Lam Research Corp. Дата публикации: 2024-04-11.

Plasma etch method for forming plasma etched silicon layer

Номер патента: SG117384A1. Автор: HO Kwok Keung Paul,Xue Chun Dai. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2005-12-29.

Method for etching high aspect ratio structures

Номер патента: US20240332031A1. Автор: Tong Liu,Qian Fu,FENG Qiao,Sangjun Park,Hailong Zhou,Jayoung Choi,Radhe AGARWAL. Владелец: Applied Materials Inc. Дата публикации: 2024-10-03.

Methods for minimizing mask undercuts and notches for plasma processing system

Номер патента: WO2008005630A2. Автор: Alferd Cofer,Tamarak Pandhumsoporn,William Bosch. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2008-01-10.

Methods for adjusting critical dimension uniformity in an etch process

Номер патента: SG153011A1. Автор: Changhun Lee,Guowen Ding,Teh-Tien Su. Владелец: Applied Materials Inc. Дата публикации: 2009-06-29.

Fast-gas switching for etching

Номер патента: US09640408B2. Автор: Saravanapriyan Sriraman,Alexander Paterson. Владелец: Lam Research Corp. Дата публикации: 2017-05-02.

Method for etching a metal layer in a semiconductor device

Номер патента: US20040154186A1. Автор: Sang Hun Oh. Владелец: Anam Semiconductor Inc. Дата публикации: 2004-08-12.

Method for etching object to be processed

Номер патента: US09947864B2. Автор: Tamotsu Morimoto,Song Yun Kang. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-04-17.

Fast-gas switching for etching

Номер патента: US20160141150A1. Автор: Saravanapriyan Sriraman,Alexander Paterson. Владелец: Lam Research Corp. Дата публикации: 2016-05-19.

Methods for etching edge of silicon wafer

Номер патента: CN101981664B. Автор: G·张,P·D·阿尔布雷克特,H·F·埃瑞克,E·R·霍兰德,T·E·多恩,J·A·施密特,R·R·旺达姆. Владелец: SunEdison Inc. Дата публикации: 2013-08-28.

Method for evaluating crystal defects of silicon wafer

Номер патента: EP1734572B1. Автор: Hideki Shin-Etsu Handotai Co. Ltd. SATO. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2013-05-01.

Method for analysis of impurities in silicon wafer through local etching

Номер патента: KR100557702B1. Автор: 김영훈. Владелец: 주식회사 실트론. Дата публикации: 2006-03-07.

METHOD FOR METALLIZING A SINGLE-CRYSTAL SILICON WAFER

Номер патента: FR2537778B1. Автор: Herbert J Gould,Anders Nilarp. Владелец: International Rectifier Corp USA. Дата публикации: 1986-12-19.

Method For Evaluating Crystal Defects Of Silicon Wafer

Номер патента: US20070204789A1. Автор: Hideki Sato. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2007-09-06.

Method for etching etching target layer

Номер патента: US09418863B2. Автор: Hiroshi Okada,Yoshiki Igarashi,Shin Hirotsu,Tomonori Miwa. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-08-16.

Highly selective etching methods for etching dielectric materials

Номер патента: US09595451B1. Автор: Liming Yang,Gene Lee,Hailong Zhou. Владелец: Applied Materials Inc. Дата публикации: 2017-03-14.

Methods for barrier layer removal

Номер патента: US09514953B2. Автор: Srinivas D. Nemani,He REN,Mehul Naik,Chia-Ling Kao,Sean Kang,Jeremiah T. Pender. Владелец: Applied Materials Inc. Дата публикации: 2016-12-06.

Method for bonding and interconnecting integrated circuit devices

Номер патента: US09960080B2. Автор: Eric Beyne. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2018-05-01.

Method for etching metal layer of a semiconductor device using hard mask

Номер патента: US6008135A. Автор: Yong-Tak Lee,Sang-Jeong Oh. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-12-28.

Method for etching of sio2 layers on thin wafers

Номер патента: WO2013092759A2. Автор: Reiner Fischer,Marcello Riva,Gerd Walther. Владелец: SOLVAY SA. Дата публикации: 2013-06-27.

Method for etching of sio2 layers on thin wafers

Номер патента: WO2013092759A3. Автор: Reiner Fischer,Marcello Riva,Gerd Walther. Владелец: SOLVAY SA. Дата публикации: 2014-02-20.

Method for manufacturing semiconductor device, and method for processing etching-target film

Номер патента: US8124322B2. Автор: Eiichi Soda. Владелец: Renesas Electronics Corp. Дата публикации: 2012-02-28.

Method for etching a silicon containing surface

Номер патента: WO2019048693A1. Автор: Maxim Kelman,Clement Merckling,M. Ziaul Karim,Elie Schapmans,Francesco BUTTITTA,Sergej PASKO. Владелец: AIXTRON SE. Дата публикации: 2019-03-14.

Process for etching silicon dioxide layer without micro masking effect

Номер патента: US5296094A. Автор: Hongging Shan,Donald W. Jillie, Jr.. Владелец: Intel Corp. Дата публикации: 1994-03-22.

System and method for etching organic anti-reflective coating from a substrate

Номер патента: WO1999030360A1. Автор: YAN Ye,Chun Yan,Diana Ma. Владелец: Applied Materials, Inc.. Дата публикации: 1999-06-17.

Method for fabricating a via

Номер патента: US6100183A. Автор: Chi-Cheng Yang,Ching-Hsing Hsieh,Tsung-Yuan Hung,William Lu. Владелец: United Semiconductor Corp. Дата публикации: 2000-08-08.

Method for etching dual damascene structures in organosilicate glass

Номер патента: US6410437B1. Автор: Janet M. Flanner,Ian Morey. Владелец: Lam Research Corp. Дата публикации: 2002-06-25.

Method for etching oxide film in plasma etching system

Номер патента: US6103137A. Автор: Jae-Hyun Park. Владелец: LG Semicon Co Ltd. Дата публикации: 2000-08-15.

Electronic component and method for etching an insulating layer of a component

Номер патента: US20010055664A1. Автор: Manfred Engelhardt,Volker Weinrich. Владелец: Individual. Дата публикации: 2001-12-27.

Method for etching high aspect-ratio multilevel contacts

Номер патента: US5906948A. Автор: Erik S. Jeng,Hao-Chieh Liu. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 1999-05-25.

Thermal treatment method of silicon wafer and silicon wafer

Номер патента: US20120241912A1. Автор: Koji Araki,Takeshi Senda. Владелец: Covalent Materials Corp. Дата публикации: 2012-09-27.

Corrosion method of passivation layer of silicon wafer

Номер патента: US09812334B2. Автор: Qiliang Sun. Владелец: CSMC Technologies Fab1 Co Ltd. Дата публикации: 2017-11-07.

Method for removing crystal defects in silicon wafers

Номер патента: US5902135A. Автор: Hans-Joachim Schulze. Владелец: SIEMENS AG. Дата публикации: 1999-05-11.

Method for etching silicon substrate using plasma gas

Номер патента: US20170263463A1. Автор: Jun-Hyun Kim,Chang-Koo Kim,Sung-Woon Cho. Владелец: Ajou University Industry Academic Cooperation Foundation. Дата публикации: 2017-09-14.

Method for etching features in a stack

Номер патента: WO2024178234A1. Автор: Eric Hudson,Leonid Belau. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2024-08-29.

Method for plasma etching a layer based on a iii-n material

Номер патента: EP4441778A1. Автор: Nicolas Posseme,Simon Ruel,Patricia PIMENTA BARROS,Bryan Helmer,Philippe Thoueille. Владелец: Lam Research Corp. Дата публикации: 2024-10-09.

Apparatus and method for controlling etch depth

Номер патента: US6939811B2. Автор: Alan J. Miller,Vijayakumar C. Venugopal,Tom A. Kamp. Владелец: Lam Research Corp. Дата публикации: 2005-09-06.

Method for producing silicon wafer

Номер патента: EP4328958A1. Автор: Tatsuo Abe,Yuki Tanaka. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-02-28.

Bulk silicon wafer product useful in the manufacture of three dimensional multigate mosfets

Номер патента: EP2329516A1. Автор: Michael R. Seacrist. Владелец: SunEdison Inc. Дата публикации: 2011-06-08.

Method and apparatus for etching a deep trench

Номер патента: EP1420438A2. Автор: AJAY Kumar,Padmapani C. Nallan,Shu-Ting S. Hsu. Владелец: Applied Materials Inc. Дата публикации: 2004-05-19.

Method for analyzing metal contamination of silicon wafer and method for manufacturing silicon wafer

Номер патента: CN110494734B. Автор: 水野泰辅. Владелец: Sumco Corp. Дата публикации: 2022-04-12.

Liquid mixture and method for selectively wet etching silicon germanium

Номер патента: US20180277379A1. Автор: Christian Fischer,Dries Dictus. Владелец: LAM RESEARCH AG. Дата публикации: 2018-09-27.

Method for etching silicon wafer

Номер патента: US20230178390A1. Автор: Kuniaki Oonishi. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-06-08.

Method for etching layer to be etched

Номер патента: US09647206B2. Автор: Mitsuru Hashimoto,Takashi Sone,Eiichi Nishimura,Keiichi Shimoda. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-05-09.

Method for etching semiconductor substrate and etchant

Номер патента: EP3926664A1. Автор: Hirokuni Saito,Isao Hirano. Владелец: Tokyo Ohka Kogyo Co Ltd. Дата публикации: 2021-12-22.

Methods for chemically etching a target layer

Номер патента: US20240363358A1. Автор: Timothee Blanquart,Charles DEZELAH,René Henricus Jozef Vervuurt. Владелец: ASM IP Holding BV. Дата публикации: 2024-10-31.

Method for etching gate stack

Номер патента: US20120295431A1. Автор: John Foster,Kim Van Berkel. Владелец: Intermolecular Inc. Дата публикации: 2012-11-22.

Method for etching gate stack

Номер патента: US20130285159A1. Автор: John Foster,Kim Van Berkel. Владелец: Intermolecular Inc.. Дата публикации: 2013-10-31.

Method for etching gate stack

Номер патента: US8575016B1. Автор: John Foster,Kim Van Berkel. Владелец: Intermolecular Inc. Дата публикации: 2013-11-05.

Method for etching gate stack

Номер патента: WO2012162185A2. Автор: John Foster,Kim Van Berkel. Владелец: INTERMOLECULAR, INC.. Дата публикации: 2012-11-29.

Method for processing a silicon wafer

Номер патента: US09934988B2. Автор: Hans-Joachim Schulze,Werner Schustereder,Helmut Oefner,Sandeep Walia. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-04-03.

Method for producing silicon wafer

Номер патента: US09425056B2. Автор: Hiromasa Hashimoto,Takuya Sasaki,Kazuya Sato,Ayumu Sato. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2016-08-23.

Methods for etching through-wafer vias in a wafer

Номер патента: US09711364B2. Автор: Elena Becerra Woodard,Daniel Kwadwo Amponsah Berkoh,Dean G. Scott. Владелец: Skyworks Solutions Inc. Дата публикации: 2017-07-18.

Decapsulator with applied voltage and etchant cooling system for etching plastic-encapsulated devices

Номер патента: US09543173B2. Автор: Alan M. Wagner. Владелец: NISENE Tech GROUP. Дата публикации: 2017-01-10.

Improved method of antisotropically etching silicon, wafers and wafer etching solution.

Номер патента: MY105972A. Автор: Wilbur Austin Larry,George Linde Harold. Владелец: Ibm. Дата публикации: 1995-02-28.

Process for etching a silicon wafer

Номер патента: WO2000072368A9. Автор: Anca Stefanescu,Henry F Erk,Tom Doane,Judy Schmidt. Владелец: Memc Electronic Materials. Дата публикации: 2002-04-18.

Method for making high-voltage thick gate oxide

Номер патента: US11967520B2. Автор: Junwen LIU. Владелец: Hua Hong Semiconductor Wuxi Co Ltd. Дата публикации: 2024-04-23.

Etched silicon based devices and methods for their preparation

Номер патента: US20210126211A1. Автор: Muhammad Y. BASHOUTI. Владелец: BG Negev Technologies and Applications Ltd. Дата публикации: 2021-04-29.

Etched silicon based devices and methods for their preparation

Номер патента: EP3746396A1. Автор: Muhammad Y. BASHOUTI. Владелец: BG Negev Technologies and Applications Ltd. Дата публикации: 2020-12-09.

Method for reducing contamination prior to epitaxial growth and related structure

Номер патента: US20020182882A1. Автор: Klaus Schuegraf,David Chapek. Владелец: Conexant Systems LLC. Дата публикации: 2002-12-05.

Method for dry-etching semiconductor substrate and method for dry-etching silicon oxide film

Номер патента: US20230207399A1. Автор: Tatsuo Abe,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-06-29.

Etching method for photoresists or polymers

Номер патента: US5007983A. Автор: Narcinda R. Lerner,Theodore J. Wydeven, Jr.. Владелец: National Aeronautics and Space Administration NASA. Дата публикации: 1991-04-16.

Improved method for etching vias

Номер патента: EP1493177A2. Автор: David J. Johnson,Russell Westerman. Владелец: Unaxis USA Inc. Дата публикации: 2005-01-05.

Improved method for etching vias

Номер патента: WO2003088313A2. Автор: David J. Johnson,Russell Westerman. Владелец: Unaxis Usa, Inc.. Дата публикации: 2003-10-23.

Method for etching GaN material

Номер патента: EP1120483A3. Автор: Michael Wojtowicz,Michael E Barsky,Rajinder R. Sandhu. Владелец: TRW Inc. Дата публикации: 2001-12-05.

Method for cleaning silicon wafer, method for producing silicon wafer, and silicon wafer

Номер патента: EP4307347A1. Автор: Tatsuo Abe,Kota Fujii. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-01-17.

Method for etching GaN material

Номер патента: EP1120483A2. Автор: Michael Wojtowicz,Michael E Barsky,Rajinder R. Sandhu. Владелец: TRW Inc. Дата публикации: 2001-08-01.

Method of processing silicon wafer

Номер патента: US7601642B2. Автор: Sakae Koyata,Kazushige Takaishi. Владелец: Sumco Corp. Дата публикации: 2009-10-13.

Methods for wet atomic layer etching of copper

Номер патента: US11866831B2. Автор: ARKALGUD Sitaram,Paul Abel,Jacques Faguet,Christopher Netzband. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-01-09.

Method for improving etching rate of wet etching

Номер патента: US11869774B2. Автор: Nannan Zhang,Yen-Teng Huang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-01-09.

Polishing pad, polishing apparatus and a method for polishing silicon wafer

Номер патента: US11471997B2. Автор: Yue Xie,Youhe Sha. Владелец: Zing Semiconductor Corp. Дата публикации: 2022-10-18.

Improved method for etching vias

Номер патента: EP1493177A4. Автор: Russell Westerman,David J Johnson. Владелец: Unaxis USA Inc. Дата публикации: 2009-03-11.

Polishing pad, polishing apparatus and a method for polishing silicon wafer

Номер патента: US20220009051A1. Автор: Yue Xie,Youhe Sha. Владелец: Zing Semiconductor Corp. Дата публикации: 2022-01-13.

Dry etching method for a gallium nitride type compound semiconductor

Номер патента: US5693180A. Автор: Satoshi Sugahara,Katsuki Furukawa. Владелец: Sharp Corp. Дата публикации: 1997-12-02.

Method for etching features in a stack

Номер патента: WO2024064526A1. Автор: Eric Hudson,Leonid Belau. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2024-03-28.

Palladium-Selective Etching Solution and Method for Controlling Etching Selectivity

Номер патента: US20090184092A1. Автор: Hideki Takahashi. Владелец: Kanto Chemical Co Inc. Дата публикации: 2009-07-23.

Method for etching gate stack

Номер патента: EP2710627A2. Автор: John Foster,Kim Van Berkel. Владелец: Intermolecular Inc. Дата публикации: 2014-03-26.

Method for etching low k dielectrics

Номер патента: WO1999052135A1. Автор: YAN Ye,Chun Yan,Diana Xiaobing Ma,Gary C. Hsueh. Владелец: Applied Materials, Inc.. Дата публикации: 1999-10-14.

Methods of etching silicon-containing films on silicon substrates

Номер патента: US20110028000A1. Автор: Dmitry Poplavskyy,Elena Rogojina,Eric Rosenfeld. Владелец: INNOVALIGHT INC. Дата публикации: 2011-02-03.

Etching solution and method for aluminum nitride

Номер патента: US11929257B2. Автор: Wen Dar Liu,Yi-Chia Lee,Chung Yi Chang. Владелец: Versum Materials US LLC. Дата публикации: 2024-03-12.

Method for improving the electrical properties of a gate oxide

Номер патента: US6352939B1. Автор: Jenn-Gwo Hwu,Yen-Hao Shih. Владелец: National Science Council. Дата публикации: 2002-03-05.

Method for etching organic film and plasma etching device

Номер патента: US9293346B2. Автор: Hironori Matsuoka,Hiroyuki Takaba. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-03-22.

Method for etching dielectric films

Номер патента: US6117351A. Автор: Li Li,Don L. Yates. Владелец: Micron Technology Inc. Дата публикации: 2000-09-12.

Method for etching organic insulating film and method for fabricating semiconductor device

Номер патента: US20040058552A1. Автор: Kenichi Higuchi. Владелец: Fujitsu Ltd. Дата публикации: 2004-03-25.

Method and apparatus for etching disk-like member

Номер патента: US7494597B2. Автор: Hisaya Fukunaga,Tadamitsu Miyazaki,Kazuya Hirayama,Hiroyasu Futamura. Владелец: Sumco Techxiv Corp. Дата публикации: 2009-02-24.

Method for nickel-assisted single-stage coating and etching of silicon strips

Номер патента: WO2018208249A2. Автор: Rasit Turan,Ashkan VAKILIPOOR,Fırat ES. Владелец: Es Firat. Дата публикации: 2018-11-15.

Method for producing mirror-polished wafer

Номер патента: US09748089B2. Автор: Hiromasa Hashimoto,Yoshihiro Usami,Kazuaki Aoki,Shigeru Oba. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2017-08-29.

Semiconductor silicon wafer cleaning treatment apparatus and cleaning method

Номер патента: EP3866185A1. Автор: Kensaku Igarashi. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2021-08-18.

Method for etching copper layer

Номер патента: US20190272997A1. Автор: Hidenori Miyoshi,Kenji Matsumoto,Shigeru Tahara,Daisuke Urayama. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-09-05.

Method for manufacturing semiconductor device

Номер патента: US09881818B2. Автор: Nobuaki Yamanaka,Daisuke CHIKAMORI,Shinichirou KATSUKI. Владелец: Mitsubishi Electric Corp. Дата публикации: 2018-01-30.

Method for storing carrier for polishing wafer

Номер патента: EP1142003A1. Автор: Masaaki MEMC Electronic Materials Inc. IKEDA,Ichiro MEMC Electronic Materials Inc. YOSHIMURA. Владелец: SunEdison Inc. Дата публикации: 2001-10-10.

Method for manufacturing a functional device by forming 45-degree-surface on (100) silicon

Номер патента: US20020048962A1. Автор: Masayuki Sekimura. Владелец: Individual. Дата публикации: 2002-04-25.

Method and apparatus for etching coated substrates

Номер патента: WO2000047343A1. Автор: Todd J. Dapkus,John R. Bohland. Владелец: First Solar, Llc.. Дата публикации: 2000-08-17.

Method for etching a silicon-containing substrate

Номер патента: US09966312B2. Автор: Alok Ranjan,Sergey Voronin. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-05-08.

Method for growing barium titanate thin film

Номер патента: US20010004547A1. Автор: Hsin-Chih Liao,Ming-Kwei Lee. Владелец: National Science Council. Дата публикации: 2001-06-21.

Method for etching organic region

Номер патента: US20190326106A1. Автор: Masahiro Tabata,Ryuichi Asako,Takao FUNAKUBO. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-10-24.

Methods for selective etching of a silicon material

Номер патента: US09653310B1. Автор: Nitin K. Ingle,Anchuan Wang,Zihui Li,Xing Zhong. Владелец: Applied Materials Inc. Дата публикации: 2017-05-16.

Image pickup device and manufacturing method for image pickup device by stacking/bonding of crystalline silicon substrates

Номер патента: US09954027B2. Автор: Haruhisa Saito. Владелец: Olympus Corp. Дата публикации: 2018-04-24.

Method for cleaning silicon wafer

Номер патента: US11878329B2. Автор: Tatsuo Abe,Kensaku Igarashi. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-01-23.

Bonded wafer and method for producing bonded wafer

Номер патента: SG140581A1. Автор: Akihiko Endo,Nobuyuki Morimoto. Владелец: Sumco Corp. Дата публикации: 2008-03-28.

Method for producing mirror-polished wafer

Номер патента: US20160217998A1. Автор: Hiromasa Hashimoto,Yoshihiro Usami,Kazuaki Aoki,Shigeru Oba. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2016-07-28.

Stabilized photoresist structure for etching process

Номер патента: EP1856717A2. Автор: Ji Soo Kim,Peter Cirigliano,Zhisong Huang,Eric A. Hudson,S. M. Reza Sadjadi. Владелец: Lam Research Corp. Дата публикации: 2007-11-21.

System and method for commercial fabrication of patterned media

Номер патента: WO2009073857A1. Автор: REN Xu,Terry Bluck,Michael S. Barnes,Kevin P. Fairbairn,Ralph Kerns,Charles Liu. Владелец: INTEVAC, INC.. Дата публикации: 2009-06-11.

Method for producing an acceleration sensor

Номер патента: US5525549A. Автор: Yukihiko Tanizawa,Yoshimi Yoshino,Tsuyoshi Fukada. Владелец: NipponDenso Co Ltd. Дата публикации: 1996-06-11.

Optically flat surfaces on processed silicon wafers

Номер патента: WO1989012318A1. Автор: Philip G. Reif,Murray S. Welkowsky,Norman W. Goodwin,P.K. Vasudev. Владелец: Hughes Aircraft Company. Дата публикации: 1989-12-14.

Bonded wafer and method for producing bonded wafer

Номер патента: EP1895572A3. Автор: Akihiko Endo,Nobuyuki Morimoto. Владелец: Sumco Corp. Дата публикации: 2009-04-15.

Method of polishing silicon wafer and method of producing epitaxial wafer

Номер патента: US09824880B2. Автор: Hideki Sato. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2017-11-21.

Method for electrochemically etching a semiconductor structure

Номер патента: US20210057601A1. Автор: Peter Griffin,Tongtong ZHU,Yingjun Liu,Rachel A. OLIVER. Владелец: CAMBRIDGE ENTERPRISE LTD. Дата публикации: 2021-02-25.

Method of analyzing metal contamination of silicon wafer and method of manufacturing silicon wafer

Номер патента: US20190371616A1. Автор: Taisuke Mizuno. Владелец: Sumco Corp. Дата публикации: 2019-12-05.

Method for storing carrier for polishing wafer

Номер патента: MY130885A. Автор: Masaaki Ikeda,Ichiro Yoshimura. Владелец: Memc Electronic Materials. Дата публикации: 2007-07-31.

Apparatus and method for etching metal nitrides

Номер патента: US12009224B2. Автор: Qi Xie,Giuseppe Alessio Verni,Ren-Jie Chang. Владелец: ASM IP Holding BV. Дата публикации: 2024-06-11.

Thermal Pad for Etch Rate Uniformity

Номер патента: US20190244849A1. Автор: Yi-Wei Chiu,Xi-Zong Chen,Hua-Li HUNG,Chen-Yi Liu,Tsung Fan Yin,Chin-huei Chiu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-08-08.

Stop layer through ion implantation for etch stop

Номер патента: US09627263B1. Автор: Hong He,Junli Wang,Chiahsun Tseng,Yunpeng Yin,Siva Kanakasabapathy. Владелец: International Business Machines Corp. Дата публикации: 2017-04-18.

Apparatus and method for reducing substrate thickness and surface roughness

Номер патента: GB2623533A. Автор: MUMFORD ROLAND,Michael Day Matthew. Владелец: SPTS Technologies Ltd. Дата публикации: 2024-04-24.

METHOD FOR PRODUCTION OF A GLUED SILICON WAFER AND GLUED SILICON WAFER

Номер патента: FR3083917B1. Автор: Yoshihiro Koga. Владелец: Sumco Corp. Дата публикации: 2022-06-10.

Method for forming gettering region of silicon wafer

Номер патента: KR100309132B1. Автор: 나상근. Владелец: 박종섭. Дата публикации: 2001-12-17.

Method for residue-free anisotropic etching of aluminum and its alloys

Номер патента: WO2000029640A9. Автор: Jeffrey Stokes,Timothy R Webb,Savitha Nanjangud,Marlene Li. Владелец: Applied Materials Inc. Дата публикации: 2000-11-09.

Method for manufacturing silicon wafer and silicon wafer

Номер патента: US20240304458A1. Автор: Susumu Maeda,Hisashi Matsumura,Tatsuhiko Aoki,Toru Yamashita,Haruo Sudo. Владелец: GlobalWafers Japan Co Ltd. Дата публикации: 2024-09-12.

Epitaxial silicon wafer and method for producing the epitaxial silicon wafer

Номер патента: US20170076959A1. Автор: Toshiaki Ono,Jun Fujise. Владелец: Sumco Corp. Дата публикации: 2017-03-16.

Method for etching polycrystalline silicon, method for manufacturing semiconductor device, and etching program

Номер патента: US20130210204A1. Автор: Takayuki Sakai. Владелец: Toshiba Corp. Дата публикации: 2013-08-15.

Etching method and method for manufacturing semiconductor device

Номер патента: US20120094445A1. Автор: Shinya Sasagawa,Hiroshi Fujiki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2012-04-19.

Method for preparing soi wafer by using rapid thermal processing

Номер патента: US20190326160A1. Автор: Jie Li. Владелец: SHENYANG SILICON TECHNOLOGY CO LTD. Дата публикации: 2019-10-24.

Epitaxial silicon wafer and method for producing the same

Номер патента: EP2130953A3. Автор: Seiji Sugimoto,Kazushige Takaishi. Владелец: Sumco Corp. Дата публикации: 2011-02-23.

Production method for annealed wafer

Номер патента: US20020160591A1. Автор: Norihiro Kobayashi,Shoji Akiyama,Masaro Tamatsuka,Takatoshi Nagoya. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2002-10-31.

Method for manufacturing semiconductor wafer

Номер патента: US20130295780A1. Автор: Shinya Sadohara. Владелец: Sumco Techxiv Corp. Дата публикации: 2013-11-07.

Method for manufacturing semiconductor wafer

Номер патента: US8853103B2. Автор: Shinya Sadohara. Владелец: Sumco Techxiv Corp. Дата публикации: 2014-10-07.

Method for manufacturing semiconductor wafer

Номер патента: US20110143526A1. Автор: Shinya Sadohara. Владелец: Sumco Techxiv Corp. Дата публикации: 2011-06-16.

Method for manufacturing silicon wafer

Номер патента: US20040023518A1. Автор: Yuichi Matsumoto,Norihiro Kobayashi,Shoji Akiyama,Masaru Shinomiya,Masaro Tamatsuka. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2004-02-05.

Epitaxial silicon wafer and method for manufacturing same

Номер патента: US09412622B2. Автор: Toshiaki Ono,Shigeru Umeno. Владелец: Sumco Corp. Дата публикации: 2016-08-09.

Production method for silicon wafer

Номер патента: EP1335421B1. Автор: Satoshi c/o SHIN-ETSU HANDOTAI CO. LTD TOBE,Ken c/o SHIN-ETSU HANDOTAI CO. LTD AIHARA. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2010-12-29.

Method for making silicon wafers

Номер патента: US4597822A. Автор: John L. Benjamin,William R. Van Dell. Владелец: General Electric Co. Дата публикации: 1986-07-01.

Method for growing an epitaxial film on a phosphorous-doped silicon wafer

Номер патента: US09425264B2. Автор: Tadashi Kawashima,Masayuki Shinagawa,Naoya Nonaka,Gou Uesono. Владелец: Sumco Techxiv Corp. Дата публикации: 2016-08-23.

Methods for etching an aluminum-containing layer

Номер патента: WO1999067443A1. Автор: Robert J. O'Donnell. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 1999-12-29.

Methods for etching an aluminum-containing layer

Номер патента: WO1999067443A9. Автор: Robert J O'donnell. Владелец: Lam Res Corp. Дата публикации: 2000-06-08.

An epitaxial silicon wafer with intrinsic gettering and a method for the preparation thereof

Номер патента: WO2000034999A9. Автор: Charles C Yang,Gregory M Wilson,Jon A Rossi. Владелец: Jon A Rossi. Дата публикации: 2001-04-19.

An epitaxial silicon wafer with intrinsic gettering and a method for the preparation thereof

Номер патента: WO2000034999A3. Автор: Charles C Yang,Gregory M Wilson,Jon A Rossi. Владелец: Jon A Rossi. Дата публикации: 2000-11-16.

Methods for non-isothermal wet atomic layer etching

Номер патента: WO2023239495A1. Автор: Paul Abel. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2023-12-14.

Method for Forming Mixed Substrate

Номер патента: US20240186140A1. Автор: Tao Wang. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2024-06-06.

Method for gettering transition metal impurities in silicon crystal

Номер патента: US7157354B2. Автор: Hiroshi Yoshida. Владелец: JAPAN SCIENCE AND TECHNOLOGY AGENCY. Дата публикации: 2007-01-02.

Silicon wafer and method for producing the same

Номер патента: US20140103492A1. Автор: Izumi Fusegawa,Ryoji Hoshi,Susumu Sonokawa,Hisayuki Saito. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2014-04-17.

Method for etching Pt film of semiconductor device

Номер патента: US6004882A. Автор: Byong-sun Ju,Hyoun-woo Kim,Byeong-Yun Nam,Won-jong Yoo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-12-21.

Method for producing an epitaxial wafer

Номер патента: US20240063027A1. Автор: Katsuyoshi Suzuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-02-22.

Method for manufacturing epitaxial wafer

Номер патента: EP4283024A1. Автор: Katsuyoshi Suzuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-11-29.

Method for manufacturing silicon single crystal wafer and silicon single crystal wafer

Номер патента: US11959191B2. Автор: wei feng Qu,Shizuo Igawa. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-04-16.

Silicon wafer manufacturing method

Номер патента: EP1983562A2. Автор: Hiroshi Takeno. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2008-10-22.

Method For Manufacturing Silicon Single Crystal Wafer

Номер патента: US20090000535A1. Автор: Koji Ebara. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2009-01-01.

Techniques for etching a transition metal-containing layer

Номер патента: WO1999033086A1. Автор: Robert J. O'Donnell,Gregory J. Goldspring. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 1999-07-01.

Method of producing epitaxial silicon wafer, epitaxial silicon wafer, and method of producing solid-state image sensing device

Номер патента: US09576800B2. Автор: Takeshi Kadono. Владелец: Sumco Corp. Дата публикации: 2017-02-21.

Materials and methods for etching tungsten polycides using silicide as a mask

Номер патента: US4778563A. Автор: Clark S. Stone. Владелец: Applied Materials Inc. Дата публикации: 1988-10-18.

Improved techniques for etching an aluminum neodymium-containing layer

Номер патента: WO2000059024A1. Автор: John P. Holland,Nancy Tran,Thomas S. Choi. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2000-10-05.

Methods for etching structures with oxygen pulsing

Номер патента: US20220059366A1. Автор: Gabriela Alva,Nancy Fung. Владелец: Applied Materials Inc. Дата публикации: 2022-02-24.

Method of producing epitaxial silicon wafer, epitaxial silicon wafer, and method of producing solid-state image sensing device

Номер патента: US9396967B2. Автор: Takeshi Kadono. Владелец: Sumco Corp. Дата публикации: 2016-07-19.

Method of producing epitaxial silicon wafer, epitaxial silicon wafer, and method of producing solid-state image sensing device

Номер патента: US9224601B2. Автор: Takeshi Kadono. Владелец: Sumco Corp. Дата публикации: 2015-12-29.

Silicon wafer heat treatment method

Номер патента: US09708726B2. Автор: wei feng Qu,Fumio Tahara. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2017-07-18.

Epitaxial silicon wafer having reduced stacking faults

Номер патента: US09755022B2. Автор: Tadashi Kawashima,Masayuki Shinagawa,Naoya Nonaka,Gou Uesono. Владелец: Sumco Techxiv Corp. Дата публикации: 2017-09-05.

Method for depositing silicon feedstock material, silicon wafer, solar cell and PV module

Номер патента: US10392725B2. Автор: Frank Asbeck. Владелец: Individual. Дата публикации: 2019-08-27.

METHODS FOR ETCHING AS-CUT SILICON WAFERS AND PRODUCING SOLAR CELLS

Номер патента: US20180114691A1. Автор: Holdermann Konstantin. Владелец: . Дата публикации: 2018-04-26.

Epitaxially coated silicon wafer with <110> orientation and method for producing it

Номер патента: SG176450A1. Автор: Daub Erich,Oelkrug Hans,Schmelmer Oliver. Владелец: SILTRONIC AG. Дата публикации: 2011-12-29.

Method for bonding a pair of silicon wafers together, and a semiconductor wafer

Номер патента: US20060030123A1. Автор: Paul McCann,William Nevin,Garry O&#39;Neill. Владелец: O&#39;neill Garry P. Дата публикации: 2006-02-09.

Method for bonding a pair of silicon wafers together, and a semiconductor wafer

Номер патента: US20080026230A1. Автор: Paul McCann,William Nevin,Garry O&#39;Neill. Владелец: O&#39;neill Garry P. Дата публикации: 2008-01-31.

METHOD FOR DEPOSITING SILICON FEEDSTOCK MATERIAL, SILICON WAFER, SOLAR CELL AND PV MODULE

Номер патента: US20190085481A1. Автор: ASBECK Frank. Владелец: . Дата публикации: 2019-03-21.

Method for bubble-free bonding of silicon wafers

Номер патента: US4962879A. Автор: Ulrich M. Goesele,Volker Lehmann. Владелец: Duke University. Дата публикации: 1990-10-16.

Method for removing impurities in broken silicon wafer

Номер патента: CN104616975A. Автор: 梅川奇,傅卓理,何贤安. Владелец: Jiangxi Jiushun Technology Co Ltd. Дата публикации: 2015-05-13.

Technique method for patterning back surface of silicon wafer

Номер патента: CN103811407A. Автор: 王雷,郁新举,程晋广,成鑫华. Владелец: Shanghai Huahong Grace Semiconductor Manufacturing Corp. Дата публикации: 2014-05-21.

METHOD FOR MANUFACTURING COMPONENTS ON A SILICON WAFER

Номер патента: FR2739975B1. Автор: Philippe Gayet. Владелец: SGS Thomson Microelectronics SA. Дата публикации: 1998-03-06.

Method for optimizing maintaining period of silicon wafer carrying device

Номер патента: CN105470178A. Автор: 徐冬. Владелец: Beijing Sevenstar Electronics Co Ltd. Дата публикации: 2016-04-06.

Method for producing pn junctions in silicon wafers

Номер патента: DE1150454B. Автор: Richard Magner. Владелец: Licentia Patent Verwaltungs GmbH. Дата публикации: 1963-06-20.

The method for promoting integrated circuit corner silicon wafer service efficiency

Номер патента: CN106783731B. Автор: 任军,唐立伟. Владелец: Hefei Hengshuo Semiconductor Co Ltd. Дата публикации: 2019-09-06.

Nitrogen-containing compounds for etching semiconductor structures

Номер патента: US09659788B2. Автор: Rahul Gupta,Venkateswara R. Pallem,Vijay SURLA. Владелец: American Air Liquide Inc. Дата публикации: 2017-05-23.

Method for designing soi water and method for manufacturing soi wafer

Номер патента: SG183422A1. Автор: Susumu Kuwabara. Владелец: Shinetsu Handotai Kk. Дата публикации: 2012-09-27.

Method for manufacturing semiconductor element

Номер патента: US20060105540A1. Автор: Kousuke Hara,Toyokazu Sakata. Владелец: Individual. Дата публикации: 2006-05-18.

Method for producing bonded silicon wafer

Номер патента: US20100068867A1. Автор: Akihiko Endo,Nobuyuki Morimoto,Hideki Nishihata,Tatsumi Kusaba. Владелец: Sumco Corp. Дата публикации: 2010-03-18.

Method for producing bonded silicon wafer

Номер патента: US7927957B2. Автор: Akihiko Endo,Nobuyuki Morimoto,Hideki Nishihata,Tatsumi Kusaba. Владелец: Sumco Corp. Дата публикации: 2011-04-19.

Wafer holding device for etching process and method for controlling etch rate of a wafer

Номер патента: US20080179285A1. Автор: HAO LI,Hongtao Ma,Hongxin Fang,XiaoFeng Qiao. Владелец: SAE Magnetics HK Ltd. Дата публикации: 2008-07-31.

Systems and methods for crack detection

Номер патента: WO2019157271A3. Автор: Emanuel M. Sachs,Zhe Liu,Tonio Buonassisi,Sarah WIEGHOLD. Владелец: Massachusetts Institute of Technology. Дата публикации: 2019-11-21.

Image sensor and method for manufacturing deep trench and through-silicon via of the image sensor

Номер патента: US20210036048A1. Автор: Hong Lin. Владелец: Shanghai IC R&D Center Co Ltd. Дата публикации: 2021-02-04.

Method for producing photovoltaic cells and modules from silicon wafers

Номер патента: EP2022086A1. Автор: Göran Fajersson. Владелец: Individual. Дата публикации: 2009-02-11.

Method for producing a boron emitter on a silicon wafer

Номер патента: US20240063323A1. Автор: Stefan Peters,Enrico Jarzembowski,Maximilian Kauert. Владелец: HANWHA Q CELLS GMBH. Дата публикации: 2024-02-22.

Method for manufacturing metal wiring and method for manufacturing solid state imaging device

Номер патента: US20150263057A1. Автор: Masaki Kikuchi,Takuto Inoue,Masaharu Ogasawara. Владелец: Toshiba Corp. Дата публикации: 2015-09-17.

Method for fabricating a patterned fd-soi wafer

Номер патента: US20240170325A1. Автор: Bomy Chen,Steve Nagel. Владелец: Microchip Technology Inc. Дата публикации: 2024-05-23.

Method for fabricating a patterned fd-soi wafer

Номер патента: WO2024107824A1. Автор: Bomy Chen,Steve Nagel. Владелец: MICROCHIP TECHNOLOGY INCORPORATED. Дата публикации: 2024-05-23.

Silicon wafer and method for filling silicon hole therein

Номер патента: EP4379773A1. Автор: Dengfeng Li,Wenlong Zhang. Владелец: Tencent Technology Shenzhen Co Ltd. Дата публикации: 2024-06-05.

Method for manufacturing a semiconductor element

Номер патента: US20060286733A1. Автор: Masahiro Hayashi,Akihiro Shiraishi,Takahisa Akiba. Владелец: Seiko Epson Corp. Дата публикации: 2006-12-21.

Method for Manufacturing Simox Wafer

Номер патента: US20070178680A1. Автор: Seiichi Nakamura,Yukio Komatsu,Yoshiro Aoki,Tetsuya Nakai. Владелец: Sumco Corp. Дата публикации: 2007-08-02.

Manufacturing method for semiconductor silicon wafer

Номер патента: US12046469B2. Автор: Takeshi Senda,Shingo Narimatsu. Владелец: GlobalWafers Japan Co Ltd. Дата публикации: 2024-07-23.

Silicon wafer and method for filling silicon via thereof

Номер патента: US20230307293A1. Автор: Dengfeng Li,Wenlong Zhang. Владелец: Tencent Technology Shenzhen Co Ltd. Дата публикации: 2023-09-28.

Method for producing diamond laminated silicon wafer, and diamond laminated silicon wafer

Номер патента: EP3656896A1. Автор: Yoshihiro Koga. Владелец: Sumco Corp. Дата публикации: 2020-05-27.

Tft substrate and method for manufacturing the same

Номер патента: US20190385900A1. Автор: BO Liang. Владелец: Wuhan China Star Optoelectronics Semiconductor Display Technology Co Ltd. Дата публикации: 2019-12-19.

Method for manufacturing superjunction trench gate mosfet

Номер патента: US20240332402A1. Автор: Zhaozhao XU. Владелец: Hua Hong Semiconductor Wuxi Co Ltd. Дата публикации: 2024-10-03.

Semiconductor wafer and method for manufacturing the same

Номер патента: US09576793B2. Автор: Giuseppe Abbondanza. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2017-02-21.

Spin etching method for semiconductor wafer

Номер патента: US20090209110A1. Автор: Osamu Nagai,Ayumu Okano. Владелец: Disco Corp. Дата публикации: 2009-08-20.

Production method of epitaxial silicon wafer and vapor deposition apparatus

Номер патента: US20160083836A1. Автор: Hitoshi Takamiya,Kan Yoshitake,Motoki GOTO,Yusuke Kurozumi. Владелец: Sumco Corp. Дата публикации: 2016-03-24.

Epitaxial silicon wafer, and method for manufacturing epitaxial silicon wafer

Номер патента: US20190181007A1. Автор: Tadashi Kawashima,Naoya Nonaka,Katsuya Ookubo. Владелец: Sumco Corp. Дата публикации: 2019-06-13.

Method for etching oxide semiconductor film and plasma processing apparatus

Номер патента: US12068171B2. Автор: Masahiro Yamazaki,Shigeru Tahara. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-08-20.

Structure and method for fabricating and facilitating dataflow processor

Номер патента: US20030034488A1. Автор: Mihir Pandya,Peter Wilson,Raymond Essick. Владелец: Motorola Inc. Дата публикации: 2003-02-20.

A method for manufacturing a fluid sensor device and a fluid sensor device

Номер патента: WO2019121931A1. Автор: Aurelie Humbert,Simone Severi. Владелец: IMEC VZW. Дата публикации: 2019-06-27.

Semiconductor device and method for fabricating the same

Номер патента: US20080283924A1. Автор: Takashi Saiki,Yuka Hayami,Katsuaki Okoshi. Владелец: Fujitsu Ltd. Дата публикации: 2008-11-20.

Epitaxial silicon wafer and method for fabricating the same

Номер патента: US09496135B2. Автор: Han-Seob Cha. Владелец: MagnaChip Semiconductor Ltd. Дата публикации: 2016-11-15.

A method for etching molybdenum

Номер патента: US20240229217A9. Автор: NAKANO Teppei,Antoine Pacco. Владелец: Screen Holdings Co Ltd. Дата публикации: 2024-07-11.

Method for producing an electrostatic holding apparatus

Номер патента: US09728438B2. Автор: Alexander Stein. Владелец: Berliner Glas KGaA Herbert Kubatz GmbH and Co. Дата публикации: 2017-08-08.

Silicon dioxide cantilever support and method for silicon etched structures

Номер патента: US20110294246A1. Автор: Kalin V. Lazarov,Walter B. Meinel,Brian E. Goodlin. Владелец: Texas Instruments Inc. Дата публикации: 2011-12-01.

Silicon dioxide cantilever support and method for silicon etched structures

Номер патента: US20110291222A1. Автор: Kalin V. Lazarov,Walter B. Meinel,Brian E. Goodlin. Владелец: Texas Instruments Inc. Дата публикации: 2011-12-01.

Thermally matched readout/detector assembly and method for fabricating same

Номер патента: GB9418859D0. Автор: . Владелец: Hughes Aircraft Co. Дата публикации: 1994-11-09.

Method for encapsulating large-area semiconductor element-mounted base material

Номер патента: US09972507B2. Автор: Naoyuki Kushihara,Kazuaki Sumita. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2018-05-15.

Method for etch processing with end point detection thereof

Номер патента: US20050130330A1. Автор: Daniel Baer,Aaron Gustafson,Leonard Moravek,John Kettley. Владелец: Applied Materials Inc. Дата публикации: 2005-06-16.

Method for producing and method for designing soi wafer

Номер патента: EP2544236A4. Автор: Susumu Kuwabara. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2013-12-04.

Silicon wafer for manufacturing soi wafer, soi wafer, and method for manufacturing soi wafer

Номер патента: US20080213989A1. Автор: Seiichi Nakamura,Tetsuya Nakai,Eiji Kamiyama. Владелец: Individual. Дата публикации: 2008-09-04.

Method for handling a thin silicon wafer

Номер патента: US6884726B2. Автор: Pascal Gardes. Владелец: STMICROELECTRONICS SA. Дата публикации: 2005-04-26.

Method and apparatus for etching fine line patterns in metal on semiconductive devices

Номер патента: US3678348A. Автор: Robert L Reber,James C Huiskens. Владелец: Communications Transistor Corp. Дата публикации: 1972-07-18.

Process for etching a semiconductor lead frame

Номер патента: US5683943A. Автор: Junichi Yamada. Владелец: DAI NIPPON PRINTING CO LTD. Дата публикации: 1997-11-04.

Etching method for magnetic tunnel junction

Номер патента: US11963455B2. Автор: Lu Chen,Dongdong HU,Kaidong Xu,Dongchen CHE. Владелец: Jiangsu Leuven Instruments Co Ltd. Дата публикации: 2024-04-16.

Method for manufacturing silicon epitaxial wafer and method for manufacturing semiconductor device

Номер патента: US10734220B2. Автор: Yasushi Mizusawa. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2020-08-04.

Method for evaluating silicon wafer and method for manufacturing silicon wafer

Номер патента: US11222780B2. Автор: Tatsuo Abe,Kensaku Igarashi. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2022-01-11.

Method for manufacturing silicon epitaxial wafer and method for manufacturing semiconductor device

Номер патента: US20190228962A1. Автор: Yasushi Mizusawa. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2019-07-25.

Method for evaluating silicon wafer and method for manufacturing silicon wafer

Номер патента: US20200203233A1. Автор: Tatsuo Abe,Kensaku Igarashi. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2020-06-25.

Methods for high temperature water rinsing and drying of silicon wafers after being cleaned in hydrofluoric acid

Номер патента: US5681397A. Автор: Li Li. Владелец: Micron Technology Inc. Дата публикации: 1997-10-28.

Apparatus and method for separating a stacked arrangement

Номер патента: US09522521B2. Автор: Soon Fatt Yoon,Dawei Xu,Chiew Yong Yeo. Владелец: NANYANG TECHNOLOGICAL UNIVERSITY. Дата публикации: 2016-12-20.

GaAs anneal boat design and method for use

Номер патента: US5817179A. Автор: Hyungmo Yoo,Dong-Gi Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1998-10-06.

Method for Manufacturing Semiconductor Wafer

Номер патента: US20090047526A1. Автор: Koji Matsumoto,Masanobu Miyao,Masahiko Nakamae,Masaharu Ninomiya,Taizoh Sadoh. Владелец: Kyushu University NUC. Дата публикации: 2009-02-19.

Method for detecting resistance of a photo resist layer

Номер патента: US20160061722A1. Автор: Hui Tian. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2016-03-03.

Heat treatment jig and heat treatment method for silicon wafer

Номер патента: US20090127746A1. Автор: Takeshi Hasegawa,Hiroyuki Shiraki,Yoshinobu Nakada. Владелец: Sumco Corp. Дата публикации: 2009-05-21.

Method for forming scribe line on semiconductor wafer, and scribe line forming device

Номер патента: US20040214408A1. Автор: Haruo Wakayama. Владелец: Mitsuboshi Diamond Industrial Co Ltd. Дата публикации: 2004-10-28.

Epitaxial silicon wafer and method for manufacturing epitaxial silicon wafer

Номер патента: US20200051817A1. Автор: Toshiaki Ono,Kazuya Kodani,Kazuhisa TORIGOE. Владелец: Sumco Corp. Дата публикации: 2020-02-13.

Wafer bow reduction in composite wafer comprising a silicon wafer and a silicon carbide epitaxial layer

Номер патента: EP3245667A1. Автор: Peter Ward. Владелец: Anvil Semiconductors Ltd. Дата публикации: 2017-11-22.

Epitaxial silicon wafer, method for producing same, and method for producing semiconductor device

Номер патента: US20230317761A1. Автор: Ryo Hirose,Takeshi Kadono. Владелец: Sumco Corp. Дата публикации: 2023-10-05.

System and method for processing silicon wafers

Номер патента: EP4276890A1. Автор: Tom Wu,Andrei Istratov,Katharina Zahnweh. Владелец: SILTRONIC AG. Дата публикации: 2023-11-15.

Method of producing epitaxial silicon wafer, epitaxial silicon wafer, and method of producing solid-state image sensing device

Номер патента: US9117676B2. Автор: Takeshi Kadono. Владелец: Sumco Corp. Дата публикации: 2015-08-25.

Method of producing bonded silicon wafer

Номер патента: US20100167425A1. Автор: Takashi Sakai. Владелец: Sumco Corp. Дата публикации: 2010-07-01.

Method and apparatus for manufacturing epitaxial silicon wafer

Номер патента: US09758871B2. Автор: Kazuhiro Narahara. Владелец: Sumco Techxiv Corp. Дата публикации: 2017-09-12.

Method for doping silicon wafers using Al2 O3 /P2 O5 composition

Номер патента: US4891331A. Автор: James E. Rapp. Владелец: OI Neg TV Products Inc. Дата публикации: 1990-01-02.

Method for improving semiconductor wafer processing

Номер патента: US6010916A. Автор: Stephen D. Horton,Theodros W. Mariam. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-01-04.

Method for forming chalcogenide thin film

Номер патента: US20220213619A1. Автор: Unyong JEONG,Geonwoo KIM,Giri ANUPAM,Ghorai ARUP. Владелец: POSTECH Research and Business Development Foundation. Дата публикации: 2022-07-07.

Hybrid Silicon Wafer

Номер патента: US20120181536A1. Автор: Ryo Suzuki,Hiroshi Takamura. Владелец: JX Nippon Mining and Metals Corp. Дата публикации: 2012-07-19.

Method of processing silicon wafer and method of manufacturing liquid ejecting head

Номер патента: US20080233713A1. Автор: Yoshinao Miyata. Владелец: Seiko Epson Corp. Дата публикации: 2008-09-25.

Epitaxial-silicon-wafer manufacturing method and epitaxial silicon wafer

Номер патента: US09818609B2. Автор: Toshiaki Ono,Kazuhisa TORIGOE. Владелец: Sumco Corp. Дата публикации: 2017-11-14.

Method for doping silicon wafers

Номер патента: GB1056570A. Автор: . Владелец: CSF Compagnie Generale de Telegraphie sans Fil SA. Дата публикации: 1967-01-25.

Epitaxially coated silicon wafer and method for producing epitaxially coated silicon wafers

Номер патента: SG131085A1. Автор: Reinhard Schauer,Dr Thorsten Schneppensieper. Владелец: SILTRONIC AG. Дата публикации: 2007-04-26.

Silicon wafer processing device and method

Номер патента: US10782615B2. Автор: Gang Wang,Jie Jiang,Haijun Song,Yichao SHI,Dongliang Huang. Владелец: Shanghai Micro Electronics Equipment Co Ltd. Дата публикации: 2020-09-22.

Method for Producing a Silicon Single Crystal and a Silicon Single Crystal

Номер патента: US20070266930A1. Автор: Izumi Fusegawa,Ryoji Hoshi,Naoki Nagai. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2007-11-22.

Silicon wafer protection film trimming method and trimmer

Номер патента: US20030089692A1. Автор: Masahiro Lee. Владелец: Teikoku Taping System Co Ltd. Дата публикации: 2003-05-15.

Silicon wafer protection film trimming method and trimmer

Номер патента: US6727461B2. Автор: Masahiro Lee. Владелец: Teikoku Taping System Co Ltd. Дата публикации: 2004-04-27.

Epitaxial silicon wafer and production method thereof

Номер патента: US20120056307A1. Автор: Takayuki Kihara,Yasuyuki Hashimoto,Kazushige Takaishi. Владелец: Sumco Corp. Дата публикации: 2012-03-08.

Improved purity silicon wafer for use in advanced semiconductor devices

Номер патента: US20010007241A1. Автор: Gerald Dietze,Zbigniew Radzimski,Sean Hanna. Владелец: SEH America Inc. Дата публикации: 2001-07-12.

Method of producing epitaxial silicon wafer and epitaxial silicon wafer

Номер патента: US09631297B2. Автор: Sumihisa Masuda,Kazuhiro Narahara. Владелец: Sumco Corp. Дата публикации: 2017-04-25.

Method for etching mono-cast silicon wafers for photovoltaic cells.

Номер патента: NL2008820C2. Автор: Luca Gautero,Johannes Petrus Hermans,Ruben Knippenberg. Владелец: Otb Solar Bv. Дата публикации: 2013-11-18.

Method for inspecting and manufacturing silicon wafer, method for manufacturing semiconductor device, and silicon wafer

Номер патента: TWI267117B. Автор: Miho Iwabuchi. Владелец: Shinetsu Handotai Kk. Дата публикации: 2006-11-21.

Texturing method for pretreating surface of monocrystalline silicon wafer by electrochemical method

Номер патента: CN112899789B. Автор: 张宏,李凤,王世栋. Владелец: Xian Jiaotong University. Дата публикации: 2022-07-12.

Manufacturing method for Large area Single Crystal Silicon Wafer

Номер патента: KR101814111B1. Автор: 김수민,박성은,김현호,김동환,탁성주. Владелец: 고려대학교 산학협력단. Дата публикации: 2018-01-02.

Apparatus and method for etching one side of a semiconductor substrate

Номер патента: US20180374723A1. Автор: Stefan Reber,Kai Schillinger. Владелец: NexWafe GmbH. Дата публикации: 2018-12-27.

Method for verification of conductivity type of silicon wafer

Номер патента: US20230037569A1. Автор: XING Wei,Minghao LI,Zhongying Xue. Владелец: Zing Semiconductor Corp. Дата публикации: 2023-02-09.

Method for etching piezoelectric film and method for manufacturing piezoelectric element

Номер патента: US09620704B2. Автор: Takamichi Fujii,Akihiro Mukaiyama. Владелец: Fujifilm Corp. Дата публикации: 2017-04-11.

Preparation method for solar cell and solar cell

Номер патента: AU2022454233A1. Автор: Jianbin Fan,Guoqiang Xing,Xiajie Meng. Владелец: Tongwei Solar Chengdu Co Ltd. Дата публикации: 2024-07-18.

Quality evaluation method for silicon wafer, and silicon wafer and method of producing silicon wafer using the method

Номер патента: US09995693B2. Автор: Toshiaki Ono,Jun Fujise. Владелец: Sumco Corp. Дата публикации: 2018-06-12.

Method for etching mtj using co process chemistires

Номер патента: US20150349246A1. Автор: Benjamin Chen,Krishnakumar Mani. Владелец: III Holdings 1 LLC. Дата публикации: 2015-12-03.

Method for evaluating crystal defects

Номер патента: US20190212384A1. Автор: Hisayuki Saito. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2019-07-11.

Wafer-level etching methods for planar photonics circuits and devices

Номер патента: US12057332B2. Автор: Chen Sun,Mark Wade,Roy Edward Meade,Vladimir Stojanovic,Alexandra WRIGHT. Владелец: Ayar Labs Inc. Дата публикации: 2024-08-06.

Method for etching MTJ using co process chemistries

Номер патента: US09761633B2. Автор: Benjamin Chen,Krishnakumar Mani. Владелец: III Holdings 1 LLC. Дата публикации: 2017-09-12.

Wet etching method for an N-type bifacial cell

Номер патента: US09537037B2. Автор: Chen Zhao,Lei Shi,Fei Zheng,Zhongli RUAN,Zhongwei Zhang,Yuxue ZHAO. Владелец: SHANGHAI SHENZHOU NEW ENERGY DEVELOPMENT Co Ltd. Дата публикации: 2017-01-03.

Dry etch method for texturing silicon and device

Номер патента: US20160351734A1. Автор: Talia S. Gershon,Yun Seog Lee,Jeehwan Kim,Richard A. Haight. Владелец: International Business Machines Corp. Дата публикации: 2016-12-01.

Monolithic silicon pixel detector, and systems and methods for particle detection

Номер патента: US20190280042A1. Автор: Hans Von Känel. Владелец: G-ray Industries SA. Дата публикации: 2019-09-12.

Photovoltaic cell, method for producing the same and photovoltaic module

Номер патента: EP4421880A1. Автор: Nannan Yang,Jingsheng Jin,Guangming LIAO. Владелец: Jinko Solar Co Ltd. Дата публикации: 2024-08-28.

Photovoltaic cell, method for producing the same and photovoltaic module

Номер патента: US20240282882A1. Автор: Nannan Yang,Jingsheng Jin,Guangming LIAO. Владелец: Jinko Solar Co Ltd. Дата публикации: 2024-08-22.

Method for detecting temperature of thermal chamber

Номер патента: US12046520B2. Автор: Liying Liu,Gongbai Cao,Chihhsin Lin,Dengyong YU. Владелец: Zing Semiconductor Corp. Дата публикации: 2024-07-23.

Manufacturing method for piezoelectric ceramic chip, piezoelectric ceramic chip assembly and display device

Номер патента: US20220158079A1. Автор: YuJu CHEN. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2022-05-19.

Systems and methods for etching of metals

Номер патента: US20200404792A1. Автор: Jeffrey P. Burress,Richard D. Neufeld,Surjit Singh Dhesi. Владелец: D Wave Systems Inc. Дата публикации: 2020-12-24.

Production method of epitaxial silicon wafer and vapor deposition apparatus

Номер патента: US09670581B2. Автор: Hitoshi Takamiya,Kan Yoshitake,Motoki GOTO,Yusuke Kurozumi. Владелец: Sumco Corp. Дата публикации: 2017-06-06.

Silicon Photonics Device for LIDAR Sensor and Method for Fabrication

Номер патента: US20240151820A1. Автор: Sen Lin,Andrew Steil MICHAELS. Владелец: Aurora Operations Inc. Дата публикации: 2024-05-09.

Integrated circuit and method for fabricating an integrated circuit

Номер патента: US20040245618A1. Автор: Albrecht Mayer. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2004-12-09.

Solar cell and method for manufacturing solar cell

Номер патента: NL2034461A. Автор: Chen Yifan,Li Wenqi,ZHANG Guochun,Xie Minghui. Владелец: Jinko Solar Co Ltd. Дата публикации: 2024-07-16.

Structure and method for fabricating an optical bus

Номер патента: WO2003009398A3. Автор: George Valliath. Владелец: Motorola Inc. Дата публикации: 2003-12-04.

Structure and method for fabricating an optical bus

Номер патента: WO2003009398A2. Автор: George Valliath. Владелец: MOTOROLA, INC.. Дата публикации: 2003-01-30.

A method for making nanoscale wires and gaps for switches and transistors

Номер патента: EP1488452A2. Автор: Yong Chen,R Stanley Willimas. Владелец: Hewlett Packard Co. Дата публикации: 2004-12-22.

A method for making nanoscale wires and gaps for switches and transistors

Номер патента: EP1488452B1. Автор: Yong Chen,R Stanley Willimas. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2012-05-30.

Method for producing a packaged integrated circuit

Номер патента: US20060063292A1. Автор: Leslie Landsberger. Владелец: Microbridge Technologies Inc. Дата публикации: 2006-03-23.

Method for producing a packaged integrated circuit

Номер патента: EP1626926A2. Автор: Leslie M. Landsberger,Oleg Grudin. Владелец: Microbridge Technologies Inc. Дата публикации: 2006-02-22.

Method for producing a packaged integrated circuit with a microcavity

Номер патента: WO2004037712A8. Автор: Oleg Grudin,Leslie M Landsberger. Владелец: Leslie M Landsberger. Дата публикации: 2004-10-14.

Method for measuring extremely low oxygen concentration in silicon wafer

Номер патента: US11754497B2. Автор: Hiroyuki Saito. Владелец: GlobalWafers Japan Co Ltd. Дата публикации: 2023-09-12.

Method for measuring extremely low oxygen concentration in silicon wafer

Номер патента: US20220018761A1. Автор: Hiroyuki Saito. Владелец: GlobalWafers Japan Co Ltd. Дата публикации: 2022-01-20.

Systems and methods for silicon crack detection structure

Номер патента: US20240077531A1. Автор: Scott D. Hector,David A. Karol,Vasu P. Ganti,Date J.W. Noorlag. Владелец: Apple Inc. Дата публикации: 2024-03-07.

Color filter of illumination image sensor and method for fabricating the same

Номер патента: US09559137B2. Автор: Hao-Min CHEN,Chen-Wei Lu,Chih-Kung Chang. Владелец: VisEra Technologies Co Ltd. Дата публикации: 2017-01-31.

Method for manufacturing n-type crystalline silicon cell

Номер патента: FI20205765A1. Автор: Zhi Yang,Shude Zhang,Qingzhu WEI,Zhichun NI. Владелец: Suzhou Talesun Solar Tech Co Ltd. Дата публикации: 2021-01-25.

Compositiions and methods for improved solar cells

Номер патента: EP2932536A1. Автор: Bo Sun,Jin-An He,Joel Garcia,Robert Mateuszczyk,Stephen Postle,Ted KRUETZ. Владелец: Sun Chemical Corp. Дата публикации: 2015-10-21.

Method for manufacturing a solar cell

Номер патента: US09847436B2. Автор: Ting-Yu Chen. Владелец: EAST SUN RISING ENTERPRISE Corp. Дата публикации: 2017-12-19.

Silicon carbide carrier for wafer processing and method for making same

Номер патента: US5776391A. Автор: Thomas Sibley. Владелец: Individual. Дата публикации: 1998-07-07.

Polycrystalline Silicon Wafer

Номер патента: US20150108490A1. Автор: Ryo Suzuki,Hiroshi Takamura. Владелец: JX Nippon Mining and Metals Corp. Дата публикации: 2015-04-23.

Preparation method and application of monocrystalline silicon wafer

Номер патента: MY194767A. Автор: Tao Chen,Liming Fu,Yuhong Cao,Xiangxi Meng. Владелец: Changzhou Shichuang Energy Co Ltd. Дата публикации: 2022-12-15.

C-v characteristic measurement system and method for measuring c-v characteristics

Номер патента: US20150025826A1. Автор: Fumitaka Kume,Hisatoshi Kashino. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2015-01-22.

Method for making a MOS device

Номер патента: US5330925A. Автор: Ran-Hong Yan,Kwing F. Lee. Владелец: AT&T Bell Laboratories Inc. Дата публикации: 1994-07-19.

Apparatus and method for measuring carrier concentration in semiconductor materials

Номер патента: GB1482929A. Автор: . Владелец: Post Office. Дата публикации: 1977-08-17.

Method for Preparing Switch Transistor and Equipment for Etching the Same

Номер патента: US20140141573A1. Автор: Xiangdeng Que. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2014-05-22.

Method for detecting temperature of thermal chamber

Номер патента: US20220115274A1. Автор: Liying Liu,Gongbai Cao,Chihhsin Lin,Dengyong YU. Владелец: Zing Semiconductor Corp. Дата публикации: 2022-04-14.

Method for detecting temperature of thermal chamber

Номер патента: US20240071839A1. Автор: Liying Liu,Gongbai Cao,Chihhsin Lin,Dengyong YU. Владелец: Zing Semiconductor Corp. Дата публикации: 2024-02-29.

Method for detecting temperature of thermal chamber

Номер патента: US11923254B2. Автор: Liying Liu,Gongbai Cao,Chihhsin Lin,Dengyong YU. Владелец: Zing Semiconductor Corp. Дата публикации: 2024-03-05.

Systems and methods for direct silicon epitaxy thickness measuring

Номер патента: US20070004056A1. Автор: Lianzhong Yu,Ken Yang. Владелец: Individual. Дата публикации: 2007-01-04.

Semiconductor device, silicon wafer and method of manufacturing a silicon wafer

Номер патента: US20200161424A1. Автор: Hans-Joachim Schulze,Helmut Oefner,Nico Caspary. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2020-05-21.

Method for texturing silicon wafers, treatment liquid therefor, and use

Номер патента: AU2010220289A1. Автор: Izaaryene Maher. Владелец: Gebrueder Schmid GmbH and Co. Дата публикации: 2011-09-22.

Monolithic silicon pixel detector, and systems and methods for particle detection

Номер патента: WO2017195024A3. Автор: Hans Von Känel. Владелец: G-Ray Industries S.A.. Дата публикации: 2018-09-27.

Monolithic silicon pixel detector, and systems and methods for particle detection

Номер патента: EP3455881A2. Автор: Hans Von Känel. Владелец: G-ray Industries SA. Дата публикации: 2019-03-20.

Monolithic silicon pixel detector, and systems and methods for particle detection

Номер патента: WO2017195024A4. Автор: Hans Von Känel. Владелец: G-Ray Industries S.A.. Дата публикации: 2018-11-15.

Test wafer and method for manufacturing same

Номер патента: US20200173942A1. Автор: Shigeru Kasai. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-06-04.

Semiconductor device, silicon wafer and method of manufacturing a silicon wafer

Номер патента: US10566424B2. Автор: Hans-Joachim Schulze,Helmut Oefner,Nico Caspary. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2020-02-18.

Semiconductor device, silicon wafer and method of manufacturing a silicon wafer

Номер патента: US20180097064A1. Автор: Hans-Joachim Schulze,Helmut Oefner,Nico Caspary. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-04-05.

Hybrid Silicon Wafer

Номер патента: US20120187409A1. Автор: Ryo Suzuki,Hiroshi Takamura. Владелец: JX Nippon Mining and Metals Corp. Дата публикации: 2012-07-26.

Silicon wafer for probe bonding and probe bonding method using thereof

Номер патента: WO2005122240A1. Автор: Jung-Hoon Lee. Владелец: Phicom Corporation. Дата публикации: 2005-12-22.

Etching methods for a magnetic memory cell stack

Номер патента: WO2003077287A3. Автор: Xiaoyi Chen,Guangxiang Jin,Jeng H Hwang. Владелец: Applied Materials Inc. Дата публикации: 2004-02-26.

Method for rapidly preparing quartz needles with variable taper in batch

Номер патента: LU502114B1. Автор: Bo Zhang,Xiaofei Wang. Владелец: Jinjiang Jingchun Tech Ltd. Дата публикации: 2022-11-21.

Methods for fabricating a laser cavity

Номер патента: US20030030062A1. Автор: Joyce Yamamoto,Paige Holm,Barbara Barenburg,Fred Richard. Владелец: Motorola Inc. Дата публикации: 2003-02-13.

Method and apparatus for etching a lithography mask

Номер патента: WO2022029315A1. Автор: Horst Schneider,Markus Bauer,Petra Spies,Fan TU,Christian Felix Hermanns,Maximilian Rumler. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2022-02-10.

Method for forming channels on diffusion media for a membrane humidifier

Номер патента: US09742014B2. Автор: David A. Martinchek,Jeffrey M. Guzda. Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2017-08-22.

Deposited carbon film on etched silicon for on-chip supercapacitor

Номер патента: US12033796B2. Автор: Xuyuan Chen,Pai LU. Владелец: South-Eastern Norway, University of. Дата публикации: 2024-07-09.

Method for etching curved substrate

Номер патента: US11724962B2. Автор: Xiong Li,Xiangang Luo,Zeyu ZHAO,Xiaoliang Ma,Mingbo PU,Kaipeng LIU. Владелец: Institute of Optics and Electronics of CAS. Дата публикации: 2023-08-15.

Method for controlling etching of electrolytic capacitor foil

Номер патента: CA1146845A. Автор: John R. Hebert, Jr.,Robert W. Herzig,Joseph H. Silveira. Владелец: Sprague Electric Co. Дата публикации: 1983-05-24.

Method for controlling etching of electrolytic capacitor foil

Номер патента: US4343686A. Автор: John R. Hebert, Jr.,Robert W. Herzig,Joseph H. Silveira. Владелец: Sprague Electric Co. Дата публикации: 1982-08-10.

Polyimide-containing layer and method for etching polyimide-containing layer

Номер патента: US09982108B2. Автор: Chyi-Ming Leu,Chih-Cheng Lin. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2018-05-29.

Manufacturing method for piezoelectric ceramic chip, piezoelectric ceramic chip assembly and display device

Номер патента: US11778912B2. Автор: YuJu CHEN. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2023-10-03.

Systems and methods for etching of metals

Номер патента: CA3083617A1. Автор: Jeffrey P. Burress,Richard D. Neufeld,Surjit S. Dhesi. Владелец: D Wave Systems Inc. Дата публикации: 2020-12-18.

Apparatus and Method for Testing Semiconductor Devices

Номер патента: US20200041564A1. Автор: Christian O. Cojocneanu,Lucian Scurtu. Владелец: Testmetrix Inc. Дата публикации: 2020-02-06.

Methof for etching microchannel networks within liquid crystal polymer substrates

Номер патента: WO2006004989A3. Автор: George Steimle,David P Fries,Heather Broadbent. Владелец: Heather Broadbent. Дата публикации: 2007-01-04.

Circuit board with a substrate made of silicon and the methods for forming the same

Номер патента: US20190191554A1. Автор: Wen Yao Chang. Владелец: Individual. Дата публикации: 2019-06-20.

Method for manufacturing a buried strap contact in a memory cell

Номер патента: US20040048436A1. Автор: Peter Voigt,Gerhard Enders,Bjoern Fischer,Dietrich Bonart. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2004-03-11.

Method for etching mram magnetic tunnel junction

Номер патента: EP4207252A1. Автор: Jiahe LI,Kaidong Xu,Yuxin Yang,Taiyan PENG. Владелец: Jiangsu Leuven Instruments Co Ltd. Дата публикации: 2023-07-05.

Method for etching mram magnetic tunnel junction

Номер патента: US20230345840A1. Автор: Jiahe LI,Kaidong Xu,Yuxin Yang,Taiyan PENG. Владелец: Jiangsu Leuven Instruments Co Ltd. Дата публикации: 2023-10-26.

Methods for handling integrated circuit dies with defects

Номер патента: US20190044518A1. Автор: Dheeraj Subbareddy,Ankireddy Nalamalpu,Mahesh A. Iyer. Владелец: Intel Corp. Дата публикации: 2019-02-07.

Methods for fabricating capacitor structures using a photoresist layer

Номер патента: US5879984A. Автор: Jong-Jin Lee,Yun-Seung Shin. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-03-09.

Flexible trace surface circuit board and method for making flexible trace surface circuit board

Номер патента: US6002590A. Автор: Warren M. Farnworth,Kevin G. Duesman. Владелец: Micron Technology Inc. Дата публикации: 1999-12-14.

Method for manufacturing circuit board

Номер патента: US20190116676A1. Автор: Jun Dai,Mei Yang,Xian-qin HU. Владелец: Avary Holding Shenzhen Co Ltd. Дата публикации: 2019-04-18.

Methods for producing epitaxial wafer and silicon wafer and epitaxial wafer

Номер патента: TW575696B. Автор: Makoto Iida,Akihiro Kimura,Yoshinori Hayamizu. Владелец: Shinetsu Handotai Kk. Дата публикации: 2004-02-11.

Methods for producing epitaxially coated silicon wafers

Номер патента: SG166722A1. Автор: Dr Joerg Haberecht. Владелец: SILTRONIC AG. Дата публикации: 2010-12-29.

Method for producing silicon block and silicon wafer

Номер патента: WO2006120736A1. Автор: Hiroaki Morikawa,Shoichi Karakida,Takafumi Kawasaki. Владелец: MITSUBISHI DENKI KABUSHIKI KAISHA. Дата публикации: 2006-11-16.

Method for testing copper content of silicon wafer

Номер патента: CN112485090A. Автор: 谭继东,郭恺辰. Владелец: Xian Eswin Material Technology Co Ltd. Дата публикации: 2021-03-12.

Method for filling, on wafer, chip-level atomic clock absorption bubbles with high-purity alkali metal

Номер патента: EP3112315A8. Автор: Jian Zhu,Erwin HELL. Владелец: CETC 55 Research Institute. Дата публикации: 2017-06-28.

Processing device and processing method for etching and phosphating of metal parts

Номер патента: RU2691443C2. Автор: Йоахим ШЕНБЕРГ. Владелец: Рио Фервальтунгс Аг. Дата публикации: 2019-06-13.

Method for fabricating a blazed grating

Номер патента: US20240241296A1. Автор: Ismo Vartiainen. Владелец: DISPELIX OY. Дата публикации: 2024-07-18.

Method for making ink jet printheads

Номер патента: WO2003016831A1. Автор: Gary Raymond Williams,Brian Christopher Hart,Shauna Marie Leis. Владелец: Lexmark International, Inc.. Дата публикации: 2003-02-27.

Method and machine for etching optical lenses

Номер патента: US09925681B2. Автор: Cedric Lemaire. Владелец: Essilor International Compagnie Generale dOptique SA. Дата публикации: 2018-03-27.

Method for characterizing defects in silicon crystal

Номер патента: US20220291145A1. Автор: XING Wei,Yun Liu,Zhongying Xue. Владелец: Zing Semiconductor Corp. Дата публикации: 2022-09-15.

Method for producing single crystal, and method for producing silicon wafer

Номер патента: US10233562B2. Автор: Yasuhito Narushima,Toshimichi Kubota,Fukuo Ogawa,Masayuki Uto. Владелец: Sumco Techxiv Corp. Дата публикации: 2019-03-19.

Method for characterizing defects in silicon crystal

Номер патента: US12092588B2. Автор: XING Wei,Yun Liu,Zhongying Xue. Владелец: Zing Semiconductor Corp. Дата публикации: 2024-09-17.

Corner compensation method for fabricating MEMS and structure thereof

Номер патента: US7180144B2. Автор: Jerwei Hsieh,Weileun Fang. Владелец: WALSIN LIHWA CORP. Дата публикации: 2007-02-20.

Corner compensation method for fabricating MEMS and structure thereof

Номер патента: US6949396B2. Автор: Jerwei Hsieh,Weileun Fang. Владелец: WALSIN LIHWA CORP. Дата публикации: 2005-09-27.

Polishing method for semiconductor wafer and polishing pad used therein

Номер патента: GB9902373D0. Автор: . Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 1999-03-24.

Polishing method for semiconductor wafer and polishing pad used therein

Номер патента: MY122396A. Автор: Hisashi Masumura,Kiyoshi Suzuki,Teruaki Fukami. Владелец: Shinetsu Handotai Kk. Дата публикации: 2006-04-29.

Light guide plate, and apparatus and method for manufacturing the same

Номер патента: US20140092605A1. Автор: Youngbae Han,SunHye KANG. Владелец: LG Display Co Ltd. Дата публикации: 2014-04-03.

Method for producing at least one first and one second micromirror device

Номер патента: US20230066345A1. Автор: Heiko Stahl,Rainer Straub. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2023-03-02.

Method for utilising a waste slurry from silicon wafer production

Номер патента: AU2002215269A1. Автор: Knut Henriksen. Владелец: Metallkraft As. Дата публикации: 2002-05-27.

Method for etching a primary preform

Номер патента: US09994480B2. Автор: Igor Milicevic,Mattheus Jacobus Nicolaas Van Stralen,Johannes Antoon Hartsuiker,Gertjan KRABSHUIS. Владелец: Draka Comteq BV. Дата публикации: 2018-06-12.

Apparatus and Method for Testing Semiconductor Devices

Номер патента: US20240329134A1. Автор: Christian O. Cojocneanu,Lucian Scurtu. Владелец: Testmetrix Inc. Дата публикации: 2024-10-03.

Silicon Photonics Device for LIDAR Sensor and Method for Fabrication

Номер патента: US20240061178A1. Автор: Sen Lin,Andrew Steil MICHAELS. Владелец: Aurora Operations Inc. Дата публикации: 2024-02-22.

Silicon Photonics Device for LIDAR Sensor and Method for Fabrication

Номер патента: US20230161105A1. Автор: Sen Lin,Andrew Steil MICHAELS. Владелец: Aurora Operations Inc. Дата публикации: 2023-05-25.

Methods For Producing Epitaxially Coated Silicon Wafers

Номер патента: US20100294197A1. Автор: Joerg Haberecht. Владелец: SILTRONIC AG. Дата публикации: 2010-11-25.

Composition and method for selectively etching silicon nitride

Номер патента: US20240309272A1. Автор: WonLae KIM,SeongJin Hong,Jinwook Jeong,Juhee YEO,Younghun Park,Yeonhui Kang. Владелец: Entegris Inc. Дата публикации: 2024-09-19.

Composition and method for selectively etching silicon nitride

Номер патента: WO2024192414A1. Автор: WonLae KIM,SeongJin Hong,Jinwook Jeong,Juhee YEO,Younghun Park,Yeonhui Kang. Владелец: ENTEGRIS, INC.. Дата публикации: 2024-09-19.

Silicon photonics device for lidar sensor and method for fabrication

Номер патента: EP4437361A1. Автор: Sen Lin,Andrew Steil MICHAELS. Владелец: Aurora Operations Inc. Дата публикации: 2024-10-02.

Method for manufacturing magnetic recording medium substrates

Номер патента: US20050029687A1. Автор: Masatoshi Ishii,Toshihiro Tsumori,Ken Ohashi. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2005-02-10.

Substrate preparation method for a mems fabrication process

Номер патента: US20080053954A1. Автор: Kia Silverbrook. Владелец: SILVERBROOK RESEARCH PTY LTD. Дата публикации: 2008-03-06.

Apparatus and method for detecting tilt and vibration of a body

Номер патента: US20030213288A1. Автор: Pedro Mireles,Jose Almaraz. Владелец: Delphi Technologies Inc. Дата публикации: 2003-11-20.

Apparatus and method for detecting tilt and vibration of a body

Номер патента: EP1363105A3. Автор: José L. Almaraz,Pedro G. Mireles. Владелец: Delphi Technologies Inc. Дата публикации: 2005-07-13.

Cleaning method for EUV light generation apparatus

Номер патента: US09465307B2. Автор: Shinji Nagai,Hakaru Mizoguchi. Владелец: GIGAPHOTON INC. Дата публикации: 2016-10-11.

Methods for wet etching of noble metals

Номер патента: WO2024107260A1. Автор: Paul Abel. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2024-05-23.

Methods for wet atomic layer etching of ruthenium

Номер патента: US11802342B2. Автор: Paul Abel. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-10-31.

Method for producing silicon wafer and silicon wafer

Номер патента: US7361219B2. Автор: Ken Yoshizawa. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2008-04-22.

Method for fabricating a blazed grating

Номер патента: EP4341730A1. Автор: Ismo Vartiainen. Владелец: DISPELIX OY. Дата публикации: 2024-03-27.

Method for etching EUV material layers utilized to form a photomask

Номер патента: US8778574B2. Автор: AJAY Kumar,Madhavi Chandrachood,Keven Yu,Amitabh Sabharwal. Владелец: Applied Materials Inc. Дата публикации: 2014-07-15.

Method for patterning PLZT thin films

Номер патента: US4759823A. Автор: Dino Asselanis,Sylvia D. Mancha. Владелец: Krysalis Corp. Дата публикации: 1988-07-26.

Silicon wafer having good intrinsic getterability and method for its production

Номер патента: SG141318A1. Автор: Timo Mueller,Martin Weber,Gudrun Kissinger. Владелец: SILTRONIC AG. Дата публикации: 2008-04-28.

Systems and methods for fabricating a dental template

Номер патента: US09433479B2. Автор: Huafeng Wen,Peter G. Knopp,Loc X. Phan,Amir Abolfathi,Eric E. Kuo. Владелец: Align Technology Inc. Дата публикации: 2016-09-06.

Method for etching a plastic substrate including spraying and electrolytic regeneration

Номер патента: EP4299790A1. Автор: Jens Heydecke,Michael Muigg. Владелец: Atotech Deutschland GmbH and Co KG. Дата публикации: 2024-01-03.

Method for etching a plastic substrate including spraying and electrolytic regeneration

Номер патента: WO2024003327A1. Автор: Jens Heydecke,Michael Muigg. Владелец: Atotech Deutschland GmbH & Co. KG. Дата публикации: 2024-01-04.

Apparatus for making capsules and relative method for making capsules

Номер патента: WO2023223136A1. Автор: Enrico Davide Spreafico. Владелец: I.M.A. INDUSTRIA MACCHINE AUTOMATICHE S.P.A.. Дата публикации: 2023-11-23.

Method for fabricating suspension members for micromachined sensors

Номер патента: CA2159642C. Автор: Raymond K. Erickson. Владелец: I/O Sensors Inc. Дата публикации: 1999-08-17.

Method for etching at least one surface of a plastic substrate

Номер патента: EP4263902A1. Автор: Carl Christian Fels,Rafael-Eduard SZAMOCKI,Franziska Finn. Владелец: Atotech Deutschland GmbH and Co KG. Дата публикации: 2023-10-25.

Manufacturing method for fluxgate chip

Номер патента: US20230213598A1. Автор: Peng Wu,Yang Lv,Po Zhang,Xiaowei HOU,Liangguang ZHENG,Juping LI. Владелец: Ningbo CRRC Times Transducer Technology Co Ltd. Дата публикации: 2023-07-06.

Composition and method for creating nanoscale surface geometry on an implantable device

Номер патента: US11851772B2. Автор: Robert Vaccaro,Michael Vidra,Edward Palanko,Jordan INCERPI. Владелец: Tech Met Inc. Дата публикации: 2023-12-26.

Composition and method for creating nanoscale surface geometry on an implantable device

Номер патента: US11952523B2. Автор: Robert Vaccaro,Michael Vidra,Edward Palanko,Jordan INCERPI. Владелец: Tech Met Inc. Дата публикации: 2024-04-09.

Decapsulator with Applied Voltage for Etching Plastic-Encapsulated Devices

Номер патента: US20130082031A1. Автор: Alan M. Wagner. Владелец: NISENE Tech GROUP. Дата публикации: 2013-04-04.

System and method for processing silicon wafers

Номер патента: US20230339069A1. Автор: Tom Wu,Andrei Istratov,Katharina Zahnweh. Владелец: Siltronic Corp. Дата публикации: 2023-10-26.

Method for etching at least one surface of a plastic substrate

Номер патента: US20240003013A1. Автор: Philip Hartmann,Frank Bayer,Carl Christian Fels. Владелец: Atotech Deutschland GmbH and Co KG. Дата публикации: 2024-01-04.

System and method for processing silicon wafers

Номер патента: WO2023203035A1. Автор: Tom Wu,Andrei Istratov,Katharina Zahnweh. Владелец: SILTRONIC AG. Дата публикации: 2023-10-26.

Method for determining types of defects in monocrystalline silicon wafer

Номер патента: US20240183797A1. Автор: XING Wei,HAO WANG,Minghao LI,Yuehui Yu. Владелец: Zing Semiconductor Corp. Дата публикации: 2024-06-06.

Method for heat-treating silicon wafer and silicon wafer

Номер патента: US20060027161A1. Автор: Yoshihisa Nonogaki,Tatsumi Kusaba,Hidehiko Okuda. Владелец: Sumco Corp. Дата публикации: 2006-02-09.

Method for permanently marking glass

Номер патента: US4781792A. Автор: James V. Hogan. Владелец: Individual. Дата публикации: 1988-11-01.

Method for electrolytic etching of gray irons with Stead's reagent

Номер патента: US3996119A. Автор: Russell D. Burkhart. Владелец: Deere and Co. Дата публикации: 1976-12-07.

Process for etching holes

Номер патента: CA1101765A. Автор: Arnold Reisman,Melvin Berkenblit,Stanley Zirinsky,See A. Chan. Владелец: International Business Machines Corp. Дата публикации: 1981-05-26.

Method for dna defined etching of a graphene nanostructure

Номер патента: US20180370804A1. Автор: Michael James Darling. Владелец: NU PROMETHEAN TECHNOLOGIES Inc. Дата публикации: 2018-12-27.

Method for etching at least one surface of a plastic substrate

Номер патента: EP4298265A1. Автор: Philip Hartmann,Frank Bayer,Torsten Voss,Carl Christian Fels. Владелец: Atotech Deutschland GmbH and Co KG. Дата публикации: 2024-01-03.

Method for etching at least one surface of a plastic substrate

Номер патента: EP4251721A1. Автор: Philip Hartmann,Frank Bayer,Carl Christian Fels. Владелец: Atotech Deutschland GmbH and Co KG. Дата публикации: 2023-10-04.

Method for dna defined etching of a graphene nanostructure

Номер патента: EP2804956A1. Автор: Michael James Darling. Владелец: Individual. Дата публикации: 2014-11-26.

Method for DNA Defined Etching of a Graphene Nanostructure

Номер патента: US20140374273A1. Автор: Michael James Darling. Владелец: NU PROMETHEAN TECHNOLOGIES Inc. Дата публикации: 2014-12-25.

Method for DNA etching of a graphene nanostructure

Номер патента: US9981851B2. Автор: Michael James Darling. Владелец: NU PROMETHEAN TECHNOLOGIES Inc. Дата публикации: 2018-05-29.

Method for dna defined etching of a graphene nanostructure

Номер патента: WO2013109319A1. Автор: Michael James Darling. Владелец: Darling Michael James. Дата публикации: 2013-07-25.

Apparatus and methods for alkali vapor cells

Номер патента: EP2362282A3. Автор: Jeff A. Ridley,Daniel W. Youngner,Son T. Lu,Mary Salit. Владелец: Honeywell International Inc. Дата публикации: 2011-11-02.

Method for producing single crystal, and method for producing silicon wafer

Номер патента: US20160102418A1. Автор: Yasuhito Narushima,Toshimichi Kubota,Fukuo Ogawa,Masayuki Uto. Владелец: Sumco Techxiv Corp. Дата публикации: 2016-04-14.

Method and Plant for Etching a Fluoropolymer Substrate

Номер патента: US20160237231A1. Автор: Massimo Villano,Pasquale Stella. Владелец: Guarniflon SpA. Дата публикации: 2016-08-18.

Method and plant for etching a fluoropolymer substrate

Номер патента: EP3016997A1. Автор: Massimo Villano,Pasquale Stella. Владелец: Guarniflon SpA. Дата публикации: 2016-05-11.

Silicon wafer edge protection device

Номер патента: US09964864B2. Автор: XU Zhou,Lili GE,Fei NI,Haicang CUI. Владелец: Shanghai Micro Electronics Equipment Co Ltd. Дата публикации: 2018-05-08.

Method for etching a workpiece

Номер патента: US7479235B2. Автор: Simone Guerriero. Владелец: Ahead Magnetics Inc. Дата публикации: 2009-01-20.

Method and plant for etching a fluoropolymer substrate

Номер патента: US9481773B2. Автор: Massimo Villano,Pasquale Stella. Владелец: Guarniflon SpA. Дата публикации: 2016-11-01.

Method and plant for etching a fluoropolymer substrate

Номер патента: WO2015052736A1. Автор: Massimo Villano,Pasquale Stella. Владелец: Guarniflon S.p.A.. Дата публикации: 2015-04-16.

Method of making a solar grade silicon wafer

Номер патента: WO2008115539A1. Автор: John Carberry. Владелец: Mossey Creek Technology, Llc. Дата публикации: 2008-09-25.

Method of manufacturing silicon wafer

Номер патента: US20070068447A1. Автор: Hiroyuki Saito,Takashi Watanabe,Kazuhiko Kashima,Takeshi Senda,Koji Izunome,Yumiko Hirano. Владелец: Toshiba Ceramics Co Ltd. Дата публикации: 2007-03-29.

Epitaxial silicon wafer, method for manufacturing the same, and silicon wafer for epitaxial growth.

Номер патента: JP5250968B2. Автор: 靖行 橋本,亮 中島. Владелец: Sumco Corp. Дата публикации: 2013-07-31.

Method for preparing silver nanocrystals on silicon wafer surface

Номер патента: CN102425007A. Автор: 周春,陶斯禄,蒋一岚. Владелец: University of Electronic Science and Technology of China. Дата публикации: 2012-04-25.

Method for reducing warp of polycrystalline silicon wafer

Номер патента: CN102345166A. Автор: 江笠. Владелец: SHANGHAI HEJING SILICON MATERIAL CO Ltd. Дата публикации: 2012-02-08.

Online recovery method for waste mortar produced in silicon wafer cutting

Номер патента: CN102757856B. Автор: 杨宏伟,任耀琪. Владелец: Jiangsu Yangfan Mech & Elec Equipment Manufacturing Co ltd. Дата публикации: 2013-10-09.

Processing method for processing oxide film of silicon wafer

Номер патента: JP5452884B2. Автор: 和明 小佐々,智憲 川崎. Владелец: Sumco Techxiv Corp. Дата публикации: 2014-03-26.

Method for rescuing broken wire during silicon wafer linear cutting

Номер патента: CN102756433B. Автор: 陈益冬. Владелец: SUZHOU GCL PHOTOVOLTAIC TECHNOLOGY Co Ltd. Дата публикации: 2015-07-15.

Method for producing octangle micropores on silicon wafer

Номер патента: CN102745645A. Автор: 王宝军,黄永光,朱洪亮,刘德伟,王熙元,朱小宁. Владелец: Institute of Semiconductors of CAS. Дата публикации: 2012-10-24.

Pre-heat treatment method for imparting IG effect to silicon wafer

Номер патента: JP4107628B2. Автор: 幸男 室井,浩 小屋. Владелец: Sumco Corp. Дата публикации: 2008-06-25.

Tool feeding method for multi-wire sawing of silicon wafers

Номер патента: CN103419290A. Автор: 余志刚,程佑富. Владелец: ZHEJIANG FENGJIN PHOTOVOLTAIC TECHNOLOGY Co Ltd. Дата публикации: 2013-12-04.

DEVICE AND METHOD FOR STRIPPING A WAFER FROM A CARRIER

Номер патента: US20120000613A1. Автор: Thallner Erich. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Method for Manufacturing the Same

Номер патента: US20120001180A1. Автор: Yoshizumi Kensuke,YOKOI Tomokazu. Владелец: . Дата публикации: 2012-01-05.

OPTICAL ELEMENT AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120002285A1. Автор: Matsuda Manabu. Владелец: FUJITSU LIMITED. Дата публикации: 2012-01-05.

METHOD FOR PRODUCING SOLID STATE IMAGING DEVICE AND SOLID-STATE IMAGING DEVICE

Номер патента: US20120001292A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

METHOD FOR FORMING IMAGE SENSOR WITH SHIELD STRUCTURES

Номер патента: US20120003782A1. Автор: Byun Jeong Soo,Korobov Vladimir,Pohland Oliver. Владелец: . Дата публикации: 2012-01-05.

Method for Preparing Small Volume Reaction Containers

Номер патента: US20120003675A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ENERGY STORAGE DEVICE AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003535A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR ATOMIC LAYER DEPOSITION

Номер патента: US20120003396A1. Автор: . Владелец: Nederlandse Organisatie voor toegepast-natuurweten schappelijk onderzoek TNO. Дата публикации: 2012-01-05.

SUPERHYDROPHILIC AND OLEOPHOBIC POROUS MATERIALS AND METHODS FOR MAKING AND USING THE SAME

Номер патента: US20120000853A1. Автор: Mabry Joseph M.,TUTEJA Anish,Kota Arun Kumar,Kwon Gibum. Владелец: . Дата публикации: 2012-01-05.

Method for storing carrier for polishing wafer

Номер патента: WO2000039841A9. Автор: . Владелец: . Дата публикации: 2001-08-23.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001331A1. Автор: . Владелец: KABUSHI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

Method for producing structures for integrated circuits with insulated components

Номер патента: RU2197033C1. Автор: . Владелец: Зайцев Константин Анатольевич. Дата публикации: 2003-01-20.

Adjusting Method For Recording Condition And Optical Disc Device

Номер патента: US20120002527A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR EARLY IMAGING OF ATHEROSCLEROSIS

Номер патента: US20120003151A1. Автор: . Владелец: PURDUE RESEARCH FOUNDATION. Дата публикации: 2012-01-05.

Compositions and Methods for Treating S. Pneumoniae Infection

Номер патента: US20120003203A1. Автор: Mizrachi-Nebenzahl Yaffa,Dagan Ron. Владелец: . Дата публикации: 2012-01-05.

COMPOSITIONS AND METHODS FOR MODULATING VASCULAR DEVELOPMENT

Номер патента: US20120003208A1. Автор: Ye Weilan,Parker,Schmidt Maike,Filvaroff Ellen,IV Leon H.,Hongo Jo-Anne S.. Владелец: Genentech, Inc.. Дата публикации: 2012-01-05.

METHODS FOR TREATING OR PREVENTING IL-1BETA RELATED DISEASES

Номер патента: US20120003226A1. Автор: Scannon Patrick J.,Solinger Alan M.,Bauer Robert J.. Владелец: XOMA TECHNOLOGY LTD.. Дата публикации: 2012-01-05.

METHODS FOR PREDICTING AUTOIMMUNE DISEASE RISK

Номер патента: US20120003228A1. Автор: Smith Ken,Lyons Paul,McKinney Eoin. Владелец: Cambridge Enterprise Limited. Дата публикации: 2012-01-05.

METHODS FOR APCDD1 MEDIATED REGULATION OF HAIR GROWTH AND PIGMENTATION AND MUTANTS THEREOF

Номер патента: US20120003244A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Novel composition and methods for the treatment of psoriasis

Номер патента: US20120003246A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PRODUCING A SOLUBLE COCOA PRODUCT FROM COCOA POWDER

Номер патента: US20120003355A1. Автор: . Владелец: BARRY CALLEBAUT AG. Дата публикации: 2012-01-05.

MEANS AND METHODS FOR INVESTIGATING NUCLEIC ACID SEQUENCES

Номер патента: US20120003633A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHODS FOR ASSESSING RISK OF ALZHEIMER'S DISEASE IN A PATIENT

Номер патента: US20120003649A1. Автор: Atwood Craig S.. Владелец: WISCONSIN ALUMNI RESEARCH FOUNDATION. Дата публикации: 2012-01-05.

METHODS FOR ANTIBODY ENGINEERING

Номер патента: US20120003671A1. Автор: Yu Guo-Liang,Couto Fernando Jose Rebelo do,Hendricks Kristin B.,Wallace S. Ellen. Владелец: . Дата публикации: 2012-01-05.

METHODS FOR CULTURING UNDIFFERENTIATED CELLS USING SUSTAINED RELEASE COMPOSITIONS

Номер патента: US20120003736A1. Автор: . Владелец: REGENERATIVE RESEARCH FOUNDATION. Дата публикации: 2012-01-05.

METHODS FOR DETERMINING A BREEDING VALUE BASED ON A PLURALITY OF GENETIC MARKERS

Номер патента: US20120004112A1. Автор: Lund Mogens Sandø,Su Guosheng,Guldbrandtsen Bernt. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR THE DIAGNOSIS OF AGE-ASSOCIATED VASCULAR DISORDERS

Номер патента: US20120004133A1. Автор: Lakatta Edward G.,Wang Mingyi,Fu Zongming,Van Eyk Jennifer. Владелец: . Дата публикации: 2012-01-05.

SYSTEMS AND METHODS FOR CHARACTERIZING FAULT CLEARING DEVICES

Номер патента: US20120004867A1. Автор: . Владелец: ABB RESEARCH LTD.. Дата публикации: 2012-01-05.

SYSTEMS AND METHODS FOR POWER LINE EVENT ZONE IDENTIFICATION

Номер патента: US20120004869A1. Автор: . Владелец: ABB RESEARCH LTD.. Дата публикации: 2012-01-05.

GLOVE AND METHOD FOR PRODUCING THE SAME

Номер патента: US20120000005A1. Автор: KISHIHARA Hidetoshi,II Yasuyuki. Владелец: SHOWA GLOVE CO.. Дата публикации: 2012-01-05.

Method for Operating a Motor-Drive Device for a Home Automation Installation Comprising a Shutter Provided with Two Leaves

Номер патента: US20120000133A1. Автор: Rohee Clément. Владелец: Somfy SAS. Дата публикации: 2012-01-05.

Machine and Method for Installing Curved Hardwood Flooring

Номер патента: US20120000159A1. Автор: Young Julius. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING AMINO ACID LIQUID FERTILIZER USING LIVESTOCK BLOOD AND AMINO ACID LIQUID FERTILIZER MANUFACTURED THEREBY

Номер патента: US20120000260A1. Автор: Oh Jin Yeol. Владелец: . Дата публикации: 2012-01-05.

COMPOSITE GEAR BLANK AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120000307A1. Автор: Oolderink Rob,Nizzoli Ermanno,Vandenbruaene Hendrik. Владелец: QUADRANT EPP AG. Дата публикации: 2012-01-05.

Composition and method for dust suppression wetting agent

Номер патента: US20120000361A1. Автор: Weatherman Greg,Cash Marcia. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PRODUCING METAL THIN FILM

Номер патента: US20120000382A1. Автор: . Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

Tricalcium Phosphate Coarse Particle Compositions and Methods for Making the Same

Номер патента: US20120000394A1. Автор: Delaney David C.,Jalota Sahil,Yetkinler Duran N.. Владелец: . Дата публикации: 2012-01-05.

METHODS FOR ENHANCED PROCESSING CHAMBER CLEANING

Номер патента: US20120000490A1. Автор: . Владелец: Applied Materials, Inc.. Дата публикации: 2012-01-05.

TRANSPARENT ELECTRICALLY CONDUCTIVE LAYER AND METHOD FOR FORMING SAME

Номер патента: US20120000519A1. Автор: FREY Jonathan Mack. Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

SYSTEM AND ASSOCIATED METHOD FOR PREVENTING OVERFILLING IN A DISHWASHER

Номер патента: US20120000535A1. Автор: Poyner Dennis A.,Mitchell Glen,Duckworth Jason,DeFilippi John,Francisco Virgil J.. Владелец: . Дата публикации: 2012-01-05.

Method for the beta annealing of a workpiece produced from a Ti alloy

Номер патента: US20120000581A1. Автор: Buscher Markus,Witulski Thomas. Владелец: OTTO FUCHS KG. Дата публикации: 2012-01-05.

Method for Corrosion Protection Treatment

Номер патента: US20120000591A1. Автор: . Владелец: TESA SE. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING A DROPLET DISCHARGE HEAD

Номер патента: US20120000595A1. Автор: Mase Atsushi,Shimizu Hideki,TANAKA Hidehiko. Владелец: NGK Insulators, Ltd.. Дата публикации: 2012-01-05.

System and Method for Soil Saturation and Digging

Номер патента: US20120000710A1. Автор: Gomez Randy Christopher. Владелец: . Дата публикации: 2012-01-05.

METHODS FOR SPUTTERING A RESISTIVE TRANSPARENT BUFFER THIN FILM FOR USE IN CADMIUM TELLURIDE BASED PHOTOVOLTAIC DEVICES

Номер патента: US20120000768A1. Автор: . Владелец: PRIMESTAR SOLAR, INC.. Дата публикации: 2012-01-05.

Methods for Manufacturing a Vacuum Chamber and Components Thereof, and Improved Vacuum Chambers and Components Thereof

Номер патента: US20120000811A1. Автор: . Владелец: Kurt J. Lesker Company. Дата публикации: 2012-01-05.

HYDROPROCESSING CATALYSTS AND METHODS FOR MAKING THEREOF

Номер патента: US20120000821A1. Автор: Yang Shuwu,Reynolds Bruce Edward,Chabot Julie,Kou Bo. Владелец: CHEVRON CORPORATION. Дата публикации: 2012-01-05.

NANOPOROUS FILMS AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120000845A1. Автор: Park Han Oh,Kim Jae Ha,JIN Myung Kuk. Владелец: BIONEER CORPORATION. Дата публикации: 2012-01-05.

METHOD FOR REPAIRING GAS TURBINE BLADES AND GAS TURBINE BLADE

Номер патента: US20120000890A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ELECTRICALLY EXTENSIVELY HEATABLE, TRANSPARENT OBJECT, METHOD FOR THE PRODUCTION THEREOF, AND USE THEREOF

Номер патента: US20120000896A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

APPARATUS AND METHODS FOR USE IN FLASH DETECTION

Номер патента: US20120001071A1. Автор: SNIDER Robin Terry,MCGEE Jeffrey Dykes,PERRY Michael Dale. Владелец: General Atomics. Дата публикации: 2012-01-05.

FLEXIBLE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001173A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method for manufacturing thin film capacitor and thin film capacitor obtained by the same

Номер патента: US20120001298A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING OF SAME

Номер патента: US20120001321A1. Автор: IMAMURA Tomomi,Natsuda Tetsuo,Nishijo Yoshinosuke. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

METHOD FOR PRODUCING POLYIMIDE FILM

Номер патента: US20120001367A1. Автор: . Владелец: KANEKA CORPORATION. Дата публикации: 2012-01-05.

METHOD FOR PRODUCING ANISOPTROPIC BULK MATERIALS

Номер патента: US20120001368A1. Автор: Filippov Andrey V.,Milia Charlotte Diane. Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR CONTROLLING AN ENERGY STORAGE PACK

Номер патента: US20120001483A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Apparatus, System, and Method for Direct Phase Probing and Mapping of Electromagnetic Signals

Номер патента: US20120001656A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

DUST CORE AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120001719A1. Автор: Oshima Yasuo,Handa Susumu,Akaiwa Kota. Владелец: . Дата публикации: 2012-01-05.

SYSTEMS AND METHODS FOR COMPRESSING DATA AND CONTROLLING DATA COMPRESSION IN BOREHOLE COMMUNICATION

Номер патента: US20120001776A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

DEVICE AND METHOD FOR DIGITIZING A SIGNAL

Номер патента: US20120001783A1. Автор: Eklund Jan-Erik,Rosenbaum Linnéa. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR DRIVING LIQUID CRYSTAL DISPLAY DEVICE

Номер патента: US20120001878A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR CREATING, MANAGING, SHARING AND DISPLAYING PERSONALIZED FONTS ON A CLIENT-SERVER ARCHITECTURE

Номер патента: US20120001921A1. Автор: Escher Marc,Hoffman Franz. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR DRIVING LIQUID CRYSTAL DISPLAY DEVICE

Номер патента: US20120001953A1. Автор: Yamazaki Shunpei,Hirakata Yoshiharu. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

Apparatus, System, and Method for Increasing Measurement Accuracy in a Particle Imaging Device

Номер патента: US20120002194A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method for Determining an Analyte in a Sample

Номер патента: US20120002207A1. Автор: Lagae Liesbet,De Vlaminck Iwijn,Van Dorpe Pol. Владелец: . Дата публикации: 2012-01-05.

System and Method for Storing and Retrieving Digital Content with Physical File Systems

Номер патента: US20120002244A1. Автор: ROTHSCHILD LEIGH M.. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR DETERMINING DIFFERENCE IN DISTANCE

Номер патента: US20120002507A1. Автор: Skjold-Larsen Henning. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR TRANSMITTING CONTROL SIGNAL TO RELAY NODE AT BASE STATION IN MIMO WIRELESS COMMUNICATION SYSTEM AND APPARATUS THEREFOR

Номер патента: US20120002596A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SYSTEM FOR DATA COMMUNICATIONS, ROUTER, AND METHOD FOR DATA TRANSMISSION AND MOBILITY MANAGEMENT

Номер патента: US20120002600A1. Автор: ZHANG Gong,He Cheng,Xiang Yanping. Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR SWITCHING MOBILE STATION IDENTIFICATION IN WIRELESS COMMUNICATION SYSTEM

Номер патента: US20120002604A1. Автор: . Владелец: SAMSUNG ELECTRONICS CO. LTD.. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR TRANSCODING BETWEEN SCALABLE AND NON-SCALABLE VIDEO CODECS

Номер патента: US20120002728A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PERFORMING CONTENT SYNCHRONIZATION FOR DOWNLINK SERVICE DATA IN COLLABORATIVE MIMO AND APPARATUS THEREOF

Номер патента: US20120002741A1. Автор: Wang He,Hu Zhongji,Zhang Bijun. Владелец: . Дата публикации: 2012-01-05.

Method for determining the quantitative composition of a powder sample

Номер патента: US20120002787A1. Автор: Kern Arnt. Владелец: Bruker AXS GmbH. Дата публикации: 2012-01-05.

SYSTEMS AND METHODS FOR ONLINE IDENTITY VERIFICATION

Номер патента: US20120002847A1. Автор: Geosimonian Armen. Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR PROCESSING DATA SIGNALS

Номер патента: US20120002854A1. Автор: Hardy Christopher Judson,Khare Kedar Bhalchandra,King Kevin Franklin,Marinelli Luca. Владелец: GENERAL ELECTRIC COMPANY. Дата публикации: 2012-01-05.

METHOD FOR PERFORMING AUTOMATIC CLASSIFICATION OF IMAGE INFORMATION

Номер патента: US20120002865A1. Автор: . Владелец: CHOROS COGNITION AB. Дата публикации: 2012-01-05.

METHOD FOR FAST SCENE MATCHING

Номер патента: US20120002868A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR REDUCING NOISE IN AN IMAGE

Номер патента: US20120002896A1. Автор: Kim Yeong-Taeg,Lertrattanapanich Surapong. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR CHANNEL-ADAPTIVE ERROR-RESILIENT BURST MODE TRANSMISSION

Номер патента: US20120002961A1. Автор: de Lind van Wijngaarden Adriaan J.. Владелец: Alcatel-Lucent USA Inc.. Дата публикации: 2012-01-05.

FILLET WELD JOINT AND METHOD FOR GAS SHIELDED ARC WELDING

Номер патента: US20120003035A1. Автор: Suzuki Reiichi,Kinefuchi Masao,KASAI RYU. Владелец: Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.). Дата публикации: 2012-01-05.

MACHINE TOOL AND METHOD FOR PRODUCING GEARING

Номер патента: US20120003058A1. Автор: Hummel Erhard,Hutter Wolfgang. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING POWER STORAGE DEVICE

Номер патента: US20120003139A1. Автор: Kawakami Takahiro,Miwa Takuya. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

METHOD FOR DEDIFFERENTIATING ADIPOSE TISSUE STROMAL CELLS

Номер патента: US20120003186A1. Автор: . Владелец: SNU R&DB FOUNDATION. Дата публикации: 2012-01-05.

Compositions and Methods for the Treatment of Ophthalmic Disease

Номер патента: US20120003275A1. Автор: Donello John E.,Schweighoffer Fabien J.,Rodrigues Gerard A.,McLaughlin Anne P.,Mahé Florence. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR REDUCING PAIN

Номер патента: US20120003290A1. Автор: Ellis David J.,Miljanich George P.,Shields David E.. Владелец: . Дата публикации: 2012-01-05.

METHODS FOR INDUCING AN IMMUNE RESPONSE

Номер патента: US20120003298A1. Автор: Maj Roberto,Pattarino Franco,Mura Emanuela,Barberis Alcide. Владелец: . Дата публикации: 2012-01-05.

Compositions and Methods for Stimulation MAGP-1 to Improve the Appearance of Skin

Номер патента: US20120003332A1. Автор: Lyga John W.,Zheng Qian,Chen Siming W.,Santhanam Uma. Владелец: AVON PRODUCTS, INC.. Дата публикации: 2012-01-05.

BELTS FOR ELECTROSTATOGRAPHIC APPARATUS AND METHODS FOR MAKING THE SAME

Номер патента: US20120003415A1. Автор: FROMM Paul M.. Владелец: XEROX CORPORATION. Дата публикации: 2012-01-05.

DECORATION DEVICE AND METHOD FOR FABRICATING DECORATION DEVICE

Номер патента: US20120003426A1. Автор: . Владелец: SIPIX CHEMICAL INC.. Дата публикации: 2012-01-05.

NITRIDE CRYSTAL AND METHOD FOR PRODUCING THE SAME

Номер патента: US20120003446A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

FLAME-RETARDANT POLY LACTIC ACID-CONTAINING FILM OR SHEET, AND METHOD FOR MANUFACTURING THEREOF

Номер патента: US20120003459A1. Автор: . Владелец: NITTO DENKO CORPORATION. Дата публикации: 2012-01-05.

METHOD FOR REDUCING VOLATILE ORGANIC COMPOUNDS IN COMPOSITE RESIN PARTICLES, AND COMPOSITE RESIN PARTICLES

Номер патента: US20120003478A1. Автор: . Владелец: SEKISUI PLASTICS CO., LTD.. Дата публикации: 2012-01-05.

ELECTRODE MATERIAL AND METHOD FOR FORMING ELECTRODE MATERIAL

Номер патента: US20120003529A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

ELECTRODE STRUCTURE, CAPACITOR, BATTERY, AND METHOD FOR MANUFACTURING ELECTRODE STRUCTURE

Номер патента: US20120003544A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method for Anti-Corrosion Treatment

Номер патента: US20120003598A1. Автор: . Владелец: TESA SE. Дата публикации: 2012-01-05.

METHOD FOR INTERACTIVE LEARNING AND TRAINING

Номер патента: US20120003622A1. Автор: . Владелец: DRANE ASSOCIATES, LP. Дата публикации: 2012-01-05.

COMPOSITIONS AND METHODS FOR DETECTING MUTATIONS IN JAK2 NUCLEIC ACID

Номер патента: US20120003653A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR EVALUATING PRE-TREATMENT

Номер патента: US20120003664A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHODS FOR COUNTING CELLS

Номер патента: US20120003687A1. Автор: Liu Yi-Shao,Toner Mehmet,Bashir Rashid,Cheng Xuanhong,Irimia Daniel,Zamir Lee,Demirci Utkan,Rodriguez William R.,Yang Liju. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PREPARING A SITE-SPECIFIC PHYSIOLOGICALLY ACTIVE POLYPEPTIDE CONJUGATE

Номер патента: US20120003712A1. Автор: . Владелец: HANMI HOLDINGS CO., LTD.. Дата публикации: 2012-01-05.

Methods for Improving Viability and Productivity in Cell Culture

Номер патента: US20120003735A1. Автор: Dorai Haimanti,Ly Celia,Sauerwald McClain Tina M.. Владелец: . Дата публикации: 2012-01-05.

Method For Amplification And Functional Enhancment Of Blood Derived Progenitor Cells Using A Closed Culture System

Номер патента: US20120003738A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

IMAGE SENSOR AND MANUFACTURING METHOD FOR SAME

Номер патента: US20120003776A1. Автор: Park Sang Hyuk. Владелец: Intellectual Ventures II LLC. Дата публикации: 2012-01-05.

MANUFACTURING METHOD FOR SOLID-STATE IMAGING DEVICE

Номер патента: US20120003778A1. Автор: OOTAKE Hajime. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003811A1. Автор: . Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003823A1. Автор: Sasaki Makoto,NISHIGUCHI Taro,HARADA Shin,Okita Kyoko,Namikawa Yasuo. Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING GALLIUM NITRIDE WAFER

Номер патента: US20120003824A1. Автор: Lee Ho-jun,KIM Yong-Jin,Lee Dong-Kun,Kim Doo-Soo,Lee Kye-Jin. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING A DROPLET DISCHARGE HEAD

Номер патента: US20120003902A1. Автор: Mase Atsushi,Shimizu Hideki,TANAKA Hidehiko. Владелец: NGK Insulators, Ltd.. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR PRIORITIZING USER COMMUNICATIONS

Номер патента: US20120003959A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR ADJUSTING A RANDOM NUMBER GENERATOR USED FOR WAGERING BY ADJUSTING PAYOUTS OR USING LIQUID FUNDS

Номер патента: US20120004019A1. Автор: Schugar David,Solomon Gregg. Владелец: . Дата публикации: 2012-01-05.

HYDROPROCESSING CATALYSTS AND METHODS FOR MAKING THEREOF

Номер патента: US20120004091A1. Автор: Chabot Julie,Kou Bo. Владелец: . Дата публикации: 2012-01-05.

COMPOSITION AND METHOD FOR CONTROLLING PLANT DISEASES

Номер патента: US20120004099A1. Автор: Kurahashi Makoto,Matsuzaki Yuichi. Владелец: . Дата публикации: 2012-01-05.

METHODS FOR THE SUBCLASSIFICATION OF BREAST TUMOURS

Номер патента: US20120004118A1. Автор: Kamalakaran Sitharthan,Hicks James Bruce,Janevski Angel. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR CONSTRUCTING MUTAGENESIS LIBRARIES IN SITU

Номер патента: US20120004142A1. Автор: Shao Weilan,Le Yilin,Pei Jianjun. Владелец: Nanjing Normal University. Дата публикации: 2012-01-05.

COMPOSITIONS AND PRODUCTS CONTAINING S-EQUOL, AND METHODS FOR THEIR MAKING

Номер патента: US20120004189A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Combinational Compositions And Methods For Treatment Of Cancer

Номер патента: US20120004191A1. Автор: . Владелец: ArQule, Inc.. Дата публикации: 2012-01-05.

Methods for treating sexual dysfunction while decreasing cardiovascular risk

Номер патента: US20120004204A1. Автор: Simes Stephen,Snabes Michael,Zborowski Joanne. Владелец: BIOSANTE PHARMACEUTICALS, INC.. Дата публикации: 2012-01-05.

COMPOUNDS, COMPOSITIONS AND METHODS FOR REDUCING LIPID LEVELS

Номер патента: US20120004223A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHODS FOR TREATING BIPOLAR DISORDER

Номер патента: US20120004300A1. Автор: Melnick Susan Marie,Lee Sung James. Владелец: SK Holdings Co., Ltd.. Дата публикации: 2012-01-05.

METHODS FOR TREATING RESTLESS LEGS SYNDROME

Номер патента: US20120004301A1. Автор: Melnick Susan Marie,Taylor Duncan Paul. Владелец: SK Holdings Co., Ltd.. Дата публикации: 2012-01-05.

Method for Treating Ocular Demodex

Номер патента: US20120004320A1. Автор: . Владелец: TissueTech,Inc.. Дата публикации: 2012-01-05.

REAGENT AND METHOD FOR PROVIDING COATINGS ON SURFACES

Номер патента: US20120004339A1. Автор: . Владелец: SURMODICS, INC.. Дата публикации: 2012-01-05.

METHOD FOR EXTRACTING PROPOLIS USING FAR-INFRARED RAYS FROM EARTHENWARE

Номер патента: US20120004389A1. Автор: Lee Yong Kwang. Владелец: . Дата публикации: 2012-01-05.

ISOLATED EGG PROTEIN AND EGG LIPID MATERIALS, AND METHODS FOR PRODUCING THE SAME

Номер патента: US20120004399A1. Автор: Mason David. Владелец: Rembrandt Enterprises, Inc.. Дата публикации: 2012-01-05.

METHOD FOR ENANTIOSELECTIVE HYDROGENATION OF CHROMENES

Номер патента: US20120004427A1. Автор: SETCHELL Kenneth David Reginald,Sorokin Victor Dmitrievich. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PRODUCING PEPTIDE

Номер патента: US20120004457A1. Автор: Hojo Hironobu,Nakahara Yoshiaki. Владелец: TOKAI UNIVERSITY EDUCATIONAL SYSTEM. Дата публикации: 2012-01-05.

Method for Enhancing the Performance of a Catalyzed Reaction

Номер патента: US20120004488A1. Автор: Sun Bing,Zimmermann Joseph Edward,Vetter Michael. Владелец: UOP LLC. Дата публикации: 2012-01-05.

METHOD FOR PRODUCING PROPYLENE

Номер патента: US20120004490A1. Автор: Takamatsu Yoshikazu,Miyazaki Ryusuke. Владелец: . Дата публикации: 2012-01-05.

Surgical Method for Performing a Coronary Blood Vessel Bypass

Номер патента: US20120004499A1. Автор: Ott Douglas E.. Владелец: Lexion Medical, LLC. Дата публикации: 2012-01-05.

DEVICES AND METHODS FOR TISSUE INVAGINATION

Номер патента: US20120004505A1. Автор: DeVRIES Robert B.,Sullivan Roy H.,Tassy,JR. Marc,Dimatteo Kristian,Kwan Tak,Shaw William J.. Владелец: . Дата публикации: 2012-01-05.

Apparatus and Method for Viewing an Object

Номер патента: US20120004513A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

System and Method for Treating Hemorrhoids

Номер патента: US20120004546A1. Автор: Neuberger Wolfgang,Groenhoff Endrick. Владелец: . Дата публикации: 2012-01-05.

DEVICES AND METHOD FOR ACCELEROMETER-BASED CHARACTERIZATION OF CARDIAC SYNCHRONY AND DYSSYNCHRONY

Номер патента: US20120004564A1. Автор: Dobak,III John Daniel. Владелец: CARDIOSYNC, INC.. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR STIMULATION OF BIOLOGICAL TISSUE

Номер патента: US20120004580A1. Автор: Wagner Timothy Andrew,Eden Uri Tzvi. Владелец: HIGHLAND INSTRUMENTS, INC.. Дата публикации: 2012-01-05.

DEVICES AND METHODS FOR CUTTING AND EVACUATING TISSUE

Номер патента: US20120004595A1. Автор: DUBOIS Brian R.,NIELSEN James T.,GORDON Alexander. Владелец: LAURIMED, LLC. Дата публикации: 2012-01-05.

SERIAL VALVES AND HUBS FOR TUBULAR DEVICES AND METHODS FOR MAKING AND USING THEM

Номер патента: US20120004622A1. Автор: . Владелец: AUST DEVELOPMENT, LLC. Дата публикации: 2012-01-05.

System and Method for Joint Resurface Repair

Номер патента: US20120004663A1. Автор: . Владелец: ARTHROSURFACE INC.. Дата публикации: 2012-01-05.

SYSTEMS AND METHOD FOR VOLITIONAL CONTROL OF JOINTED MECHANICAL DEVICES BASED ON SURFACE ELECTROMYOGRAPHY

Номер патента: US20120004736A1. Автор: . Владелец: VANDERBILT UNIVERSITY. Дата публикации: 2012-01-05.

METHODS FOR MANAGING POWER CONSUMPTION IN A SENSOR NETWORK

Номер патента: US20120004782A1. Автор: KOSKAN PATRICK D.,SWOPE CHARLES B.. Владелец: MOTOROLA, INC.. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR ENERGY MANAGEMENT

Номер патента: US20120004784A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR ADJUSTING AT LEAST ONE WORKING UNIT OF A SELF-PROPELLED HARVESTING MACHINE

Номер патента: US20120004813A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method for Operating an Internal Combustion Engine with an Emission Control System

Номер патента: US20120004833A1. Автор: . Владелец: DAIMLER AG. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR COMPUTING NECESSARY TIME FOR TRAVEL BASED ON WAITING TIME

Номер патента: US20120004840A1. Автор: . Владелец: NHN CORPORATION. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR MIGRATING SEISMIC DATA

Номер патента: US20120004850A1. Автор: Wang Yue,Hill Norman Ross. Владелец: Chevron U.S.A. INC.. Дата публикации: 2012-01-05.

METHOD FOR MEASURING A FLUID VELOCITY AND RELATED APPARATUS

Номер патента: US20120004865A1. Автор: Porro Giampiero,Pozzi Roberto,Torinesi Alessandro,Rovati Luigi,NORGIA Michele. Владелец: DATAMED SRL. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR ENERGY MANAGEMENT OF ELECTRIC DEVICES

Номер патента: US20120004872A1. Автор: OH Jung Hwan,Park Jae Seong,SON Dong Min. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR AUTOMATICALLY SHIFTING A BASE LINE

Номер патента: US20120004890A1. Автор: Chen Po-Tsang. Владелец: INOTERA MEMORIES, INC.. Дата публикации: 2012-01-05.

METHOD FOR AUTOMATICALLY SETTING LANGUAGE TYPES OF PUSH-BASED SERVICES, CLIENT, AND SERVER THEREOF

Номер патента: US20120004900A1. Автор: Chen Hsi-Kun,Chang Jen-Chih. Владелец: . Дата публикации: 2012-01-05.

Device and Method for Controlling Compressor of Vehicles

Номер патента: US20120000210A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

DEVICE AND METHOD FOR CONTROLLING COMPRESSOR OF VEHICLES

Номер патента: US20120000211A1. Автор: Kwon Choon Gyu,KIM Jae Woong,LEE Chang Won. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PRODUCING OPTICAL FIBER PREFORM

Номер патента: US20120000249A1. Автор: HAMADA Takahiro. Владелец: FUJIKURA LTD.. Дата публикации: 2012-01-05.

METHOD FOR PROVIDING AT LEAST ONE WORK ROLL FOR ROLLING ROLLING STOCK

Номер патента: US20120000263A1. Автор: . Владелец: SMS SIEMAG AKTIENGESELLSCHAFT. Дата публикации: 2012-01-05.

AUTOMATIC HEAT TREATMENT METHOD FOR METAL RING

Номер патента: US20120000265A1. Автор: Watabe Yoshiharu,Saruyama Masaomi,Tsuyuzaki Hiroyuki. Владелец: HONDA MOTOR CO., LTD.. Дата публикации: 2012-01-05.

EXPANSION TOOL AND METHOD FOR COLD EXPANSION OF HOLES

Номер патента: US20120000266A1. Автор: Wölcken Piet,Reese Eggert D.,Jones Timothy G.B.. Владелец: AIRBUS OPERATIONS SAS. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR ISOLATING A VIEWPORT

Номер патента: US20120000301A1. Автор: LITTLE Edwin Jackson,PAVOL Mark J.. Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

APPARATUSES AND METHODS FOR CUTTING POROUS SUBSTRATES

Номер патента: US20120000330A1. Автор: Griffin Weston Blaine. Владелец: GENERAL ELECTRIC COMPANY. Дата публикации: 2012-01-05.

Apparatus and Method for Biogas Purification

Номер патента: US20120000357A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SUSPENSION OF CELLULOSE FIBERS AND METHOD FOR PRODUCING THE SAME

Номер патента: US20120000392A1. Автор: Isogai Akira,Mukai Kenta,Kumamoto Yoshiaki. Владелец: . Дата публикации: 2012-01-05.

Large Area Nitride Crystal and Method for Making It

Номер патента: US20120000415A1. Автор: Speck James S.,"DEvelyn Mark P.". Владелец: Soraa, Inc.. Дата публикации: 2012-01-05.

APPARATUS AND METHODS FOR ADMINISTRATION OF POSITIVE AIRWAY PRESSURE THERAPIES

Номер патента: US20120000463A1. Автор: Bordewick Steven S.,Bowman Bruce,Baser Joseph A.. Владелец: SOMNETICS GLOBAL PTE. LTD.. Дата публикации: 2012-01-05.

Solar Cell And Method For Manufacturing Solar Cell

Номер патента: US20120000512A1. Автор: HASHIMOTO Masanori,SAITO Kazuya,SHIMIZU Miho. Владелец: . Дата публикации: 2012-01-05.

SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120000517A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Systems and Methods for the Collection, Retention, and Redistribution of Rainwater and Methods of Construction of the Same

Номер патента: US20120000546A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

HOLDING DEVICES AND METHODS FOR USING THE SAME

Номер патента: US20120000571A1. Автор: Foscarota Valentino. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING PLASTIC LABELS WITH SELF-ADHESIVE PATTERN, AND ATTACHING SUCH LABELS TO A TIN

Номер патента: US20120000598A1. Автор: . Владелец: REYNDERS ETIKETTEN, NAAMLOZE VENNOOTSCHAP. Дата публикации: 2012-01-05.

Device and Method for Fixing a Component in Position on a Component Carrier

Номер патента: US20120000601A1. Автор: Fessler-Knobel Martin,Huttner Roland. Владелец: MTU AERO ENGINES GMBH. Дата публикации: 2012-01-05.

METHOD FOR STABILIZATION OF MINE VOIDS USING WASTE MATERIAL AND A BINDING AGENT

Номер патента: US20120000654A1. Автор: Justice Charles Russell. Владелец: . Дата публикации: 2012-01-05.

Apparatus And Methods For Producing Oil and Plugging Blowouts

Номер патента: US20120000656A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

BIOLOGICAL GRAFT TRANSFERRING INSTRUMENT AND METHOD FOR TRANSFERRING BIOLOGICAL GRAFT

Номер патента: US20120000745A1. Автор: Nozaki Yusuke. Владелец: TERUMO KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING SCANDIUM ALUMINUM NITRIDE FILM

Номер патента: US20120000766A1. Автор: Kano Kazuhiko,Nishikubo Keiko,TESHIGAHARA Akihiko,AKIYAMA Morito,Tabaru Tatsuo. Владелец: Denso Corporation. Дата публикации: 2012-01-05.

System and Method for Casualty Treatment and Evacuation in Response to an Emergency Situation

Номер патента: US20120000813A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

CONNECTING MATERIAL, METHOD FOR MANUFACTURING CONNECTING MATERIAL AND SEMICONDUCTOR DEVICE

Номер патента: US20120000965A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method for Pulsed Operation of a Light Barrier, and a Light Barrier

Номер патента: US20120001062A1. Автор: Ottleben Bernd,Ottleben Petra,Mock Bernward. Владелец: . Дата публикации: 2012-01-05.

ISOLATION REGION, SEMICONDUCTOR DEVICE AND METHODS FOR FORMING THE SAME

Номер патента: US20120001198A1. Автор: Zhu Huilong,Yin Haizhou,Luo Zhijiong. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Method for Forming the Same

Номер патента: US20120001229A1. Автор: Zhu Huilong,Liang Qingqing. Владелец: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES. Дата публикации: 2012-01-05.

Lead Frame and Method For Manufacturing the Same

Номер патента: US20120001307A1. Автор: . Владелец: LG INNOTEK CO., LTD.. Дата публикации: 2012-01-05.

DRYING METHOD FOR CERAMIC GREENWARE

Номер патента: US20120001358A1. Автор: "OBrien James J.",Clark Terence J.. Владелец: . Дата публикации: 2012-01-05.

Method for Producing a Ceramic Filter Element

Номер патента: US20120001371A1. Автор: Linhart Jochen,Lichtenwalter Kathrin,FISCHER KATHRIN,Otterbach Sabine. Владелец: MANN+HUMMEL GMBH. Дата публикации: 2012-01-05.

Failure Detection System and Method for LED Lighting Equipment

Номер патента: US20120001552A1. Автор: WEN Yung-Chuan,TSAI Kun-Cheng. Владелец: INSTITUTE FOR INFORMATION INDUSTRY. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR DETECTING FAULT IN AN AC MACHINE

Номер патента: US20120001580A1. Автор: Lu Bin,Zhang Pinjia,Habetler Thomas G.. Владелец: . Дата публикации: 2012-01-05.

Systems and Methods for Minimizing Static Leakage of an Integrated Circuit

Номер патента: US20120001684A1. Автор: Caplan Randy J.,Schwake Steven J.. Владелец: MOSAID TECHNOLOGIES INCORPORATED. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR EXTENDING VCO OUTPUT VOLTAGE SWING

Номер патента: US20120001699A1. Автор: . Владелец: QUINTIC HOLDINGS. Дата публикации: 2012-01-05.

Multiband Antenna and Method for an Antenna to be Capable of Multiband Operation

Номер патента: US20120001815A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

System and Method for Virtual Touch Sensing

Номер патента: US20120001845A1. Автор: LEE Chi Ching. Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR DISTINGUISHING INPUT OBJECTS

Номер патента: US20120001855A1. Автор: TRENT,JR. Raymond Alexander,Palsan Carmen. Владелец: SYNAPTICS INCORPORATED. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR PROVIDING 3D AUGMENTED REALITY

Номер патента: US20120001901A1. Автор: PARK Sun-Hyung. Владелец: Pantech Co., Ltd.. Дата публикации: 2012-01-05.

SYSTEMS AND METHODS FOR DISPLAYING FIXED-SCALE CONTENT ON MOBILE DEVICES

Номер патента: US20120001914A1. Автор: Pan Wayne,HAMOUI Omar. Владелец: GOOGLE INC.. Дата публикации: 2012-01-05.

SYSTEMS AND METHODS FOR ASSISTING VISUALLY-IMPAIRED USERS TO VIEW VISUAL CONTENT

Номер патента: US20120001932A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

DEVICE AND METHOD FOR DRIVING LIQUID CRYSTAL DISPLAY DEVICE

Номер патента: US20120001946A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

IMAGE FORMING APPARATUS AND METHOD FOR MAINTAINING HEAD

Номер патента: US20120001976A1. Автор: . Владелец: RICOH COMPANY, LTD.. Дата публикации: 2012-01-05.

METHOD FOR DETECTING A VIEWING APPARATUS

Номер патента: US20120002025A1. Автор: Bedingfield,SR. James Carlton. Владелец: AT&T Intellectual Property I, L. P.. Дата публикации: 2012-01-05.

MICROSCOPE AND METHOD FOR THE MICROSCOPIC DETECTION OF LIGHT OF A SAMPLE

Номер патента: US20120002030A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

System and Method for Ground Material Characterization in a Grinding System

Номер патента: US20120002037A1. Автор: Dübendorfer Urs,Heine Martin,Pierri Dario. Владелец: Buhler AG. Дата публикации: 2012-01-05.

METHOD FOR ADJUSTING PHOTOSENSITIVENESS OF DIGITAL CAMERA

Номер патента: US20120002081A1. Автор: Chou Chan Min,Lu Tsung Pin. Владелец: ALTEK CORPORATION. Дата публикации: 2012-01-05.

OPTICAL IMAGE ACQUISITION APPARATUS HAVING ADAPTIVE OPTICS AND CONTROL METHOD FOR THE SAME

Номер патента: US20120002165A1. Автор: Saito Kenichi. Владелец: CANON KABUSHIKI KAISH. Дата публикации: 2012-01-05.

Means and Methods for Rapid Droplet, Aerosols and Swab Infection Analysis

Номер патента: US20120002199A1. Автор: Ben-David Moshe,Eruv Tomer,Gannot Gallya. Владелец: OPTICUL DIAGNOSTICS LTD.. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR ACQUIRING DOCUMENT SERVICES

Номер патента: US20120002241A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method for current conditioning, in particular on a fault current limiter

Номер патента: US20120002336A1. Автор: Usoskin Alexander,Klein Hans-Udo. Владелец: . Дата публикации: 2012-01-05.

Methods for gathering marine geophysical data

Номер патента: US20120002502A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR QOS AUTHORIZATION

Номер патента: US20120002540A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR SELECTING AP IN CONSIDERATION OF NETWORK PERFORMANCE

Номер патента: US20120002560A1. Автор: . Владелец: Electronics and Telecommunications Research Institute. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR PROVIDING MOBILITY MANAGEMENT AND OUT-OF-COVERAGE INDICATION IN A CONVENTIONAL LAND MOBILE RADIO SYSTEM

Номер патента: US20120002588A1. Автор: . Владелец: E.F. Johnson Company. Дата публикации: 2012-01-05.

METHOD FOR COMMUNICATING IN A MIMO NETWORK

Номер патента: US20120002612A1. Автор: Baker Matthew Peter John,Moulsley Timothy James,Tesanovic Milos. Владелец: . Дата публикации: 2012-01-05.

System and Method for Controlling Communications in an AD HOC Mobile Network

Номер патента: US20120002615A1. Автор: . Владелец: THALES. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR HANDOFF BETWEEN DIFFERENT TYPES OF NETWORKS

Номер патента: US20120002638A1. Автор: Huh Jun. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR TRANSMITTING A SIGNAL AND COMMUNICATIONS APPARATUS

Номер патента: US20120002660A1. Автор: Lv Yongxia,HOU Yunzhe,Wan Lei,Ren Xiaotao. Владелец: Huawei Technologies Co., Ltd.. Дата публикации: 2012-01-05.

METHOD FOR ESTABLISHING THE IP FLOW MAP UPDATING CONNECTION IN A HIGH RATE PACKET DATA NETWORK

Номер патента: US20120002667A1. Автор: Zhao Xiaowu,Wei Dong. Владелец: ZTE CORPORATION. Дата публикации: 2012-01-05.

VIDEO ENCODER WITH NON-SYNTAX REUSE AND METHOD FOR USE THEREWITH

Номер патента: US20120002719A1. Автор: Wang Limin,Zhao Xu Gang. Владелец: VIXS SYSTEMS, INC.. Дата публикации: 2012-01-05.

Method for Encoding Data Symbols with Implicitly Embedded Pilot Symbols in Resource Blocks for Wireless Networks

Номер патента: US20120002753A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

DEMODULATION METHOD FOR MIMO SYSTEMS

Номер патента: US20120002759A1. Автор: Ng Boon Loong,Lin Tao,SIVAHUMARAN Thirukkumaran. Владелец: NEC Corporation. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR VOICEMAIL TO TEXT CONVERSION

Номер патента: US20120002794A1. Автор: Zubas Michael,Jackson Jacqueline. Владелец: AT&T Mobility II LLC.. Дата публикации: 2012-01-05.

Interleaving and deinterleaving method for preventing periodic position interference

Номер патента: US20120002808A1. Автор: Wang Ruixun. Владелец: . Дата публикации: 2012-01-05.

DEVICE AND METHOD FOR DETECTING MOVEMENT OF OBJECT

Номер патента: US20120002842A1. Автор: MURASHITA Kimitaka,WATANABE Yuri,Fujimura Koichi. Владелец: FUJITSU LIMITED. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR GENERATING DEPTH SIGNAL

Номер патента: US20120002862A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

System and method for detection of multi-view/multi-pose objects

Номер патента: US20120002869A1. Автор: Kumar Rakesh,Han Feng,SAWHNEY HARPREET SINGH,Shan Ying. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PROVIDING REAL-TIME SERVICE OF HUGE AND HIGH QUALITY DIGITAL IMAGE ON INTERNET

Номер патента: US20120002893A1. Автор: KIM Sung-Min. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR DATA TRANSMISSION IN AN OPTICAL TRANSPORT NETWORK

Номер патента: US20120002965A1. Автор: Bellato Alberto,Grandi Pietro Vittorio,Gumier Matteo,Belotti Sergio,Trowbridge Stephen John. Владелец: . Дата публикации: 2012-01-05.

LOADLOCK DESIGNS AND METHODS FOR USING SAME

Номер патента: US20120003063A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

CASTING METHOD FOR MANUFACTURING A WORK PIECE

Номер патента: US20120003101A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

TISSUE MARKINGS AND METHODS FOR REVERSIBLY MARKING TISSUE EMPLOYING THE SAME

Номер патента: US20120003301A1. Автор: Agrawal Satish,Boggs Roger. Владелец: PERFORMANCE INDICATOR LLC. Дата публикации: 2012-01-05.

COMPOSITIONS AND METHODS FOR CONTROLLING BLOOD GLUCOSE LEVELS

Номер патента: US20120003339A1. Автор: MINACAPELLI Pompeo. Владелец: . Дата публикации: 2012-01-05.

DECORATION FILM, DECORATION DEVICE, AND METHOD FOR MANUFACTURING DECORATION DEVICE

Номер патента: US20120003433A1. Автор: . Владелец: SIPIX CHEMICAL INC.. Дата публикации: 2012-01-05.

DECORATION FILM, DECORATION DEVICE, AND METHOD FOR MANUFACTURING DECORATION DEVICE

Номер патента: US20120003441A1. Автор: CHEN CHIA-FU. Владелец: SIPIX CHEMICAL INC.. Дата публикации: 2012-01-05.

Stretched Thermoplastic Resin for Gluing Metal Parts to Plastics, Glass and Metals, and Method for the Production Thereof

Номер патента: US20120003468A1. Автор: . Владелец: . Дата публикации: 2012-01-05.