Methods of forming self-aligned vias and air gaps

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Self-aligned via forming to conductive line and related wiring structure

Номер патента: US20180211871A1. Автор: Brent A. Anderson,Edward J. Nowak. Владелец: Globalfoundries Inc. Дата публикации: 2018-07-26.

Self-aligned via forming to conductive line and related wiring structure

Номер патента: US20170186682A1. Автор: Brent A. Anderson,Edward J. Nowak. Владелец: Globalfoundries Inc. Дата публикации: 2017-06-29.

Cut first alternative for 2D self-aligned via

Номер патента: US09425097B1. Автор: Guillaume Bouche,Sudharshanan RAGHUNATHAN,Andy Wei. Владелец: Globalfoundries Inc. Дата публикации: 2016-08-23.

Fully self aligned via integration processes

Номер патента: WO2023027827A1. Автор: Xintuo Dai. Владелец: Applied Materials, Inc.. Дата публикации: 2023-03-02.

Back end of line integration for self-aligned vias

Номер патента: US11916010B2. Автор: Guillaume Bouche,Andy Chih-Hung Wei. Владелец: Intel Corp. Дата публикации: 2024-02-27.

Method for producing self-aligned vias

Номер патента: US09484258B1. Автор: Lei Sun,Wenhui Wang,Ryan Ryoung-Han Kim,Yulu Chen,Erik Verduijn. Владелец: Globalfoundries Inc. Дата публикации: 2016-11-01.

Methods Of Forming Self-Aligned Vias And Air Gaps

Номер патента: US20180358260A1. Автор: GOPALRAJA Praburam,Mallick Abhijit Basu,Duan Ziqing,Roy Susmit Singha. Владелец: . Дата публикации: 2018-12-13.

Methods of forming self-aligned vias and air gaps

Номер патента: US10403542B2. Автор: Ziqing Duan,Abhijit Basu Mallick,Susmit Singha Roy,Praburam Gopalraja. Владелец: Applied Materials Inc. Дата публикации: 2019-09-03.

Forming self-aligned vias and air-gaps in semiconductor fabrication

Номер патента: US20210202313A1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: Tessera LLC. Дата публикации: 2021-07-01.

Forming self-aligned vias and air-gaps in semiconductor fabrication

Номер патента: US10242911B2. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: International Business Machines Corp. Дата публикации: 2019-03-26.

Forming self-aligned vias and air-gaps in semiconductor fabrication

Номер патента: US09911652B1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: International Business Machines Corp. Дата публикации: 2018-03-06.

Forming self-aligned vias and air-gaps in semiconductor fabrication

Номер патента: US20220406658A1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: Tessera LLC. Дата публикации: 2022-12-22.

Method for forming self-aligned contacts/vias with high corner selectivity

Номер патента: US20150170965A1. Автор: PENG Wang,Eric A. Hudson,Ananth INDRAKANTI. Владелец: Lam Research Corp. Дата публикации: 2015-06-18.

SELF-ALIGNED VIA FORMING TO CONDUCTIVE LINE AND RELATED WIRING STRUCTURE

Номер патента: US20170186682A1. Автор: Nowak Edward J.,ANDERSON Brent A.. Владелец: . Дата публикации: 2017-06-29.

SELF-ALIGNED VIA FORMING TO CONDUCTIVE LINE AND RELATED WIRING STRUCTURE

Номер патента: US20180211871A1. Автор: Nowak Edward J.,ANDERSON Brent A.. Владелец: . Дата публикации: 2018-07-26.

Method For Creating A Fully Self-Aligned Via

Номер патента: US20190378756A1. Автор: Ingle Nitin K.,Hwang Ho-Yung David,Wang Xikun,Freed Regina,Mitra Uday,Mullick Amrita B.. Владелец: . Дата публикации: 2019-12-12.

A method for creating a fully self-aligned via

Номер патента: WO2019236350A1. Автор: Xikun Wang,Regina FREED,Nitin K. Ingle,Uday Mitra,Ho-Yung David Hwang,Amrita B. Mullick. Владелец: Micromaterials LLC. Дата публикации: 2019-12-12.

Method for creating a fully self-aligned via

Номер патента: US10699953B2. Автор: Xikun Wang,Regina FREED,Nitin K. Ingle,Uday Mitra,Ho-Yung David Hwang,Amrita B. Mullick. Владелец: Micromaterials LLC. Дата публикации: 2020-06-30.

Cut first alternative for 2D self-aligned via

Номер патента: US09852984B2. Автор: Guillaume Bouche,Sudharshanan RAGHUNATHAN,Andy Wei. Владелец: Globalfoundries Inc. Дата публикации: 2017-12-26.

Method of forming self-aligned metal lines and vias

Номер патента: US09607893B1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-28.

Methods for forming self-aligned contacts using spin-on silicon carbide

Номер патента: WO2022177828A1. Автор: Lior HULI,Junling Sun,Angelique RALEY,Andrew Metz. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2022-08-25.

Methods for Forming Self-Aligned Contacts Using Spin-on Silicon Carbide

Номер патента: US20220262679A1. Автор: Lior HULI,Junling Sun,Angelique RALEY,Andrew Metz. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-08-18.

Double self aligned via patterning

Номер патента: US20140363969A1. Автор: Hsueh-Chung Chen,Yongan Xu,Yunpeng Yin,Ailian Zhao. Владелец: International Business Machines Corp. Дата публикации: 2014-12-11.

Double self-aligned via patterning

Номер патента: US20150364372A1. Автор: Hsueh-Chung Chen,Yongan Xu,Yunpeng Yin,Ailian Zhao. Владелец: International Business Machines Corp. Дата публикации: 2015-12-17.

METHOD FOR FORMING SELF-ALIGNED CONTACTS/VIAS WITH HIGH CORNER SELECTIVITY

Номер патента: US20150325479A1. Автор: WANG Peng,Hudson Eric A.,INDRAKANTI Ananth. Владелец: . Дата публикации: 2015-11-12.

CUT FIRST ALTERNATIVE FOR 2D SELF-ALIGNED VIA

Номер патента: US20160322298A1. Автор: Wei Andy,Bouche Guillaume,Raghunathan Sudharshanan. Владелец: . Дата публикации: 2016-11-03.

Methods, apparatus and system for forming a dielectric field for dual orientation self aligned vias

Номер патента: US9530691B1. Автор: Xunyuan Zhang,Errol Todd Ryan. Владелец: Globalfoundries Inc. Дата публикации: 2016-12-27.

FORMING SELF-ALIGNED VIAS AND AIR-GAPS IN SEMICONDUCTOR FABRICATION

Номер патента: US20210202313A1. Автор: Clevenger Lawrence A.,Radens Carl J.,Zhang John H.. Владелец: . Дата публикации: 2021-07-01.

Methods for forming self-aligned interconnect structures

Номер патента: US20240347384A1. Автор: Ru-Gun Liu,Shih-Ming Chang,Hoi-Tou Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-17.

Methods for Forming Self-Aligned Interconnect Structures

Номер патента: US20210035862A1. Автор: Liu Ru-Gun,Chang Shih-Ming,Ng Hoi-Tou. Владелец: . Дата публикации: 2021-02-04.

Methods for forming self-aligned interconnect structures

Номер патента: US11289376B2. Автор: Ru-Gun Liu,Shih-Ming Chang,Hoi-Tou Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-03-29.

Method for forming self-aligned interconnect structure

Номер патента: CN112309963A. Автор: 张世明,刘如淦,伍海涛. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-02-02.

Methods for forming self-aligned interconnect structures

Номер патента: US12020984B2. Автор: Ru-Gun Liu,Shih-Ming Chang,Hoi-Tou Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-25.

Methods Of Producing Self-Aligned Vias

Номер патента: US20190067102A1. Автор: ZHANG YING,Hwang Ho-Yung David,Ren He,Mallick Abhijit Basu,Zhou Qingjun,Lin Yung-Chen,Mitra Uday. Владелец: . Дата публикации: 2019-02-28.

Methods of producing self-aligned vias

Номер патента: WO2019046399A1. Автор: Ying Zhang,Abhijit Basu Mallick,Ho-Yung Hwang,Uday Mitra,Yung-Chen Lin,Qingjun Zhou,He REN. Владелец: Micromaterials LLC. Дата публикации: 2019-03-07.

Methods of producing self-aligned vias

Номер патента: WO2019050735A1. Автор: Ying Zhang,Regina FREED,Nitin K. Ingle,Ho-Yung Hwang,Uday Mitra. Владелец: Micromaterials LLC. Дата публикации: 2019-03-14.

Methods of producing self-aligned vias

Номер патента: US10510602B2. Автор: Ying Zhang,Abhijit Basu Mallick,Uday Mitra,Yung-Chen Lin,Qingjun Zhou,He REN,Ho-Yung David Hwang. Владелец: Mirocmaterials LLC. Дата публикации: 2019-12-17.

Methods of producing self-aligned vias

Номер патента: US10600688B2. Автор: Ying Zhang,Regina FREED,Nitin K. Ingle,Uday Mitra,Ho-Yung David Hwang. Владелец: Micromaterials LLC. Дата публикации: 2020-03-24.

method of of forming interconnection lines in a semiconductor memory device

Номер патента: KR100558493B1. Автор: 나영섭. Владелец: 삼성전자주식회사. Дата публикации: 2006-03-07.

Method for fully self-aligned via formation using a directed self assembly (dsa) process

Номер патента: US20180130708A1. Автор: Elliott Franke,Richard Farrell,Nihar Mohanty. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-05-10.

Self-aligned via fuse

Номер патента: US20150048479A1. Автор: Wai-Kin Li,Junjing Bao,Samuel S. Choi. Владелец: International Business Machines Corp. Дата публикации: 2015-02-19.

METHOD FOR FULLY SELF-ALIGNED VIA FORMATION USING A DIRECTED SELF ASSEMBLY (DSA) PROCESS

Номер патента: US20180130708A1. Автор: Mohanty Nihar,FRANKE Elliott,Farrell Richard. Владелец: . Дата публикации: 2018-05-10.

Self-aligned via interconnect structures

Номер патента: US20160163587A1. Автор: Joyeeta Nag,Benjamin C. BACKES,Brian A. Cohen,Carl J. Radens. Владелец: International Business Machines Corp. Дата публикации: 2016-06-09.

INTEGRATED CIRCUITS AND METHODS FOR FABRICATING INTEGRATED CIRCUITS WITH SELF-ALIGNED VIAS

Номер патента: US20160254185A1. Автор: RYAN Errol Todd,Lin Sean X.. Владелец: . Дата публикации: 2016-09-01.

SELF-ALIGNED VIA INTERCONNECT STRUCTURES

Номер патента: US20190326170A1. Автор: Radens Carl J.,BACKES Benjamin C.,COHEN Brian A.,NAG Joyeeta. Владелец: . Дата публикации: 2019-10-24.

SELF ALIGNED VIA IN INTEGRATED CIRCUIT

Номер патента: US20160379929A1. Автор: Liebmann Lars W.,Mignot Yann,Spooner Terry A.,LEE JOE,Feurprier Yannick,TRICKETT Douglas M.,Yilmaz Mehmet. Владелец: . Дата публикации: 2016-12-29.

Method for creating a fully self-aligned via

Номер патента: US10892187B2. Автор: Regina FREED,Uday Mitra,Sanjay Natarajan. Владелец: Micromaterials LLC. Дата публикации: 2021-01-12.

Self-aligned via interconnect structures

Номер патента: US10727122B2. Автор: Joyeeta Nag,Benjamin C. BACKES,Brian A. Cohen,Carl J. Radens. Владелец: International Business Machines Corp. Дата публикации: 2020-07-28.

Semiconductor device with self-aligned vias

Номер патента: US11502001B2. Автор: Chien-Han Chen,Chien-Chih Chiu,Ming-Chung Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-15.

Self-aligned via interconnect structures

Номер патента: US11348832B2. Автор: Joyeeta Nag,Benjamin C. BACKES,Brian A. Cohen,Carl J. Radens. Владелец: International Business Machines Corp. Дата публикации: 2022-05-31.

Self-aligned via fuse

Номер патента: US9240376B2. Автор: Wai-Kin Li,Junjing Bao,Samuel S. Choi. Владелец: Globalfoundries Inc. Дата публикации: 2016-01-19.

Self-aligned via and air gap

Номер патента: US09842801B2. Автор: Mark A. Zaleski,Andy Chih-Hung Wei. Владелец: Globalfoundries Inc. Дата публикации: 2017-12-12.

Self-aligned via and air gap

Номер патента: US20160260666A1. Автор: Mark A. Zaleski,Andy Chih-Hung Wei. Владелец: Globalfoundries Inc. Дата публикации: 2016-09-08.

Self-aligned via and plug patterning for back end of line (BEOL) interconnects

Номер патента: US09666451B2. Автор: Charles H. Wallace,Paul A. Nyhus. Владелец: Intel Corp. Дата публикации: 2017-05-30.

Integrated circuits and methods for fabricating integrated circuits with self-aligned vias

Номер патента: US09520321B2. Автор: Errol Todd Ryan,Sean X. Lin. Владелец: Globalfoundries Inc. Дата публикации: 2016-12-13.

Self-aligned via structures and methods

Номер патента: US20240339396A1. Автор: Chieh-Han Wu,Cheng-Hsiung Tsai,Chung-Ju Lee,Chih Wei Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-10.

Self-aligned via to metal line for interconnect

Номер патента: US12080640B2. Автор: Tao Li,Alexander Reznicek,Ruilong Xie,Tsung-Sheng KANG. Владелец: International Business Machines Corp. Дата публикации: 2024-09-03.

Methods for forming 2-dimensional self-aligned vias

Номер патента: US09847252B2. Автор: Srinivas D. Nemani,Mehul Naik,Bencherki Mebarki. Владелец: Applied Materials Inc. Дата публикации: 2017-12-19.

Self-aligned via structures with barrier layers

Номер патента: US11251118B2. Автор: Chieh-Han Wu,Cheng-Hsiung Tsai,Chung-Ju Lee,Chih Wei Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-02-15.

Self-Aligned Via to Metal Line for Interconnect

Номер патента: US20230077878A1. Автор: Tao Li,Alexander Reznicek,Ruilong Xie,Tsung-Sheng KANG. Владелец: International Business Machines Corp. Дата публикации: 2023-03-16.

Methods for forming 2-dimensional self-aligned vias

Номер патента: US20170294348A1. Автор: Srinivas D. Nemani,Mehul Naik,Bencherki Mebarki. Владелец: Applied Materials Inc. Дата публикации: 2017-10-12.

Methods of forming air gaps in metallization layers on integrated circuit products

Номер патента: US09768058B2. Автор: Christian Witt,Qiang Fang,Zhiguo Sun. Владелец: Globalfoundries Inc. Дата публикации: 2017-09-19.

Interconnect structure having air gap and method of forming the same

Номер патента: US09754882B2. Автор: Hung-Wen Su,Chih-Chien Chi. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-05.

Forming air gap

Номер патента: US20180076082A1. Автор: Naftali E. Lustig,Elbert E. Huang,Griselda Bonilla,Andrew H. Simon,Ronald G. Filippi,Samuel S. Choi. Владелец: Globalfoundries Inc. Дата публикации: 2018-03-15.

Method of forming self-aligned via

Номер патента: US10923396B2. Автор: Mihaela Balseanu,Suketu Arun Parikh. Владелец: Applied Materials Inc. Дата публикации: 2021-02-16.

Method Of Forming Self-Aligned Via

Номер патента: US20200144117A1. Автор: Mihaela Balseanu,Suketu Arun Parikh. Владелец: Applied Materials Inc. Дата публикации: 2020-05-07.

Interconnect integration scheme with fully self-aligned vias

Номер патента: US20210118733A1. Автор: Terry A. Spooner,Shyng-Tsong Chen. Владелец: International Business Machines Corp. Дата публикации: 2021-04-22.

Interconnect structures including self aligned vias

Номер патента: US20210090942A1. Автор: Chih-Chao Yang,Terry A. Spooner,Shyng-Tsong Chen,Koichi Motoyama. Владелец: International Business Machines Corp. Дата публикации: 2021-03-25.

Process Integration Techniques Using A Carbon Layer To Form Self-Aligned Structures

Номер патента: US20180308753A1. Автор: Mosden Aelan,KUMAR KAUSHIK. Владелец: . Дата публикации: 2018-10-25.

Method for forming self-aligned contact of semiconductor device

Номер патента: KR101046717B1. Автор: 이성권,정태우. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-07-05.

A method for forming self-aligned contact of semiconductor device

Номер патента: KR100276387B1. Автор: 이재구,조창현,정홍식. Владелец: 윤종용. Дата публикации: 2000-12-15.

Method for forming self aligned contact in semiconductor device with buried gate

Номер патента: KR101075526B1. Автор: 신종한,박점용. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-10-20.

Method for forming self aligned contact hole in semiconductor

Номер патента: KR100839527B1. Автор: 전재영. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-06-19.

Method for forming self align contact of semiconductor device

Номер патента: KR101073130B1. Автор: 이민석,이성권. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-10-12.

Method for forming self-aligned contacts using a hard mask

Номер патента: US6265296B1. Автор: Erik S. Jeng,Hung-Yi Luo,Hao-Chieh Liu,Tzu-Shih Yen. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2001-07-24.

Method for forming self-aligned contact of semiconductor device

Номер патента: JP5084074B2. Автор: 眞 雄 金. Владелец: SK hynix Inc. Дата публикации: 2012-11-28.

Forming self-aligned contacts

Номер патента: GB202001682D0. Автор: . Владелец: International Business Machines Corp. Дата публикации: 2020-03-25.

Method of fabricating an ultra low-k dielectric self-aligned via

Номер патента: US20140024220A1. Автор: Nikolaos Bekiaris,Sean S. Kang,Chih-Yang Chang,Chia-Ling Kao. Владелец: Individual. Дата публикации: 2014-01-23.

Methods Of Producing Self-Aligned Vias

Номер патента: US20190074219A1. Автор: ZHANG YING,Ingle Nitin K.,Hwang Ho-yung,Freed Regina,Mitra Uday. Владелец: . Дата публикации: 2019-03-07.

Self-Aligned Via Formation Using Spacers

Номер патента: US20220102212A1. Автор: Su Yi-Nien,SHIEH JYU-HORNG. Владелец: . Дата публикации: 2022-03-31.

SELF-ALIGNED VIA INTERCONNECT STRUCTURES

Номер патента: US20160197013A1. Автор: Radens Carl J.,BACKES Benjamin C.,COHEN Brian A.,NAG Joyeeta. Владелец: . Дата публикации: 2016-07-07.

Selectively Etched Self-Aligned Via Processes

Номер патента: US20190189510A1. Автор: Ying Zhang,Regina FREED,Uday Mitra,Yung-Chen Lin,Qingjun Zhou,Ho-Yung David Hwang. Владелец: Micromaterials LLC. Дата публикации: 2019-06-20.

DOUBLE SELF-ALIGNED VIA PATTERNING

Номер патента: US20150364372A1. Автор: Yin Yunpeng,Chen Hsueh-Chung,Xu Yongan,ZHAO Ailian. Владелец: . Дата публикации: 2015-12-17.

Selectively etched self-aligned via processes

Номер патента: EP3499557A1. Автор: Ying Zhang,Regina FREED,Uday Mitra,Yung-Chen Lin,Qingjun Zhou,Ho-Yung David Hwang. Владелец: Micromaterials LLC. Дата публикации: 2019-06-19.

Selectively etched self-aligned via processes

Номер патента: US10593594B2. Автор: Ying Zhang,Regina FREED,Uday Mitra,Yung-Chen Lin,Qingjun Zhou,Ho-Yung David Hwang. Владелец: Micromaterials LLC. Дата публикации: 2020-03-17.

Selectively Etched Self-Aligned Via Processes

Номер патента: KR102355958B1. Автор: 잉 장,영-첸 린,케빈 조우,호-영 황. Владелец: 어플라이드 머티어리얼스, 인코포레이티드. Дата публикации: 2022-01-25.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09570583B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2017-02-14.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09455330B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2016-09-27.

Methods of forming self-aligned contacts

Номер патента: US20220189965A1. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2022-06-16.

Methods of forming self-aligned contacts

Номер патента: US20200373309A1. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2020-11-26.

Methods of forming self-aligned contacts comprising reusing hardmask materials and lithography reticles

Номер патента: US11302699B2. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2022-04-12.

METHODS OF FORMING SELF-ALIGNED DEVICE LEVEL CONTACT STRUCTURES

Номер патента: US20170047253A1. Автор: Kim Hoon,Xie Ruilong,Park Chanro,Sung Min Gyu. Владелец: . Дата публикации: 2017-02-16.

Forming self-aligned dual patterning mandrel and non-mandrel interconnects

Номер патента: US09659818B1. Автор: Carl Radens,Lawrence A. Clevenger,John Zhang. Владелец: International Business Machines Corp. Дата публикации: 2017-05-23.

Methods Of Producing Fully Self-Aligned Vias And Contacts

Номер патента: US20180374750A1. Автор: ZHANG YING,Ingle Nitin K.,Mallick Abhijit Basu,Hwang Ho-yung,Freed Regina,Mitra Uday,Natarajan Sanjay. Владелец: . Дата публикации: 2018-12-27.

Self-aligned via for gate contact of semiconductor devices

Номер патента: WO2016003595A1. Автор: Kern Rim,Stanley Seungchul SONG,Zhongze Wang,Choh fei Yeap. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2016-01-07.

Self aligned via dual damascene

Номер патента: US5795823A. Автор: Steven Avanzino,Subhash Gupta,Ming-Ren Lin,Rich Klein,Scott D. Luning. Владелец: Advanced Micro Devices Inc. Дата публикации: 1998-08-18.

Interconnection with self-aligned via plug

Номер патента: US5596230A. Автор: Gary Hong. Владелец: United Microelectronics Corp. Дата публикации: 1997-01-21.

Method of Forming Self-Aligned Contacts for a Semiconductor Device

Номер патента: US20130189833A1. Автор: Peter Baars,Andy Wei,Martin Mazur,Erik Geiss. Владелец: Globalfoundries Inc. Дата публикации: 2013-07-25.

Method of selective film deposition for forming fully self-aligned vias

Номер патента: US20190157149A1. Автор: Kandabara Tapily. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-05-23.

Method of selective deposition for forming fully self-aligned vias

Номер патента: US20210074584A1. Автор: Kandabara Tapily. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-03-11.

SEMICONDUCTOR DEVICE WITH SELF-ALIGNED VIAS

Номер патента: US20220285216A1. Автор: Chen Chien-Han,Liang Ming-Chung,Chiu Chien-Chih. Владелец: . Дата публикации: 2022-09-08.

SEMICONDUCTOR DEVICE WITH SELF-ALIGNED VIAS

Номер патента: US20200135562A1. Автор: Chen Chien-Han,Liang Ming-Chung,Chiu Chien-Chih. Владелец: . Дата публикации: 2020-04-30.

Method for forming self-aligned isolation trenches in semiconductor substrate and semiconductor device

Номер патента: US20150171162A1. Автор: Tzung-Han Lee,Yaw-Wen Hu. Владелец: Inotera Memories Inc. Дата публикации: 2015-06-18.

Methods and apparatuses to form self-aligned caps

Номер патента: US09627321B2. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corp. Дата публикации: 2017-04-18.

Methods and apparatuses to form self-aligned caps

Номер патента: US09373584B2. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corp. Дата публикации: 2016-06-21.

Air gap dielectric in self-aligned via structures

Номер патента: US6281585B1. Автор: Subhas Bothra. Владелец: Philips Electronics North America Corp. Дата публикации: 2001-08-28.

METHODS AND APPARATUSES TO FORM SELF-ALIGNED CAPS

Номер патента: US20220270978A1. Автор: Singh Kanwal Jit,Boyanov Boyan. Владелец: . Дата публикации: 2022-08-25.

METHODS AND APPARATUSES TO FORM SELF-ALIGNED CAPS

Номер патента: US20170207120A1. Автор: Singh Kanwal Jit,Boyanov Boyan. Владелец: . Дата публикации: 2017-07-20.

Methods and apparatuses to form self-aligned caps

Номер патента: US20200321282A1. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corp. Дата публикации: 2020-10-08.

FORMING SELF-ALIGNED MULTI-METAL INTERCONNECTS

Номер патента: US20200357748A1. Автор: De Silva Ekmini Anuja,Dutta Ashim. Владелец: . Дата публикации: 2020-11-12.

Methods and apparatuses to form self-aligned caps

Номер патента: US20190393157A1. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corp. Дата публикации: 2019-12-26.

Methods and apparatuses to form self-aligned caps

Номер патента: KR101684310B1. Автор: 보얀 보야노브,칸왈 지트 싱흐. Владелец: 인텔 코포레이션. Дата публикации: 2016-12-08.

Methods and apparatuses to form self-aligned caps

Номер патента: KR102151585B1. Автор: 보얀 보야노브,칸왈 지트 싱흐. Владелец: 인텔 코포레이션. Дата публикации: 2020-09-03.

Forming self-aligned multi-metal interconnects

Номер патента: US11355442B2. Автор: Ekmini Anuja De Silva,Ashim Dutta. Владелец: International Business Machines Corp. Дата публикации: 2022-06-07.

Methods and apparatuses to form self-aligned caps

Номер патента: KR102306796B1. Автор: 보얀 보야노브,칸왈 지트 싱흐. Владелец: 인텔 코포레이션. Дата публикации: 2021-09-30.

Methods and apparatuses to form self-aligned caps

Номер патента: WO2013066356A1. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corporation. Дата публикации: 2013-05-10.

Methods and apparatuses to form self-aligned caps

Номер патента: TWI792018B. Автор: 肯瓦爾 辛格,伯葉 伯葉諾夫. Владелец: 美商英特爾股份有限公司. Дата публикации: 2023-02-11.

Forming self-aligned multi-metal interconnects

Номер патента: US11923311B2. Автор: Ekmini Anuja De Silva,Ashim Dutta. Владелец: International Business Machines Corp. Дата публикации: 2024-03-05.

Self-aligned via process flow

Номер патента: US09502293B2. Автор: Guillaume Bouche,Andy C. Wei,Sudharshanan Raghunthathan. Владелец: Globalfoundries Inc. Дата публикации: 2016-11-22.

Method of etching self-aligned vias to metal using a silicon nitride spacer

Номер патента: US6287952B1. Автор: Edward Belden Harris. Владелец: Agere Systems Guardian Corp. Дата публикации: 2001-09-11.

Self-aligned via process flow

Номер патента: US20160141206A1. Автор: Guillaume Bouche,Andy C. Wei,Sudharshanan Raghunthathan. Владелец: Globalfoundries Inc. Дата публикации: 2016-05-19.

Hybrid interconnect structure for self aligned via

Номер патента: US20230335486A1. Автор: Ming-Han Lee,Shau-Lin Shue,Shin-Yi Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-19.

Hybrid interconnect structure for self aligned via

Номер патента: US20220319984A1. Автор: Ming-Han Lee,Shau-Lin Shue,Shin-Yi Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-10-06.

Self-Aligned Via and Plug Patterning for Back End of Line (BEOL) Interconnects

Номер патента: US20170221810A1. Автор: Charles H. Wallace,Paul A. Nyhus. Владелец: Intel Corp. Дата публикации: 2017-08-03.

SELF-ALIGNED VIA AND PLUG PATTERNING FOR BACK END OF LINE (BEOL) INTERCONNECTS

Номер патента: US20190252208A1. Автор: Wallace Charles H.,NYHUS Paul A.. Владелец: . Дата публикации: 2019-08-15.

Self-aligned via and plug patterning for back end of line (BEOL) interconnects

Номер патента: US10991599B2. Автор: Charles H. Wallace,Paul A. Nyhus. Владелец: Intel Corp. Дата публикации: 2021-04-27.

Self-aligned via and plug patterning for back end of line (beol) interconnects

Номер патента: EP3050085A4. Автор: Charles H. Wallace,Paul A. Nyhus. Владелец: Intel Corp. Дата публикации: 2017-05-24.

SELF-ALIGNED VIA PROCESS FLOW

Номер патента: US20170004999A1. Автор: Bouche Guillaume,Wei Andy C.,Raghunthathan Sudharshanan. Владелец: . Дата публикации: 2017-01-05.

Self-Aligned Via Structures and Methods

Номер патента: US20210082804A1. Автор: Lee Chung-Ju,Tsai Cheng-Hsiung,Wu Chieh-Han,Lu Chih Wei. Владелец: . Дата публикации: 2021-03-18.

FULLY SELF-ALIGNED VIA

Номер патента: US20200098633A1. Автор: ZHANG YING,Ingle Nitin K.,Hwang Ho-Yung David,Mallick Abhijit Basu,Freed Regina,Mitra Uday. Владелец: Micromaterials LLC. Дата публикации: 2020-03-26.

Fully Self-Aligned Via

Номер патента: US20190189512A1. Автор: ZHANG YING,Ingle Nitin K.,Hwang Ho-Yung David,Mallick Abhijit Basu,Freed Regina,Mitra Uday. Владелец: . Дата публикации: 2019-06-20.

Self-Aligned Via Interconnect Using Relaxed Patterning Exposure

Номер патента: US20140367855A1. Автор: Moroz Victor,Rieger Michael L.. Владелец: . Дата публикации: 2014-12-18.

METHODS FOR FORMING 2-DIMENSIONAL SELF-ALIGNED VIAS

Номер патента: US20170294348A1. Автор: Nemani Srinivas D.,Naik Mehul,MEBARKI BENCHERKI. Владелец: . Дата публикации: 2017-10-12.

2d self-aligned via first process flow

Номер патента: US20160329278A1. Автор: Guillaume Bouche,Sudharshanan RAGHUNATHAN,Andy Wei. Владелец: Globalfoundries Inc. Дата публикации: 2016-11-10.

Fully Self-Aligned Via

Номер патента: US20190348323A1. Автор: ZHANG YING,Ingle Nitin K.,Mallick Abhijit Basu,Hwang Ho-yung,Freed Regina,Mitra Uday. Владелец: . Дата публикации: 2019-11-14.

Method For Creating A Fully Self-Aligned Via

Номер патента: US20190355620A1. Автор: Freed Regina,Mitra Uday,Natarajan Sanjay. Владелец: . Дата публикации: 2019-11-21.

Self-aligned via hole and plug patterning for back segment (BEOL) interconnection

Номер патента: CN105518837B. Автор: C·H·华莱士,P·A·尼许斯. Владелец: Intel Corp. Дата публикации: 2019-04-16.

Method for forming self-aligned contact window

Номер патента: US6015741A. Автор: Water Lur,Shih-Wei Sun,Tony Lin. Владелец: United Microelectronics Corp. Дата публикации: 2000-01-18.

Method for forming self aligned contacts

Номер патента: US20020098640A1. Автор: Ii-Wook Kim,Jong-Sam Kim,Dong-kuk Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-07-25.

Transistor with reduced gate resistance and improved process margin of forming self-aligned contact

Номер патента: US20220051939A1. Автор: Sheng-hui Yang. Владелец: Nanya Technology Corp. Дата публикации: 2022-02-17.

Methods to form self-aligned permanent on-chip interconnect structures

Номер патента: US20120018891A1. Автор: Qinghuang Lin. Владелец: International Business Machines Corp. Дата публикации: 2012-01-26.

Method of forming chip with through silicon via electrode

Номер патента: US09437491B2. Автор: Yung-Chang Lin,Chien-Li Kuo,Chu-Fu Lin,Ming-Tse Lin. Владелец: United Microelectronics Corp. Дата публикации: 2016-09-06.

Methods of forming self-aligned contacts

Номер патента: US20200373309A1. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2020-11-26.

Method for forming self-aligned contact hole in semiconductor device

Номер патента: KR100441998B1. Автор: 서준,송종희. Владелец: 삼성전자주식회사. Дата публикации: 2004-07-30.

Method of forming and patterning conformal insulation layer in vias and etched structures

Номер патента: CN102844856A. Автор: 罗伯特·迪蒂奇奥. Владелец: SPTS Technologies Ltd. Дата публикации: 2012-12-26.

Method of forming and patterning conformal insulation layer in vias and etched structures

Номер патента: WO2011104550A2. Автор: Robert Ditizio. Владелец: Spp Process Technology Systems Uk Limited. Дата публикации: 2011-09-01.

SELF-ALIGNED VIA INTERCONNECT USING RELAXED PATTERNING EXPOSURE

Номер патента: US20140015135A1. Автор: Moroz Victor,Rieger Michael L.. Владелец: Synopsys, Inc.. Дата публикации: 2014-01-16.

Method of forming self-aligned silicide layers on semiconductor devices

Номер патента: US20020182860A1. Автор: Jerry Lin,Cheng-Kuo Yuan,Chi-Wei Chou. Владелец: SILICON INTEGRATED SYSTEMS CORP. Дата публикации: 2002-12-05.

Air-gap scheme for BEOL process

Номер патента: US09449811B2. Автор: Ru-Shang Hsiao,Jen-Pan Wang,Chih-Fu Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-20.

BEOL vertical fuse formed over air gap

Номер патента: US09997454B2. Автор: Christopher J. Penny,Marc A. Bergendahl,James J. Demarest,Christopher J. Waskiewicz. Владелец: International Business Machines Corp. Дата публикации: 2018-06-12.

BEOL vertical fuse formed over air gap

Номер патента: US09666528B1. Автор: Christopher J. Penny,Marc A. Bergendahl,James J. Demarest,Christopher J. Waskiewicz. Владелец: International Business Machines Corp. Дата публикации: 2017-05-30.

Conductive line structures and methods of forming the same

Номер патента: US9318419B2. Автор: Jung-Dal Choi,Seong-Min Jo,Joon-Hee Lee,Sok-Won LEE. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-04-19.

Method of forming inter-level dielectric structures on semiconductor devices

Номер патента: US20160307791A1. Автор: Mehul D. Shroff,Douglas M. Reber. Владелец: NXP BV. Дата публикации: 2016-10-20.

Method of forming inter-level dielectric structures on semiconductor devices

Номер патента: US09818642B2. Автор: Mehul D. Shroff,Douglas M. Reber. Владелец: NXP USA Inc. Дата публикации: 2017-11-14.

Air-gap forming techniques for interconnect structures

Номер патента: US09633897B2. Автор: Tai-I Yang,Cheng-Chi Chuang,Tien-Lu Lin,Yung-Chih Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-25.

Air gaps in a multilayer integrated circuit and method of making same

Номер патента: US20110241220A1. Автор: Jed H. Rankin,Brent A. Anderson,Edward J. Nowak. Владелец: International Business Machines Corp. Дата публикации: 2011-10-06.

Semiconductor Device and Method of Forming the Same

Номер патента: US20240266213A1. Автор: Yasuhiro Mametsuka. Владелец: Micron Technology Inc. Дата публикации: 2024-08-08.

Semiconductor device structure and methods of forming the same

Номер патента: US12062612B2. Автор: Ming-Han Lee,Shin-Yi Yang,Shu-Wei LI,Guanyu Luo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-13.

Semiconductor device including air gaps and method of fabricating the same

Номер патента: US09627253B2. Автор: Min-ho Kim. Владелец: SK hynix Inc. Дата публикации: 2017-04-18.

Method Of Forming Self-Aligned Via

Номер патента: US20210166973A1. Автор: Parikh Suketu Arun,Balseanu Mihaela A.. Владелец: Applied Materials, Inc.. Дата публикации: 2021-06-03.

Method Of Forming Self-Aligned Via

Номер патента: US20200144117A1. Автор: Balseanu Mihaela,Parikh Suketu Arun. Владелец: . Дата публикации: 2020-05-07.

Method Of Forming Self-Aligned Via

Номер патента: US20200219768A1. Автор: Balseanu Mihaela,Parikh Suketu Arun. Владелец: . Дата публикации: 2020-07-09.

Method of forming self-aligned via structure

Номер патента: US6372641B1. Автор: Chuen-Der Lien. Владелец: Integrated Device Technology Inc. Дата публикации: 2002-04-16.

Method of forming self-aligned contacts in a semi-conductor process

Номер патента: GB2269938B. Автор: James A Matthews. Владелец: Microunity Systems Engineering Inc. Дата публикации: 1994-09-07.

Method for forming self-aligning local interconnects in integrated circuits

Номер патента: EP2592649B1. Автор: Michael C Smayling,Scott T Becker. Владелец: Tela Innovations Inc. Дата публикации: 2015-04-29.

Bottom self-aligned via

Номер патента: US09859208B1. Автор: Naftali E. Lustig,Matthew S. Angyal,Rasit O. TOPALOGLU. Владелец: International Business Machines Corp. Дата публикации: 2018-01-02.

Buried power rails with self-aligned vias to trench contacts

Номер патента: US12094822B2. Автор: Guillaume Bouche,Andy Chih-Hung Wei,Changyok Park. Владелец: Intel Corp. Дата публикации: 2024-09-17.

Fully self-aligned via with selective bilayer dielectric regrowth

Номер патента: US20200006140A1. Автор: Jeffrey Smith,Kandabara Tapily. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-01-02.

Semiconductor device with spacers for self aligned vias

Номер патента: US20240297077A1. Автор: Chia-Tien Wu,Hsin-Ping Chen,Pokuan Ho. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-05.

FULLY SELF-ALIGNED VIA WITH SELECTIVE BILAYER DIELECTRIC REGROWTH

Номер патента: US20200006140A1. Автор: Smith Jeffrey,Tapily Kandabara. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2020-01-02.

Interconnect structures including self aligned vias

Номер патента: US20220093453A1. Автор: Chih-Chao Yang,Terry A. Spooner,Shyng-Tsong Chen,Koichi Motoyama. Владелец: International Business Machines Corp. Дата публикации: 2022-03-24.

INTERCONNECT STRUCTURES INCLUDING SELF ALIGNED VIAS

Номер патента: US20210090942A1. Автор: Yang Chih-Chao,Chen Shyng-Tsong,Spooner Terry A.,Motoyama Koichi. Владелец: . Дата публикации: 2021-03-25.

SEMICONDUCTOR DEVICE WITH SPACERS FOR SELF ALIGNED VIAS

Номер патента: US20220181207A1. Автор: WU Chia-Tien,Chen Hsin-Ping,Ho Pokuan. Владелец: . Дата публикации: 2022-06-09.

ANTI-FUSE WITH SELF ALIGNED VIA PATTERNING

Номер патента: US20200118927A1. Автор: Shu Jiehui,ZHANG Xiaoqiang,Ning Guoxiang. Владелец: . Дата публикации: 2020-04-16.

SELF-ALIGNED VIA PROCESS FLOW

Номер патента: US20160141206A1. Автор: Bouche Guillaume,Wei Andy C.,Raghunthathan Sudharshanan. Владелец: . Дата публикации: 2016-05-19.

FINFET DEVICES WITH BACKSIDE POWER RAIL AND BACKSIDE SELF-ALIGNED VIA

Номер патента: US20220310841A1. Автор: Wang Chih-hao,Ju Shi Ning,Cheng Kuan-Lun,Chiang Kuo-Cheng. Владелец: . Дата публикации: 2022-09-29.

FULLY SELF-ALIGNED VIA WITH SELECTIVE BILAYER DIELECTRIC REGROWTH

Номер патента: US20210249305A1. Автор: Smith Jeffrey,Tapily Kandabara. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2021-08-12.

Fully Self-Aligned Via

Номер патента: US20180286749A1. Автор: ZHANG YING,Ingle Nitin K.,Mallick Abhijit Basu,Hwang Ho-yung,Freed Regina,Mitra Uday. Владелец: . Дата публикации: 2018-10-04.

SELF-ALIGNED VIA

Номер патента: US20190311984A1. Автор: BLACKWELL JAMES M.,HOURANI Rami,BRISTOL Robert L.,LIN Kevin L.. Владелец: Intel Corporation. Дата публикации: 2019-10-10.

INTERCONNECT STRUCTURE WITH FULLY SELF-ALIGNED VIA PATTERN FORMATION

Номер патента: US20190385910A1. Автор: Yang Chih-Chao,LAI Kafai. Владелец: . Дата публикации: 2019-12-19.

SELF-ALIGNED CUT PROCESS FOR SELF-ALIGNED VIA PROCESS WINDOW

Номер патента: US20200402852A1. Автор: Yang Chih-Chao,Cheng Kangguo,Guo Jing,Xie Ruilong. Владелец: . Дата публикации: 2020-12-24.

Self-aligned via structure and process for producing the same

Номер патента: TW345720B. Автор: Ming-Lun Zhang. Владелец: Winbond Electronics Corp. Дата публикации: 1998-11-21.

Self-aligned vias in an integrated circuit structure

Номер патента: US6787875B2. Автор: Paul M. Gillespie,Kenneth D. Brennan. Владелец: Texas Instruments Inc. Дата публикации: 2004-09-07.

Interconnect structure with fully self-aligned via pattern formation

Номер патента: US10727123B2. Автор: Chih-Chao Yang,Kafai Lai. Владелец: International Business Machines Corp. Дата публикации: 2020-07-28.

Self-aligned via

Номер патента: CN110024103B. Автор: R.L.布里斯托尔,J.M.布莱克维尔,K.L.林,R.霍拉尼. Владелец: Intel Corp. Дата публикации: 2023-06-30.

Semiconductor device with spacers for self aligned vias

Номер патента: US20220181207A1. Автор: Chia-Tien Wu,Hsin-Ping Chen,Pokuan Ho. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-06-09.

Semiconductor device with spacers for self aligned vias

Номер патента: US20210134672A1. Автор: Chia-Tien Wu,Hsin-Ping Chen,Pokuan Ho. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-05-06.

Semiconductor device with spacers for self aligned vias

Номер патента: US11984359B2. Автор: Chia-Tien Wu,Hsin-Ping Chen,Pokuan Ho. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-14.

METHOD OF SELECTIVE FILM DEPOSITION FOR FORMING FULLY SELF-ALIGNED VIAS

Номер патента: US20190157149A1. Автор: Tapily Kandabara. Владелец: . Дата публикации: 2019-05-23.

Methods of forming self aligned transistor structure having polycrystalline contacts

Номер патента: US3847687A. Автор: U Davidsohn,A Ajamie. Владелец: Motorola Inc. Дата публикации: 1974-11-12.

Methods Of Forming Self-Aligned Vias And Air Gaps

Номер патента: US20190348368A1. Автор: GOPALRAJA Praburam,Mallick Abhijit Basu,Duan Ziqing,Roy Susmit Singha. Владелец: . Дата публикации: 2019-11-14.

Package substrate with dual damascene based self-aligned vias

Номер патента: US20240128181A1. Автор: Hiroki Tanaka,Srinivas V. Pietambaram,Brandon C. MARIN,Haobo Chen,Jeremy ECTON. Владелец: Intel Corp. Дата публикации: 2024-04-18.

Method of forming self-aligned contact structure with locally etched gate conductive layer

Номер патента: US6855610B2. Автор: Ming-Sheng Tung,Yueh-Chuan Lee. Владелец: Promos Technologies Inc. Дата публикации: 2005-02-15.

Package structures and method of forming the same

Номер патента: US10157835B2. Автор: Chen-Hua Yu,An-Jhih Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-12-18.

Package structures and method of forming the same

Номер патента: US09786599B2. Автор: Chen-Hua Yu,An-Jhih Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-10.

Method for forming self-aligned contact and integrated circuit with self-aligned contact

Номер патента: TW201243956A. Автор: Yi-Nan Chen,Hsien-Wen Liu,Jar-Ming Ho. Владелец: Nanya Technology Corp. Дата публикации: 2012-11-01.

METHODS AND APPARATUSES TO FORM SELF-ALIGNED CAPS

Номер патента: US20130256899A1. Автор: Singh Kanwal Jit,Boyanov Boyan. Владелец: . Дата публикации: 2013-10-03.

Self-aligned vias formed using sacrificial metal caps

Номер патента: US20150091181A1. Автор: Chih-Chao Yang,Juntao Li,Yunpeng Yin. Владелец: International Business Machines Corp. Дата публикации: 2015-04-02.

Method of forming self-aligned silicides

Номер патента: US20060121708A1. Автор: Steven Huang,Yei-Hsiung Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2006-06-08.

METHOD OF SELECTIVE DEPOSITION FOR FORMING FULLY SELF-ALIGNED VIAS

Номер патента: US20190164749A1. Автор: Tapily Kandabara. Владелец: . Дата публикации: 2019-05-30.

Methods of forming self-aligned contacts on FinFET devices

Номер патента: US09627274B1. Автор: Huang Liu,Xintuo Dai,Jinping Liu,Haifeng Sheng. Владелец: Globalfoundries Inc. Дата публикации: 2017-04-18.

Methods of forming self-aligned device level contact structures

Номер патента: US09653356B2. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-16.

Methods Of Forming Metal Chalcogenide Pillars

Номер патента: US20220051941A1. Автор: Srinivas Gandikota,Amrita B. Mullick. Владелец: Applied Materials Inc. Дата публикации: 2022-02-17.

Methods of forming metal chalcogenide pillars

Номер патента: WO2019173447A1. Автор: Srinivas Gandikota,Amrita B. Mullick. Владелец: Applied Materials, Inc.. Дата публикации: 2019-09-12.

Method of forming self-aligned contacts and local interconnects

Номер патента: US20090280633A1. Автор: An Chyi Wei. Владелец: Macronix International Co Ltd. Дата публикации: 2009-11-12.

Method for forming self-aligned contacts and local interconnects simultaneously

Номер патента: US20070235798A1. Автор: Kuang-Chao Chen,Tuung Luoh,Ling-Wuu Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2007-10-11.

Method of fabricating dual damascene structure

Номер патента: US09748139B1. Автор: Yu-Cheng Tung,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2017-08-29.

Method for forming self-aligned double pattern and semiconductor structures

Номер патента: US12100593B2. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Forming self-aligned conductive lines for resistive random access memories

Номер патента: US09705080B2. Автор: Fabio Pellizzer,Innocenzo Tortorelli,Pietro Petruzza. Владелец: Micron Technology Inc. Дата публикации: 2017-07-11.

Method of fabricating a self-aligned contact using organic dielectric materials

Номер патента: US5482894A. Автор: Robert H. Havemann. Владелец: Texas Instruments Inc. Дата публикации: 1996-01-09.

Method for forming self-aligned double pattern, and semiconductor structure

Номер патента: EP4181172A1. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-05-17.

Method of Forming Self-Aligned Contacts Using a Replacement Metal Gate Process in a Semiconductor Device

Номер патента: US20150263131A1. Автор: Metz Andrew. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2015-09-17.

Method of forming self-aligned contact in semiconductor device

Номер патента: KR0164497B1. Автор: 최창원. Владелец: 김광호. Дата публикации: 1999-02-01.

Method of forming self align silicide in semiconductor device

Номер патента: KR100953489B1. Автор: 강양범. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2010-04-19.

Process of forming contact holes

Номер патента: US5500080A. Автор: Yang K. Choi. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1996-03-19.

A method of forming self-aligned contacts portion

Номер патента: CN106531684B. Автор: 赵超,朱慧珑,殷华湘,赵治国. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2019-07-16.

Method of forming self-aligned contacts for a semiconductor device

Номер патента: US8927407B2. Автор: Peter Baars,Andy Wei,Martin Mazur,Erik Geiss. Владелец: Globalfoundries Inc. Дата публикации: 2015-01-06.

Method of forming self-aligned contacts and local interconnects

Номер патента: US20070004187A1. Автор: An Chyi Wei. Владелец: Macronix International Co Ltd. Дата публикации: 2007-01-04.

Method for forming self aligned vias in multi level metal integrated circuit

Номер патента: KR100272499B1. Автор: 바실리 킷치. Владелец: 클라크 3세 존 엠.. Дата публикации: 2000-12-01.

Method of making CMOS device and contacts therein by enhanced oxidation of selectively implanted regions

Номер патента: US4470852A. Автор: Daniel L. Ellsworth. Владелец: NCR Corp. Дата публикации: 1984-09-11.

TRANSISTOR WITH REDUCED GATE RESISTANCE AND IMPROVED PROCESS MARGIN OF FORMING SELF-ALIGNED CONTACT

Номер патента: US20220051939A1. Автор: YANG SHENG-HUI. Владелец: . Дата публикации: 2022-02-17.

Methods to form self-aligned permanent on-chip interconnect structures

Номер патента: US20130001801A1. Автор: Qinghuang Lin. Владелец: International Business Machines Corp. Дата публикации: 2013-01-03.

A method to form self-aligned anti-via interconnects

Номер патента: SG118126A1. Автор: Gupta Subhash,Hong Sangki,Kwok Keung Ho Paul. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2006-01-27.

Forming self-aligned NiSi placement with improved performance and yield

Номер патента: US09607989B2. Автор: XIN Wang,LUN Zhao,Xusheng Wu,Yong Meng Lee,Yue Hu,Wen-Pin Peng,Wei-Hua TONG. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-28.

Forming Self-Aligned Contact with Spacer First

Номер патента: US20190027580A1. Автор: Xie Ruilong,Fan Su Chen,Pranatharthiharan Balasubramanian,Greene Andrew M.,Lian Sean,Raymond Mark V.. Владелец: . Дата публикации: 2019-01-24.

FORMING SELF-ALIGNED NiSi PLACEMENT WITH IMPROVED PERFORMANCE AND YIELD

Номер патента: US20160163702A1. Автор: WANG Xin,HU Yue,Wu Xusheng,Lee Yong Meng,ZHAO Lun,PENG Wen-Pin,TONG Wei-Hua. Владелец: . Дата публикации: 2016-06-09.

METHOD FOR FORMING SELF-ALIGNED CONTACTS/VIAS WITH HIGH CORNER SELECTIVITY

Номер патента: US20150170965A1. Автор: WANG Peng,Hudson Eric A.,INDRAKANTI Ananth. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2015-06-18.

Method for forming self-aligned contact of semiconductor device

Номер патента: KR100268443B1. Автор: 조창현,김기남,정태영. Владелец: 윤종용. Дата публикации: 2000-10-16.

Method for forming self alignment contact

Номер патента: CN1244727A. Автор: 林炳俊. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-02-16.

Method for forming self-aligned contacts in semiconductor devices

Номер патента: KR970018058A. Автор: 최창원. Владелец: 김광호. Дата публикации: 1997-04-30.

Method to form self aligned, L-shaped sidewall spacers

Номер патента: EP1164636B1. Автор: Gupta Subhash,Pradeep Yelehanka,Chhagan Vijai. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2007-12-19.

Method for forming self-aligning contact structure in semiconductor IC device

Номер патента: CN1319886A. Автор: 金允基,朴东建,朴钟佑. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2001-10-31.

Enlarged sacrificial gate caps for forming self-aligned contacts

Номер патента: US10008385B1. Автор: Haiting Wang,Chih-Chiang Chang,Ashish Kumar JHA,Mitchell Rutkowski. Владелец: Globalfoundries Inc. Дата публикации: 2018-06-26.

Method for forming self align contact hole of semiconductor device

Номер патента: KR100745058B1. Автор: 조영재. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-08-01.

Method for forming self-aligned double pattern, and semiconductor structure

Номер патента: EP4181172A4. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-12-27.

Manufacturing method of self-aligned via hole in the multilevel interconnects

Номер патента: TW415060B. Автор: Hua-Shu Wu,Jiun-Hung Peng. Владелец: United Microelectronics Corp. Дата публикации: 2000-12-11.

Self-Aligned Via and Plug Patterning for Back End of Line (BEOL) Interconnects

Номер патента: US20160204002A1. Автор: Wallace Charles H.,NYHUS Paul A.. Владелец: Intel Corporation. Дата публикации: 2016-07-14.

DOUBLE SELF ALIGNED VIA PATTERNING

Номер патента: US20140363969A1. Автор: Yin Yunpeng,Chen Hsueh-Chung,Xu Yongan,ZHAO Ailian. Владелец: . Дата публикации: 2014-12-11.

TRIBLOCK COPOLYMERS FOR SELF-ALIGNING VIAS OR CONTACTS

Номер патента: US20180323104A1. Автор: BLACKWELL JAMES M.,HAN Eungnak,YOUNKIN Todd R.,HARLSON Shane M.. Владелец: Intel Corporation. Дата публикации: 2018-11-08.

DOUBLE SELF ALIGNED VIA PATTERNING

Номер патента: US20150371896A1. Автор: Yin Yunpeng,Chen Hsueh-Chung,Xu Yongan,ZHAO Ailian. Владелец: . Дата публикации: 2015-12-24.

Integrated circuit structures comprising conductive vias and methods of forming conductive vias

Номер патента: US09704802B2. Автор: Zengtao T. Liu. Владелец: Micron Technology Inc. Дата публикации: 2017-07-11.

Dummy metal structure and method of forming dummy metal structure

Номер патента: US09472509B2. Автор: Shan GAO,Jae Kyu Cho. Владелец: Globalfoundries Inc. Дата публикации: 2016-10-18.

Method for forming self-alignment insulation structure

Номер патента: US20090283873A1. Автор: Hon-Chun Wang. Владелец: Individual. Дата публикации: 2009-11-19.

METHOD FOR FORMING SELF-ALIGNED ISOLATION TRENCHES IN SEMICONDUCTOR SUBSTRATE AND SEMICONDUCTOR DEVICE

Номер патента: US20150171162A1. Автор: LEE TZUNG-HAN,Hu Yaw-Wen. Владелец: INOTERA MEMORIES, INC.. Дата публикации: 2015-06-18.

Process for forming self-aligned dielectric isolation

Номер патента: EP0062170A2. Автор: Klaus Dietrich Beyer,Joseph Skinner Logan. Владелец: International Business Machines Corp. Дата публикации: 1982-10-13.

Method for forming self-aligned trench

Номер патента: CN113488430A. Автор: 杨军. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2021-10-08.

Process for forming self-aligned dielectric isolation

Номер патента: EP0062170B1. Автор: Klaus Dietrich Beyer,Joseph Skinner Logan. Владелец: International Business Machines Corp. Дата публикации: 1988-12-07.

Apparatus and method for forming self-aligned trench isolation

Номер патента: WO1988004106A1. Автор: Bing Yeh,Daniel Charles Guterman. Владелец: Xicor, Inc.. Дата публикации: 1988-06-02.

Controlled air gap formation

Номер патента: US20140248754A1. Автор: Abhijit Basu Mallick,Nitin K. Ingle,Jingjing Xu,Pravin K. Narwankar,Joe Griffith Cruz,Kiran V. Thadani. Владелец: Applied Materials Inc. Дата публикации: 2014-09-04.

Methods of forming self aligned spacers for nanowire device structures

Номер патента: EP3394898A1. Автор: Anand Murthy,Karthik Jambunathan,Seiyon Kim,Jun Sung Kang,Glenn Glass. Владелец: Intel Corp. Дата публикации: 2018-10-31.

Air gap formation method

Номер патента: US12087616B2. Автор: Ching-Yu Chang,Yu-Chung Su,Chin-Hsiang Lin,Chun-Chih Ho. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-10.

Air gap spacer for metal gates

Номер патента: US10553581B2. Автор: Kangguo Cheng,John R. Sporre,Eric R. Miller,Marc A. Bergendahl,Fee Li LIE,Sean TEEHAN. Владелец: International Business Machines Corp. Дата публикации: 2020-02-04.

Air gap spacer for metal gates

Номер патента: US09608065B1. Автор: Kangguo Cheng,John R. Sporre,Eric R. Miller,Marc A. Bergendahl,Fee Li LIE,Sean TEEHAN. Владелец: International Business Machines Corp. Дата публикации: 2017-03-28.

Method of forming a semiconductor device with air gaps for low capacitance interconnects

Номер патента: US20220130723A1. Автор: Kandabara Tapily. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-04-28.

Manufacturing method of semiconductor device and semiconductor device

Номер патента: US7867890B2. Автор: Masaru Seto. Владелец: Oki Semiconductor Co Ltd. Дата публикации: 2011-01-11.

Methods for forming air gaps in shallow trench isolation trenches for NAND memory

Номер патента: US09779983B2. Автор: Oshi Wakamatsu,Yasuhiro Domae. Владелец: SanDisk Technologies LLC. Дата публикации: 2017-10-03.

Methods of forming memory cells with air gaps and other low dielectric constant materials

Номер патента: US09679778B2. Автор: Minsoo Lee,Akira Goda. Владелец: Micron Technology Inc. Дата публикации: 2017-06-13.

Shallow trench air gaps and their formation

Номер патента: US09524973B1. Автор: Masafumi Yoshida,Ryo Nakamura. Владелец: SanDisk Technologies LLC. Дата публикации: 2016-12-20.

Electronic devices comprising air gaps adjacent to bitlines

Номер патента: US20230081678A1. Автор: Mithun Kumar RAMASAHAYAM,Michael J. Gossman. Владелец: Micron Technology Inc. Дата публикации: 2023-03-16.

Semiconductor Memory Device and Method of Fabricating the Same

Номер патента: US20240244824A1. Автор: Yu-Cheng Tung,Yifei Yan,Ken-Li Chen. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2024-07-18.

Methods Of Forming Self-Aligned Vias

Номер патента: US20190013202A1. Автор: Thompson David,Anthis Jeffrey W.,Mallick Abhijit Basu,Schmiege Benjamin,Duan Ziqing,Roy Susmit Singha. Владелец: . Дата публикации: 2019-01-10.

Methods Of Forming Self-Aligned Vias

Номер патента: US20180096847A1. Автор: Thompson David,Anthis Jeffrey W.,Mallick Abhijit Basu,Schmiege Benjamin,Duan Ziqing,Roy Susmit Singha. Владелец: . Дата публикации: 2018-04-05.

Method of forming self aligned double pattern

Номер патента: KR100714305B1. Автор: 홍창기,윤보언,최재광,권병호,박준상,윤세라. Владелец: 삼성전자주식회사. Дата публикации: 2007-05-02.

Method of forming self-aligned thin film transistor

Номер патента: CA2228037A1. Автор: James F. Farrell. Владелец: Individual. Дата публикации: 1997-02-13.

Method to form self-aligned high density nanocrystals

Номер патента: US20160071943A1. Автор: Sung-taeg Kang,Euhngi Lee. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2016-03-10.

METHOD TO FORM SELF-ALIGNED HIGH DENSITY NANOCRYSTALS

Номер патента: US20160071943A1. Автор: Kang Sung-Taeg,LEE Euhngi. Владелец: Freescale Semiconductor, Inc.. Дата публикации: 2016-03-10.

RECESSING RMG METAL GATE STACK FOR FORMING SELF-ALIGNED CONTACT

Номер патента: US20160149015A1. Автор: Cheng Kangguo,Khakifirooz Ali,Xie Ruilong,Cai Xiuyu. Владелец: . Дата публикации: 2016-05-26.

Method of forming self aligned grids in BSI image sensor

Номер патента: US11776985B2. Автор: Jiech-Fun Lu,Shih-Pei Chou,Tsun-Kai Tsao,Wei Chuang Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-03.

SELF-ALIGNED VIA AND AIR GAP

Номер патента: US20160260666A1. Автор: Wei Andy Chih-Hung,Zaleski Mark A.. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2016-09-08.

METHOD FOR FORMING SELF-ALIGNED AIRGAP INTERCONNECT STRUCTURES

Номер патента: US20150054122A1. Автор: Lin Qinghuang,Fletcher Benjamin L.,Cabral Cyril. Владелец: . Дата публикации: 2015-02-26.

METHODS AND APPARATUSES TO FORM SELF-ALIGNED CAPS

Номер патента: US20150270224A1. Автор: Singh Kanwal Jit,Boyanov Boyan. Владелец: . Дата публикации: 2015-09-24.

SELF-ALIGNED VIA INTERCONNECT STRUCTURES

Номер патента: US20160197038A1. Автор: Radens Carl J.,BACKES Benjamin C.,COHEN Brian A.,NAG Joyeeta. Владелец: . Дата публикации: 2016-07-07.

Method of forming self-aligned silicides

Номер патента: TWI310211B. Автор: Steven Huang,Yeihsiung Lin. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2009-05-21.

Method of making semiconductor devices having an implant damage protection film on the gate electrode sidewalls

Номер патента: US5145797A. Автор: Shoji Nakanishi. Владелец: Seiko Instruments Inc. Дата публикации: 1992-09-08.

Method for forming self-aligned, dual silicon nitride liner for cmos devices

Номер патента: WO2006093730A1. Автор: Haining Yang,Thomas W. Dyer. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2006-09-08.

Method for forming self-aligned, dual silicon nitride liner for cmos devices

Номер патента: EP1856726A1. Автор: Haining Yang,Thomas W. Dyer. Владелец: International Business Machines Corp. Дата публикации: 2007-11-21.

Method and structure of forming self-aligned RMG gate for VFET

Номер патента: US09780208B1. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2017-10-03.

Method of forming self-aligned contact of semiconductor device

Номер патента: KR100245136B1. Автор: 김정호,김승준,이동덕,김일욱. Владелец: 현대전자산업주식회사. Дата публикации: 2000-03-02.

STRUCTURE AND METHOD OF FORMING SELF ALIGNED CONTACTS IN SEMICONDUCTOR DEVICE

Номер патента: US20190181047A1. Автор: Sung Min Gyu. Владелец: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.. Дата публикации: 2019-06-13.

Method of forming self-aligned silicides

Номер патента: TW200620405A. Автор: Steven Huang,Yei-Hsiung Lin. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2006-06-16.

Method for forming self-aligned silicide layers on sub-quarter micron VLSI circuits

Номер патента: US6100191A. Автор: Jiun-Yuan Wu,Water Lur,Tony Lin,Hsiao-Lin Lu. Владелец: United Microelectronics Corp. Дата публикации: 2000-08-08.

Method and structure of forming self-aligned rmg gate for vfet

Номер патента: US20180019337A1. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2018-01-18.

Method for forming self-aligned, dual silicon nitride liner for CMOS devices

Номер патента: TW200710993A. Автор: Thomas W Dyer,hai-ning Yang. Владелец: Ibm. Дата публикации: 2007-03-16.

Method for forming self-aligned wells to support tight spacing

Номер патента: US20090042377A1. Автор: Seetharaman Sridhar. Владелец: Texas Instruments Inc. Дата публикации: 2009-02-12.

Method for forming self-aligned wells to support tight spacing

Номер патента: WO2009021187A3. Автор: Seetharaman Sridhar. Владелец: Seetharaman Sridhar. Дата публикации: 2009-04-09.

Method for forming self-aligned wells to support tight spacing

Номер патента: WO2009021187A2. Автор: Seetharaman Sridhar. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2009-02-12.

USING SELECTIVELY FORMED CAP LAYERS TO FORM SELF-ALIGNED CONTACTS TO SOURCE/DRAIN REGIONS

Номер патента: US20210280690A1. Автор: Cheng Kangguo,Xie Ruilong,Park Chanro,Lee Choonghyun. Владелец: . Дата публикации: 2021-09-09.

Method for forming self-aligned double-layer pattern

Номер патента: CN110459465B. Автор: 张志刚,徐灵芝,陆神洲. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2022-03-04.

Method for forming self-aligned contact of semiconductor device

Номер патента: KR940016879A. Автор: 박해성. Владелец: 현대전자산업 주식회사. Дата публикации: 1994-07-25.

Method to form self-aligned silicide with reduced sheet resistance

Номер патента: US6509264B1. Автор: Weining Li,Yung Tao Lin. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2003-01-21.

Method for Forming Self-Aligned Silcide of Semiconductor Device

Номер патента: KR100628253B1. Автор: 심규철,이완규. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2006-09-27.

A method to form self-aligned silicide with reduced sheet resistance

Номер патента: SG107556A1. Автор: Yung Tao Lin,Wei Ning Li. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2004-12-29.

Method for forming self-align silicide in semiconductor device

Номер патента: KR100271948B1. Автор: 이응준,서태욱,이수근,구자흠,김철성,정주혁. Владелец: 윤종용. Дата публикации: 2000-11-15.

A bicmos process for forming self-aligned npn emitters and bases and mosfet/source drains

Номер патента: EP0293731A3. Автор: Robert H. Havemann. Владелец: Texas Instruments Inc. Дата публикации: 1989-09-27.

Semiconductor device and method of forming a vertical interconnect structure for 3-D FO-WLCSP

Номер патента: US09847324B2. Автор: KANG Chen,Yaojian Lin. Владелец: Stats Chippac Pte Ltd. Дата публикации: 2017-12-19.

SELF ALIGNED VIA FUSE

Номер патента: US20160104677A1. Автор: Bao Junjing,Choi Samuel S.,Li Wai-Kin. Владелец: . Дата публикации: 2016-04-14.

SEMICONDUCTOR DEVICES WITH BACKSIDE POWER RAIL AND BACKSIDE SELF-ALIGNED VIA

Номер патента: US20210305381A1. Автор: Wang Chih-hao,Ju Shi Ning,Chuang Cheng-Chi,Cheng Kuan-Lun,Chiang Kuo-Cheng. Владелец: . Дата публикации: 2021-09-30.

Backside via and metal gate separation

Номер патента: US20240355708A1. Автор: Mei-Yun Wang,Shih-Chieh Wu,Po-Yu Huang,Fu-Kai Yang,Chen-Ming Lee,I-Wen Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-24.

FET with air gap spacer for improved overlap capacitance

Номер патента: US09508810B1. Автор: Kangguo Cheng,Pouya Hashemi,Alexander Reznicek,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2016-11-29.

Method of forming self-aligned top gate channel barrier region in ion-implanted JFET

Номер патента: US5120669A. Автор: Gregory A. Schrantz. Владелец: HARRIS CORP. Дата публикации: 1992-06-09.

Method of fabricating a heterojunction bipolar transistor

Номер патента: WO2006109208A3. Автор: Joost Melai,Philippe Meunier-Beillard,Erwin Hijzen,Johannes J T M Donkers. Владелец: Johannes J T M Donkers. Дата публикации: 2007-02-15.

Method of fabricating a heterojunction bipolar transistor

Номер патента: EP1875494A2. Автор: Johannes J. T. M. Donkers,Joost Melai,Philippe Meunier-Beillard,Erwin Hijzen. Владелец: NXP BV. Дата публикации: 2008-01-09.

Method of fabricating a heterojunction bipolar transistor

Номер патента: WO2006109208A2. Автор: Johannes J. T. M. Donkers,Joost Melai,Philippe Meunier-Beillard,Erwin Hijzen. Владелец: NXP B.V.. Дата публикации: 2006-10-19.

Methods of forming self aligned spacers for nanowire device structures

Номер патента: EP3394898B1. Автор: Anand Murthy,Karthik Jambunathan,Seiyon Kim,Jun Sung Kang,Glenn Glass. Владелец: Intel Corp. Дата публикации: 2023-09-20.

Fabrication method of trenched power MOSFET with low gate impedance

Номер патента: US7608511B1. Автор: Hsiu Wen Hsu. Владелец: Niko Semiconductor Co Ltd. Дата публикации: 2009-10-27.

METHOD OF FORMING SELF ALIGNED GRIDS IN BSI IMAGE SENSOR

Номер патента: US20200135798A1. Автор: Lu Jiech-Fun,Tsao Tsun-Kai,Wu Wei Chuang,Chou Shih-Pei. Владелец: . Дата публикации: 2020-04-30.

Method of forming self-aligned poly for embedded flash

Номер патента: US20050127435A1. Автор: Han-Ping Chen,Chung-Yi Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2005-06-16.

Method of Forming Self-Alignment Contact

Номер патента: US20170170292A1. Автор: HSU Hung-Chang,Jang Syun-Ming,Lin Sheng-Hsuan,SU Hung-Wen,Lee Ya-Lien,LIN Rueijer,TSAI Ming-Hsing,Liu Hsiao-Ping,Kao Yen-Shou. Владелец: . Дата публикации: 2017-06-15.

METHOD OF FORMING SELF ALIGNED GRIDS IN BSI IMAGE SENSOR

Номер патента: US20210280630A1. Автор: Lu Jiech-Fun,Tsao Tsun-Kai,Wu Wei Chuang,Chou Shih-Pei. Владелец: . Дата публикации: 2021-09-09.

METHODS OF FORMING SELF ALIGNED SPACERS FOR NANOWIRE DEVICE STRUCTURES

Номер патента: US20180358436A1. Автор: Murthy Anand,Kim Seiyon,JAMBUNATHAN KARTHIK,KANG Jun Sung,GLASS Glenn. Владелец: Intel Corporation. Дата публикации: 2018-12-13.

Method of forming self-aligned poly for embedded flash

Номер патента: US7153744B2. Автор: Han-Ping Chen,Chung-Yi Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2006-12-26.

Method of forming self-aligning contacting hole

Номер патента: JPS60216582A. Автор: シエン テン スウ. Владелец: RCA Corp. Дата публикации: 1985-10-30.

Method of forming self-aligned stacked capacitor

Номер патента: US20020022321A1. Автор: Ching-ming Lee,Wunn-Shien Liao,Ky Yang. Владелец: United Microelectronics Corp. Дата публикации: 2002-02-21.

FORMING SELF-ALIGNED CONDUCTIVE LINES FOR RESISTIVE RANDOM ACCESS MEMORIES

Номер патента: US20160028002A1. Автор: Tortorelli Innocenzo,Petruzza Pietro,Pellizzer Fabio. Владелец: . Дата публикации: 2016-01-28.

FORMING SELF-ALIGNED CONTACTS ON PILLAR STRUCTURES

Номер патента: US20180261649A1. Автор: "OSullivan Eugene J.",EDELSTEIN Daniel C.,Utomo Henry K.,Annunziata Anthony J.. Владелец: . Дата публикации: 2018-09-13.

FORMING SELF-ALIGNED CONDUCTIVE LINES FOR RESISTIVE RANDOM ACCESS MEMORIES

Номер патента: US20160293842A1. Автор: Tortorelli Innocenzo,Petruzza Pietro,Pellizzer Fabio. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2016-10-06.

FORMING SELF-ALIGNED CONTACTS ON PILLAR STRUCTURES

Номер патента: US20180308897A1. Автор: "OSullivan Eugene J.",EDELSTEIN Daniel C.,Utomo Henry K.,Annunziata Anthony J.. Владелец: . Дата публикации: 2018-10-25.

FORMING SELF-ALIGNED CONTACTS ON PILLAR STRUCTURES

Номер патента: US20180308898A1. Автор: "OSullivan Eugene J.",EDELSTEIN Daniel C.,Utomo Henry K.,Annunziata Anthony J.. Владелец: . Дата публикации: 2018-10-25.

RECESSING RMG METAL GATE STACK FOR FORMING SELF-ALIGNED CONTACT

Номер патента: US20160372576A1. Автор: Cheng Kangguo,Khakifirooz Ali,Xie Ruilong,Cai Xiuyu. Владелец: . Дата публикации: 2016-12-22.

method for forming self aligned field effect transistor structure

Номер патента: KR101070408B1. Автор: 백규하,도이미. Владелец: 한국전자통신연구원. Дата публикации: 2011-10-06.

Method for forming self-aligned Schottky junctions for semiconductor devices

Номер патента: JP5001295B2. Автор: ミュラー マーカス. Владелец: NXP BV. Дата публикации: 2012-08-15.

SELF-ALIGNED VIA FOR GATE CONTACT OF SEMICONDUCTOR DEVICES

Номер патента: US20160005822A1. Автор: Wang Zhongze,Yeap Choh Fei,SONG Stanley Seungchul,RIM Kern. Владелец: . Дата публикации: 2016-01-07.

High density memory array with self-aligned via

Номер патента: WO2017052586A1. Автор: Yih Wang,Kevin J. Lee. Владелец: Intel Corporation. Дата публикации: 2017-03-30.

Pop devices and methods of forming the same

Номер патента: US09793246B1. Автор: Hsien-Wei Chen,An-Jhih Su,Li-Hsien HUANG,Tien-Chung Yang,Hua-Wei Tseng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-17.

Method of fabricating vias in solder pads of a ball grid array (BGA) substrate

Номер патента: US20030022419A1. Автор: Shih-Ping Hsu. Владелец: Phoenix Precision Technology Corp. Дата публикации: 2003-01-30.

Method of forming memory device with physical vapor deposition system

Номер патента: US12035538B2. Автор: Chin-Szu Lee,Yu-Jen Chien,I-Pin CHIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Fabrication of self-aligned via holes in polymer thin films

Номер патента: EP2006930A3. Автор: Klaus Dimmler,Siddharth Mohapatra,Patrick H Jenkins. Владелец: Weyerhaeuser Co. Дата публикации: 2011-03-23.

Method of forming an electrical connector

Номер патента: US09825391B2. Автор: Huilin Ren,Thomas S. Cohen,Mark W. Gailus,Marc B. Cartier, Jr.,Trent K. Do. Владелец: Amphenol Corp. Дата публикации: 2017-11-21.

Electro-mechanical device and associated method of assembly

Номер патента: US20130093547A1. Автор: Scott Parsons,Zbyslaw Staniewicz,Andrew Malcolm Boyes. Владелец: LITENS AUTOMOTIVE PARTNERSHIP. Дата публикации: 2013-04-18.

Method and air baffle for improving air flow over ionizing pins

Номер патента: US20040012909A1. Автор: Michael Jacobs,John Gorczyca. Владелец: ILLINOIS TOOL WORKS INC. Дата публикации: 2004-01-22.

Method of forming self-aligned mask ROM

Номер патента: US6420235B1. Автор: Ling-Sung Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2002-07-16.

Method for forming self-aligned thermal isolation cell for a variable resistance memory array

Номер патента: TW200725810A. Автор: Erh-Kun Lai,Chia-Hua Ho,Kuang-Yeu Hsieh. Владелец: Macronix Int Co Ltd. Дата публикации: 2007-07-01.

Method to form self-aligned split gate flash with L-shaped wordline spacers

Номер патента: US6784039B2. Автор: Chia-Ta Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2004-08-31.

Self-aligned via contact for magnetic memory element

Номер патента: AU2003212446A1. Автор: Kelly Kyler,Saied N Tehrani,Gregory W Grynkewich,Mark A Durlam,Brian Butcher,John J D'Urso. Владелец: Motorola Inc. Дата публикации: 2003-09-29.

Method of forming self-aligned V-grooves and waveguides

Номер патента: IE913491A1. Автор: Anthony David Welbourn. Владелец: British Telecomm. Дата публикации: 1992-04-22.

Method of forming self-aligned v-grooves and waveguides

Номер патента: IE79653B1. Автор: Anthony David Welbourn. Владелец: British Telecomm. Дата публикации: 1998-05-20.

Semiconductor structure and method of forming the same

Номер патента: US20240164087A1. Автор: Shu-Ming Li,Tzu-Ming Ou Yang,Wei-Zhi FANG. Владелец: Winbond Electronics Corp. Дата публикации: 2024-05-16.

Method of forming memory cell using gas cluster ion beams

Номер патента: US20120235108A1. Автор: John Smythe. Владелец: Individual. Дата публикации: 2012-09-20.

Method of forming memory cell using gas cluster ion beams

Номер патента: EP2393957A1. Автор: John Smythe. Владелец: Micron Technology Inc. Дата публикации: 2011-12-14.

Method of forming memory cell using gas cluster ion beams

Номер патента: WO2010090900A1. Автор: John Smythe. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2010-08-12.

Method of forming memory cell using gas cluster ion beams

Номер патента: US20100288994A1. Автор: John Smythe. Владелец: Individual. Дата публикации: 2010-11-18.

Inflatable air gap tooling for assembly of rotor and stator

Номер патента: US09647519B2. Автор: Paul F. Turnbull,Alan G. Holmes. Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2017-05-09.

Method of separating a mine working by means of an air barrage and air barrage therefor

Номер патента: PL323211A1. Автор: John Joseph Reinmann,Edward Clay Barber. Владелец: Strata Products USA Inc. Дата публикации: 1998-05-25.

Method of thermal treatment of air with heat recovery

Номер патента: RU2669830C1. Автор: Олег Савельевич Кочетов. Владелец: Олег Савельевич Кочетов. Дата публикации: 2018-10-16.

Method for forming self-aligned overlay mark

Номер патента: US8664077B2. Автор: David Pratt,Vinay Nair,Christopher Hawk,Richard Housley. Владелец: Nanya Technology Corp. Дата публикации: 2014-03-04.

Method for forming self aligning contact window structure on semiconductor substrate

Номер патента: CN1365137A. Автор: 曾鸿辉. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2002-08-21.

A kind of manufacturing method of top-surface camber type air cushion, saddle, seat cushion, backrest and air cushion

Номер патента: CN109969304A. Автор: 陶泽成,陶超凡. Владелец: Individual. Дата публикации: 2019-07-05.

METHOD OF COOLING THE INTERIOR OF A COVER OF A MOTOR VEHICLE AND AIR INTAKE SYSTEM

Номер патента: FR3044611A1. Автор: Fabien Teissier. Владелец: PEUGEOT CITROEN AUTOMOBILES SA. Дата публикации: 2017-06-09.

METHODOLOGY FOR MODEL-BASED SELF-ALIGNED VIA AWARENESS IN OPTICAL PROXIMITY CORRECTION

Номер патента: US20180247008A1. Автор: Hamouda Ayman. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2018-08-30.

Micromechanical structure, device including the structure, and methods of forming and using same

Номер патента: US20050045920A1. Автор: Michael Kozicki. Владелец: Axon Technologies Corp. Дата публикации: 2005-03-03.

Micro-electro-mechanical pressure device and methods of forming same

Номер патента: US09975756B2. Автор: Lorenzo Baldo,Sebastiano Conti,Flavio Francesco Villa,Enri Duqi. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2018-05-22.

Method of stage change in fuel supply in device with combustion chamber

Номер патента: RU2526410C2. Автор: Дональд У. КЕНДРИК. Владелец: Лин Флейм, Инк.. Дата публикации: 2014-08-20.

Thermally stable air-gap etalon for dense wavelength-division multiplexing applications

Номер патента: WO2001006605A1. Автор: David L. Lunt. Владелец: Blue Sky Research. Дата публикации: 2001-01-25.

A method of forming a decorative glass article and a decorative glass article

Номер патента: WO1999010290A1. Автор: Mark Duro. Владелец: Mark Duro. Дата публикации: 1999-03-04.

Pneumatic system for vehicles and air pressure regulation method

Номер патента: RU2359846C2. Автор: Лео МЕДБЕРГ,Мартин ЛУНДГРЕН. Владелец: Скания Св Аб (Пабл). Дата публикации: 2009-06-27.

Wallpapers with air cleaning functions and method of their production

Номер патента: RU2432263C1. Автор: Гун Соо ЧУНГ,Ченг Зхе ХУАНГ. Владелец: Эл Джи Хаусис, Лтд.. Дата публикации: 2011-10-27.

Method of making a container with stereoscopic effect and a label applied by deformation

Номер патента: RU2730527C2. Автор: Ву Хонг БИЮН. Владелец: Ву Хонг БИЮН. Дата публикации: 2020-08-24.

Air gap insulated exhaust pipe with branch pipe stub and method of manufacturing same

Номер патента: US20020014007A1. Автор: Pierre Bonny,Thomas Huelsberg. Владелец: Daimler Benz AG. Дата публикации: 2002-02-07.

Mode-matched single proof-mass dual-axis gyroscope and method of fabrication

Номер патента: US09726489B2. Автор: Farrokh Ayazi,Wang-Kyung Sung,Mohammad ZAMAN. Владелец: Georgia Tech Research Corp. Дата публикации: 2017-08-08.

Renewal method of air-conditioning unit for vehicle and air-conditioning unit for vehicle

Номер патента: US20130133344A1. Автор: Shiro Takatani,Masatoshi Urakawa. Владелец: Mitsubishi Electric Corp. Дата публикации: 2013-05-30.

Renewal method of air-conditioning unit for vehicle and air-conditioning unit for vehicle

Номер патента: US09890978B2. Автор: Shiro Takatani,Masatoshi Urakawa. Владелец: Mitsubishi Electric Corp. Дата публикации: 2018-02-13.

Explosive tube having air gap and method of blasting bedrock using same

Номер патента: US09829287B2. Автор: Jin Sung Lee. Владелец: Individual. Дата публикации: 2017-11-28.

Method of getting outside building construction

Номер патента: RU2630836C2. Автор: Рудольф БРАНД. Владелец: Бдпс Инженергезелльшафт Мбх. Дата публикации: 2017-09-13.

Method of gas feed to air heater, heater and air guide sleeve

Номер патента: RU2524982C2. Автор: Юха ОЯНПЕРЯ. Владелец: Валмет Пауэр Ой. Дата публикации: 2014-08-10.

Method of forming self-aligned rugged crown-shaped capacitor of high-density dynamic random access memory

Номер патента: TW425702B. Автор: Shie-Lin Wu. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2001-03-11.

Fabrication method of forming self-aligned salicide

Номер патента: TW281788B. Автор: Ming-Jong Yang,Bor-Ren Jeng. Владелец: United Microelectronics Corp. Дата публикации: 1996-07-21.

Method of forming self-aligned silicide by double ion implantation

Номер патента: TW418449B. Автор: Chi-Ming Yang,Jau-Jie Tsai,Shou-Ren Jang,Jeng-Kuen Lin. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2001-01-11.

Method of forming self-aligned salicide

Номер патента: TW308721B. Автор: Jiunn-Shyan Lin. Владелец: United Microelectronics Corp. Дата публикации: 1997-06-21.

Method of forming self-aligned contacts

Номер патента: TWI221639B. Автор: Pei-Ren Jeng. Владелец: Macronix Int Co Ltd. Дата публикации: 2004-10-01.

Method of forming self-aligned contacts

Номер патента: TW200423234A. Автор: Pei-Ren Jeng. Владелец: Macronix Int Co Ltd. Дата публикации: 2004-11-01.

METHODS OF FORMING SELF-ALIGNED CONTACTS FOR A SEMICONDUCTOR DEVICE FORMED USING REPLACEMENT GATE TECHNIQUES

Номер патента: US20130288468A1. Автор: Chi Min-Hwa. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-10-31.

METHODS OF FORMING SELF-ALIGNED THROUGH SILICON VIA

Номер патента: US20130065393A1. Автор: Gambino Jeffrey P.,Stamper Anthony K.,LEIDY Robert K.. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-03-14.

Method of Forming Self-Aligned Contacts for a Semiconductor Device

Номер патента: US20130189833A1. Автор: Baars Peter,Wei Andy,Geiss Erik,Mazur Martin. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-07-25.

METHODS OF FORMING SELF-ALIGNED CONTACTS FOR A SEMICONDUCTOR DEVICE

Номер патента: US20130288471A1. Автор: Chi Min-Hwa. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-10-31.

Method of forming self-aligned metal silicide

Номер патента: TWI251272B. Автор: Erh-Kun Lai,Tung-Cheng Kuo,Shou-Wei Hwang,Yu-Ping Huang. Владелец: Macronix Int Co Ltd. Дата публикации: 2006-03-11.

Method of forming self-aligned salicide

Номер патента: TW304279B. Автор: Jenn-Hwa Yu. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 1997-05-01.

Manufacturing method of forming self-aligned twin-tub well on semiconductor substrate

Номер патента: TW301769B. Автор: Jyi-Shyi Wu. Владелец: Winbond Electronics Corp. Дата публикации: 1997-04-01.

Method of and apparatus for detonation-free combustion of air-fuel mixture and air-fuel mixture for internal combustion engine

Номер патента: PL262499A1. Автор: . Владелец: . Дата публикации: 1988-08-04.

Integrally formed self aligning bearing

Номер патента: AU220369B2. Автор: Mills Ready Graeme. Владелец: Individual. Дата публикации: 1957-11-07.

Method for forming self-aligned trench isolation

Номер патента: TW492140B. Автор: Horng-Huei Tseng. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2002-06-21.

Structure and method for forming self-aligned bipolar junction transistor with expitaxy base

Номер патента: TW546809B. Автор: Shu-Ya Chuang. Владелец: United Microelectronics Corp. Дата публикации: 2003-08-11.

Integrally formed self aligning bearing

Номер патента: AU2197556A. Автор: Mills Ready Graeme. Владелец: Individual. Дата публикации: 1957-11-07.

Process for forming self-aligned multi-level interconnect structure

Номер патента: TW444335B. Автор: Hsu-Li Cheng,Erik S Jeng. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2001-07-01.

METHODS TO FORM SELF-ALIGNED PERMANENT ON-CHIP INTERCONNECT STRUCTURES

Номер патента: US20120018891A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-01-26.

METHOD FOR FORMING SELF-ALIGNED CONTACT

Номер патента: US20120267727A1. Автор: . Владелец: NANYA TECHNOLOGY CORPORATION. Дата публикации: 2012-10-25.

METHODS TO FORM SELF-ALIGNED PERMANENT ON-CHIP INTERCONNECT STRUCTURES

Номер патента: US20130001801A1. Автор: Lin Qinghuang. Владелец: INTERNATIOANL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-01-03.

METHOD FOR FORMING SELF-ALIGNED OVERLAY MARK

Номер патента: US20130210213A1. Автор: Pratt David,Nair Vinay,Hawk Christopher,Housley Richard. Владелец: . Дата публикации: 2013-08-15.

How to form self-aligned contacts

Номер патента: KR950025876A. Автор: 남종완,권성우. Владелец: 현대전자산업 주식회사. Дата публикации: 1995-09-18.

Method for forming self-aligned metallic silicide

Номер патента: CN102044422B. Автор: 聂佳相,卢炯平,杨瑞鹏,孔祥涛. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2012-07-04.

How to form self-aligned contacts

Номер патента: KR19990074362A. Автор: 염계희. Владелец: 윤종용. Дата публикации: 1999-10-05.

How to form self-aligned contacts

Номер патента: KR950007100A. Автор: 성진모. Владелец: 현대전자산업 주식회사. Дата публикации: 1995-03-21.

How to form self-aligned contacts

Номер патента: KR940007990A. Автор: 김진웅,손곤. Владелец: 현대전자산업 주식회사. Дата публикации: 1994-04-28.

Method for forming self-aligning contact window structure

Номер патента: CN1290158C. Автор: 董明圣,李岳川. Владелец: Promos Technologies Inc. Дата публикации: 2006-12-13.

Method for using dual damascene trench to form self-aligned mask ROM

Номер патента: TW477003B. Автор: Pei-Ren Jeng,Tzung-Ye Li,Wei-Min Jung. Владелец: Macronix Int Co Ltd. Дата публикации: 2002-02-21.

Method for forming self-alignment metal salicide CMOS semi transistor

Номер патента: TW366566B. Автор: Shye-Lin Wu. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 1999-08-11.

Making process for forming self-alignment contact plug useful in an embedded random access memory

Номер патента: TW385529B. Автор: Jen-Ye Shr. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2000-03-21.

Method for forming self-aligned metal oxide semiconductor transistor

Номер патента: TW563189B. Автор: Ding-Jang Jang,Huang-Jung Jeng,Jeng-Jie Yang. Владелец: United Microelectronics Corp. Дата публикации: 2003-11-21.

SELF ALIGNING VIA PATTERNING

Номер патента: US20120302057A1. Автор: Kanakasabapathy Sivananda K.,Yin Yunpeng,Burns Sean D.,Arnold John Christopher. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-11-29.

METHOD OF MAKING A FUEL CELL DEVICE

Номер патента: US20120003570A1. Автор: Devoe Alan,Devoe Lambert. Владелец: . Дата публикации: 2012-01-05.

METHOD OF MAKING A FUEL CELL DEVICE

Номер патента: US20120003571A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ETCHANTS AND METHODS OF FABRICATING SEMICONDUCTOR DEVICES USING THE SAME

Номер патента: US20120001264A1. Автор: YANG Jun-Kyu,Kim Hong-Suk,Hwang Ki-Hyun,Ahn Jae-Young,Lim Hun-Hyeong,KIM Jin-Gyun. Владелец: . Дата публикации: 2012-01-05.

Engine systems and methods of operating an engine

Номер патента: US20120000435A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

CERAMIC/STRUCTURAL PROTEIN COMPOSITES AND METHOD OF PREPARATION THEREOF

Номер патента: US20120003280A1. Автор: Wei Mei,Qu Haibo. Владелец: . Дата публикации: 2012-01-05.

Antistatic laminate, optical film, polarizing plate, image display device and production method of antistatic laminate

Номер патента: US20120003467A1. Автор: . Владелец: FUJI FILM Corporation. Дата публикации: 2012-01-05.

SYSTEM AND METHOD OF ILLUMINATING INTERFEROMETRIC MODULATORS USING BACKLIGHTING

Номер патента: US20120001962A1. Автор: Tung Ming-Hau,Chui Clarence. Владелец: QUALCOMM MEMS Technologies, Inc.. Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING WAX-CONTAINING POLYMER PARTICLES

Номер патента: US20120003581A1. Автор: Yang Xiqiang,Bennett James R.. Владелец: . Дата публикации: 2012-01-05.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20120003829A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

LIGHT EMITTING MODULE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120001544A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD OF PRODUCING SEMICONDUCTOR DEVICE

Номер патента: US20120003841A1. Автор: . Владелец: ULVAC, INC.. Дата публикации: 2012-01-05.

Semiconductor Package and Method of Forming Similar Structure for Top and Bottom Bonding Pads

Номер патента: US20120001326A1. Автор: . Владелец: STATS CHIPPAC, LTD.. Дата публикации: 2012-01-05.

SEPARATOR FOR AN ELECTRICITY STORAGE DEVICE AND METHOD OF MANUFACTURING SAME

Номер патента: US20120003525A1. Автор: . Владелец: TOMOEGAWA CO., LTD.. Дата публикации: 2012-01-05.

Method of Regenerating a Polishing Pad Using a Polishing Pad Sub Plate

Номер патента: US20120003903A1. Автор: SUZUKI Eisuke,SUZUKI Tatsutoshi. Владелец: Toho Engineering. Дата публикации: 2012-01-05.

ABSORPTION HEAT PUMP SYSTEM AND METHOD OF USING THE SAME

Номер патента: US20120000221A1. Автор: Wang Kai,Abdelaziz Omar,Vineyard Edward Allan,ZALTASH Abdolreza. Владелец: . Дата публикации: 2012-01-05.

FLARED TIP FAN BLADE AND METHOD OF MANUFACTURING SAME

Номер патента: US20120003098A1. Автор: . Владелец: SPX Cooling Technologies, Inc.. Дата публикации: 2012-01-05.

FUEL CELL SYSTEM AND DRIVING METHOD OF THE SAME

Номер патента: US20120003553A1. Автор: Kim Dong-hyun,KIM Hyun,Hong Ming-Zi,Kim Dong-Rak,Cho Woong-Ho. Владелец: Samsung SDI Co., Ltd.. Дата публикации: 2012-01-05.

Method Of Refining The Grain Structure Of Alloys

Номер патента: US20120000317A1. Автор: Flemings Merton C.,Ragone David V.. Владелец: . Дата публикации: 2012-01-05.

PHOTOVOLTAIC MODULE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120000506A1. Автор: Kim Dong-Jin,KANG Ku-Hyun,NAM Yuk-Hyun,Lee Jung-Eun. Владелец: . Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING CRYSTALLINE SILICON SOLAR CELLS USING EPITAXIAL DEPOSITION

Номер патента: US20120000511A1. Автор: . Владелец: Applied Materials, Inc.. Дата публикации: 2012-01-05.

STAMPER, METHOD OF MANUFACTURING THE STAMPER, AND MAGNETIC RECORDING MEDIUM MANUFACTURING METHOD USING THE STAMPER

Номер патента: US20120000885A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

Manufacturing Method of Electrode Material

Номер патента: US20120001120A1. Автор: Yamakaji Masaki,Miwa Takuya. Владелец: . Дата публикации: 2012-01-05.

MEMORY CELL THAT EMPLOYS A SELECTIVELY FABRICATED CARBON NANO-TUBE REVERSIBLE RESISTANCE-SWITCHING ELEMENT AND METHODS OF FORMING THE SAME

Номер патента: US20120001150A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120001182A1. Автор: Choi Jong-Hyun,Lee Dae-Woo. Владелец: Samsung Mobile Display Co., Ltd.. Дата публикации: 2012-01-05.

ORGANIC EL DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120001186A1. Автор: ONO Shinya,KONDOH Tetsuro. Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

THIN FILM TRANSISTOR AND METHOD OF FABRICATING SAME

Номер патента: US20120001190A1. Автор: Yaneda Takeshi,Aita Tetsuya,Harumoto Yoshiyuki,Inoue Tsuyoshi,OKABE Tohru. Владелец: SHARP KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

ARRAY SUBSTRATE, METHOD OF MANUFACTURING THE ARRAY SUBSTRATE, AND DISPLAY APPARATUS INCLUDING THE ARRAY SUBSTRATE

Номер патента: US20120001191A1. Автор: . Владелец: Samsung Mobile Display Co., Ltd.. Дата публикации: 2012-01-05.

GROUP III NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME, AND LAMP

Номер патента: US20120001220A1. Автор: . Владелец: SHOWA DENKO K.K.. Дата публикации: 2012-01-05.

ULTRAHIGH DENSITY VERTICAL NAND MEMORY DEVICE AND METHOD OF MAKING THEREOF

Номер патента: US20120001247A1. Автор: Alsmeier Johann. Владелец: SanDisk Corporation. Дата публикации: 2012-01-05.

ULTRAHIGH DENSITY VERTICAL NAND MEMORY DEVICE & METHOD OF MAKING THEREOF

Номер патента: US20120001249A1. Автор: Alsmeier Johann,Samachisa George. Владелец: SanDisk Corporation. Дата публикации: 2012-01-05.

ULTRAHIGH DENSITY VERTICAL NAND MEMORY DEVICE AND METHOD OF MAKING THEREOF

Номер патента: US20120001250A1. Автор: Alsmeier Johann. Владелец: SanDisk Corporation. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING THE SAME, AND SOLID-STATE IMAGE SENSOR

Номер патента: US20120001291A1. Автор: Kokumai Kazuo. Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

DRIVING METHOD OF LIQUID CRYSTAL DISPLAY DEVICE

Номер патента: US20120001881A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

DRIVING METHOD OF LIQUID CRYSTAL DISPLAY DEVICE

Номер патента: US20120002132A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

METHOD OF FORMING FINE PATTERNS USING A BLOCK COPOLYMER

Номер патента: US20120003587A1. Автор: . Владелец: Samsung Electronics Co., Ltd. Дата публикации: 2012-01-05.

CANCER BIOMARKERS AND METHODS OF USE THEREOF

Номер патента: US20120003639A1. Автор: KERLIKOWSKE KARLA,TLSTY THEA D.,GAUTHIER MONA L.,BERMAN HAL K.,BREMER TROY,MOLINARO ANNETTE M.. Владелец: . Дата публикации: 2012-01-05.

METHOD OF PRODUCING ORGANIC LIGHT-EMITTING DEVICE

Номер патента: US20120003764A1. Автор: Koike Atsushi,Kameyama Makoto. Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер патента: US20120003795A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

METHOD OF FABRICATING AN INTEGRATED CIRCUIT DEVICE

Номер патента: US20120003806A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003812A1. Автор: Sasaki Makoto,NISHIGUCHI Taro,HARADA Shin,Okita Kyoko,Namikawa Yasuo. Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

SEMICONDUCTOR MEMORY DEVICE AND METHOD OF FORMING THE SAME

Номер патента: US20120003828A1. Автор: Chang Sung-Il,Choe Byeong-In,KANG Changseok. Владелец: . Дата публикации: 2012-01-05.

Methods of Forming Nonvolatile Memory Devices Using Nonselective and Selective Etching Techniques to Define Vertically Stacked Word Lines

Номер патента: US20120003831A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

COMPOSITIONS AND METHODS OF DELIVERY OF PHARMACOLOGICAL AGENTS

Номер патента: US20120004177A1. Автор: Trieu Vuong,Desai Neil P.,Soon-Shiong Patrick. Владелец: . Дата публикации: 2012-01-05.

Polymer and Method of Forming a Polymer

Номер патента: US20120004338A1. Автор: Hywel-Evans Duncan. Владелец: Adbruf Ltd.. Дата публикации: 2012-01-05.

Method of manufacturing printed circuit board having flow preventing dam

Номер патента: US20120000067A1. Автор: CHOI Jin Won,KIM Seung Wan. Владелец: SAMSUNG ELLECTRO-MECHANICS CO., LTD.. Дата публикации: 2012-01-05.

CRYSTALLINE CERIUM OXIDE AND PREPARATION METHOD OF THE SAME

Номер патента: US20120000137A1. Автор: CHOI Sang-Soon,CHO Seung-Beom,HA Hyun-Chul,KWAK Ick-Soon,CHO Jun-Yeon. Владелец: LG CHEM, LTD.. Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер патента: US20120000484A1. Автор: . Владелец: Denso Corporation. Дата публикации: 2012-01-05.

METHOD OF STACKING FLEXIBLE SUBSTRATE

Номер патента: US20120000602A1. Автор: KIM Yong Hae,PARK Dong Jin,Suh Kyung Soo,KIM Gi Heon,KIM Chul Am. Владелец: Electronics and Telecommunications Research Institute. Дата публикации: 2012-01-05.

Knuckle Formed Through The Use Of Improved External and Internal Sand Cores and Method of Manufacture

Номер патента: US20120000877A1. Автор: Smerecky Jerry R.,Nibouar F. Andrew,SMITH Douglas. Владелец: . Дата публикации: 2012-01-05.

Antireflective Coatings for Via Fill and Photolithography Applications and Methods of Preparation Thereof

Номер патента: US20120001135A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

RADIATION IMAGE DETECTION APPARATUS AND MANUFACTURING METHOD OF RADIATION IMAGE DETECTOR

Номер патента: US20120001201A1. Автор: . Владелец: FUJIFILM Corporation. Дата публикации: 2012-01-05.

CMOS Image Sensor Including PNP Triple Layer And Method Of Fabricating The CMOS Image Sensor

Номер патента: US20120001241A1. Автор: Park Won-je. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-01-05.

METHODS OF FORMING NANOSCALE FLOATING GATE

Номер патента: US20120001248A1. Автор: Sandhu Gurtej S.,Ramaswamy D.V. Nirmal. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE WHICH A PLURALITY OF TYPES OF TRANSISTORS ARE MOUNTED

Номер патента: US20120001265A1. Автор: . Владелец: FUJITSU SEMICONDUCTOR LIMITED. Дата публикации: 2012-01-05.

ELECTRODE STRUCTURE, METHOD OF FABRICATING THE SAME, AND SEMICONDUCTOR DEVICE INCLUDING THE ELECTRODE STRUCTURE

Номер патента: US20120001267A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method of Manufacturing Vertical Pin Diodes

Номер патента: US20120001305A1. Автор: Peroni Marco,Pantellini Alessio. Владелец: SELEX SISTEMI INTEGRATI S.P.A.. Дата публикации: 2012-01-05.

SEMICONDUCTOR PACKAGE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120001329A1. Автор: Kim Young Lyong,Lee Jongho,AHN EUNCHUL,Kim Hyeongseob. Владелец: Samsung Electronics Co., Ltd. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120001333A1. Автор: HWANG Chang Youn. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

WAFER STACKED PACKAGE WAVING BERTICAL HEAT EMISSION PATH AND METHOD OF FABRICATING THE SAME

Номер патента: US20120001348A1. Автор: . Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING SEMICONDUCTOR MODULES AND SEMICONDUCTOR MODULE

Номер патента: US20120001349A1. Автор: Suzuki Yoshikazu,KANEKO Takahisa,HARADA Daisuke,ISHIYAMA Hiroshi. Владелец: . Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING A DRESSING

Номер патента: US20120001366A1. Автор: . Владелец: BOEHRINGER TECHNOLOGIES, L.P.. Дата публикации: 2012-01-05.

STATOR FOR ELECTRIC ROTATING MACHINE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120001516A1. Автор: . Владелец: Denso Corporation. Дата публикации: 2012-01-05.

ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE AND MANUFACTURING METHOD OF THE SAME

Номер патента: US20120001885A1. Автор: Kim Na-Young,Kang Ki-Nyeng,Park Yong-Sung. Владелец: . Дата публикации: 2012-01-05.

Method of Forming Long Strips of Dielectric Coated Metalized Film

Номер патента: US20120002347A1. Автор: Balliette William M.,Jamison Keith D.. Владелец: FARADOX ENERGY STORAGE, INC.. Дата публикации: 2012-01-05.

METHOD OF DRIVING A LASER DIODE

Номер патента: US20120002690A1. Автор: Watanabe Hideki,Ikeda Masao,Yokoyama Hiroyuki,Miyajima Takao,Oki Tomoyuki,Kono Shunsuke. Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

METHOD OF DRIVING A LASER DIODE

Номер патента: US20120002695A1. Автор: . Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

ALIGNMENT METHOD OF SEMICONDUCTOR OPTICAL AMPLIFIER AND LIGHT OUTPUT DEVICE

Номер патента: US20120002696A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

WAVELENGTH MULTIPLEXER/DEMULTIPLEXER AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120002918A1. Автор: . Владелец: FURUKAWA ELECTRIC CO., LTD.. Дата публикации: 2012-01-05.

OPTICAL WAVEGUIDE DEVICE AND METHOD OF MANUFACTURING THEREOF

Номер патента: US20120002931A1. Автор: Watanabe Shinya. Владелец: NEC Corporation. Дата публикации: 2012-01-05.

Methods of Preparing Non-Alcohol Bioactive Esential Oil Mouth Rinses

Номер патента: US20120003162A1. Автор: Mordas Carolyn J.,Queiroz Daniel R.,Tsai Patrick B.. Владелец: McNeil-PPC, Inc.. Дата публикации: 2012-01-05.

Methods Of Enhancing Antibody-Dependent Cellular Cytotoxicity

Номер патента: US20120003213A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

MANURACTURING METHOD OF EGG WITH EDIBLE COMPOSITION

Номер патента: US20120003368A1. Автор: LEE Hye-Jin. Владелец: . Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING OPTICAL WAVEGUIDE

Номер патента: US20120003385A1. Автор: Naito Ryusuke. Владелец: NITTO DENKO CORPORATION. Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING OPTICAL WAVEGUIDE

Номер патента: US20120003393A1. Автор: . Владелец: NITTO DENKO CORPORATION. Дата публикации: 2012-01-05.

METHOD OF FABRICATING AN ELECTROCHEMICAL DEVICE USING ULTRAFAST PULSED LASER DEPOSITION

Номер патента: US20120003395A1. Автор: CHE Yong,HU Zhendong. Владелец: IMRA AMERICA, INC.. Дата публикации: 2012-01-05.

LIGNIN/POLYACRYLONITRILE-CONTAINING DOPES, FIBERS, AND METHODS OF MAKING SAME

Номер патента: US20120003471A1. Автор: . Владелец: WEYERHAEUSER NR COMPANY. Дата публикации: 2012-01-05.

MAGNETIC RECORDING MEDIUM AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120003503A1. Автор: . Владелец: FUJIFILM Corporation. Дата публикации: 2012-01-05.

Air Water Vacuum Syringe and Method of Use

Номер патента: US20120003603A1. Автор: Hirsch James A.,Hirsch Thomas R.. Владелец: . Дата публикации: 2012-01-05.

METHOD OF FABRICATING A LIGHT EMITTING DIODE CHIP HAVING PHOSPHOR COATING LAYER

Номер патента: US20120003758A1. Автор: HSIEH Chung-Chuan. Владелец: . Дата публикации: 2012-01-05.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE AND FABRICATION SYSTEM OF SEMICONDUCTOR DEVICE

Номер патента: US20120003761A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

LIGHT EMITTING DIODE HAVING A THERMAL CONDUCTIVE SUBSTRATE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120003766A1. Автор: . Владелец: Seoul Opto Device Co., Ltd.. Дата публикации: 2012-01-05.

Methods of manufacturing semiconductor devices with Si and SiGe epitaxial layers

Номер патента: US20120003799A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR MEMORY DEVICE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120003808A1. Автор: Lee Sang-yun. Владелец: BESANG INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR STRUCTURE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120003815A1. Автор: Lee Sang-yun. Владелец: BESANG INC.. Дата публикации: 2012-01-05.

METHOD OF FORMING STRAINED EPITAXIAL CARBON-DOPED SILICON FILMS

Номер патента: US20120003825A1. Автор: Dip Anthony. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-05.

Field emission electrode, method of manufacturing the same, and field emission device comprising the same

Номер патента: US20120003895A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SATIATION POUCHES AND METHODS OF USE

Номер патента: US20120004590A1. Автор: Stack Richard S.,Williams Michael S.,Glenn Richard A.,Athas William L.,LUNSFORD John,Balbierz Dan. Владелец: Barosense, Inc. Дата публикации: 2012-01-05.

WOUND DRESSING APPARATUS AND METHOD OF USE

Номер патента: US20120004628A1. Автор: . Владелец: Smith & Nephew PLC. Дата публикации: 2012-01-05.

STEERABLE SURGICAL SNARE AND METHOD OF USE

Номер патента: US20120004647A1. Автор: Cowley Collin George. Владелец: The University of Utah. Дата публикации: 2012-01-05.

System and Method of Making Tapered Looped Suture

Номер патента: US20120004686A1. Автор: Maiorino Nicholas,Bowns William R.. Владелец: . Дата публикации: 2012-01-05.

COMPRESSION SPRINGS AND METHODS OF MAKING SAME

Номер патента: US20120000073A1. Автор: . Владелец: Renton Coil Spring Company. Дата публикации: 2012-01-05.

SOL-GEL MONOLITHIC COLUMN WITH OPTICAL WINDOW AND METHOD OF MAKING

Номер патента: US20120000850A1. Автор: . Владелец: UNIVERSITY OF SOUTH FLORIDA. Дата публикации: 2012-01-05.

BATTERY TAB JOINTS AND METHODS OF MAKING

Номер патента: US20120000964A1. Автор: . Владелец: GM GLOBAL TECHNOLOGY OPERATIONS, INC.. Дата публикации: 2012-01-05.

RRAM structure and method of making the same

Номер патента: US20120001141A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE, METHOD OF FABRICATING THE SAME, AND SEMICONDUCTOR MODULE AND ELECTRONIC SYSTEM INCLUDING THE SEMICONDUCTOR DEVICE

Номер патента: US20120001272A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

PACKAGE FOR SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING THE SAME AND SEMICONDUCTOR DEVICE

Номер патента: US20120001310A1. Автор: Horiki Hiroshi,NISHINO MASANORI. Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

PACKAGE FOR SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING THE SAME AND SEMICONDUCTOR DEVICE

Номер патента: US20120001311A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

METHOD OF NON-AQUEOUS INKJET COMPOSITE PRINTING AND INK SET

Номер патента: US20120001979A1. Автор: WATANABE Yoshifumi,YAMAMOTO Akiko. Владелец: RISO KAGAKU CORPORATION. Дата публикации: 2012-01-05.

MULTI-LAYER PANEL AND METHOD OF MANUFACTURING SUCH A PANEL

Номер патента: US20120002288A1. Автор: Maass Uwe. Владелец: MUSION SYSTEMS LIMITED. Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF ENERGY STORAGE DEVICE

Номер патента: US20120003383A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

METHOD OF FORMING A TERNARY ALLOY CATALYST FOR FUEL CELL

Номер патента: US20120003569A1. Автор: Protsailo Lesia V.,Kawamura Tetsuo. Владелец: . Дата публикации: 2012-01-05.

Method of fabricating rubber tire element and air tire

Номер патента: RU2395394C2. Автор: Масаюки САКАМОТО. Владелец: Сумитомо Раббер Индастриз, Лтд.. Дата публикации: 2010-07-27.

Improved Method of Compressing Air or Gases, and Apparatus therefor.

Номер патента: GB190812565A. Автор: Herbert Alfred Humphrey. Владелец: Individual. Дата публикации: 1909-06-10.

Liquid Crystal Display Device And Method Of Manufacturing That

Номер патента: US20120004453A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Water-heating boiler and method of its operation

Номер патента: RU2452905C2. Автор: Ришат Асхатович Самигуллин. Владелец: Ришат Асхатович Самигуллин. Дата публикации: 2012-06-10.

ELECTROLYTIC METHOD OF FUEL

Номер патента: US20120000788A1. Автор: . Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF POWER STORAGE DEVICE

Номер патента: US20120003530A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.