• Главная
  • Method and structure to form self-aligned selective-SOI

Method and structure to form self-aligned selective-SOI

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method for forming self-aligned isolation trenches in semiconductor substrate and semiconductor device

Номер патента: US20150171162A1. Автор: Tzung-Han Lee,Yaw-Wen Hu. Владелец: Inotera Memories Inc. Дата публикации: 2015-06-18.

Methods of forming self aligned spacers for nanowire device structures

Номер патента: EP3394898A1. Автор: Anand Murthy,Karthik Jambunathan,Seiyon Kim,Jun Sung Kang,Glenn Glass. Владелец: Intel Corp. Дата публикации: 2018-10-31.

Method of forming self-aligned silicides

Номер патента: US20060121708A1. Автор: Steven Huang,Yei-Hsiung Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2006-06-08.

Method of Forming Self-Aligned Contacts for a Semiconductor Device

Номер патента: US20130189833A1. Автор: Peter Baars,Andy Wei,Martin Mazur,Erik Geiss. Владелец: Globalfoundries Inc. Дата публикации: 2013-07-25.

Method of forming self-aligned silicide layers on semiconductor devices

Номер патента: US20020182860A1. Автор: Jerry Lin,Cheng-Kuo Yuan,Chi-Wei Chou. Владелец: SILICON INTEGRATED SYSTEMS CORP. Дата публикации: 2002-12-05.

Methods of forming self aligned spacers for nanowire device structures

Номер патента: EP3394898B1. Автор: Anand Murthy,Karthik Jambunathan,Seiyon Kim,Jun Sung Kang,Glenn Glass. Владелец: Intel Corp. Дата публикации: 2023-09-20.

RECESSING RMG METAL GATE STACK FOR FORMING SELF-ALIGNED CONTACT

Номер патента: US20160149015A1. Автор: Cheng Kangguo,Khakifirooz Ali,Xie Ruilong,Cai Xiuyu. Владелец: . Дата публикации: 2016-05-26.

METHOD FOR FORMING SELF-ALIGNED ISOLATION TRENCHES IN SEMICONDUCTOR SUBSTRATE AND SEMICONDUCTOR DEVICE

Номер патента: US20150171162A1. Автор: LEE TZUNG-HAN,Hu Yaw-Wen. Владелец: INOTERA MEMORIES, INC.. Дата публикации: 2015-06-18.

METHODS OF FORMING SELF ALIGNED SPACERS FOR NANOWIRE DEVICE STRUCTURES

Номер патента: US20180358436A1. Автор: Murthy Anand,Kim Seiyon,JAMBUNATHAN KARTHIK,KANG Jun Sung,GLASS Glenn. Владелец: Intel Corporation. Дата публикации: 2018-12-13.

Integrated process and structure to form III-V channel for sub-7nm CMOS devices

Номер патента: US09865706B2. Автор: Chun Yan,Xinyu Bao. Владелец: Applied Materials Inc. Дата публикации: 2018-01-09.

Method to form strained channel in thin box SOI structures by elastic strain relaxation of the substrate

Номер патента: US09768299B2. Автор: Pierre Morin. Владелец: STMicroelectronics lnc USA. Дата публикации: 2017-09-19.

Multi-stage fin formation methods and structures thereof

Номер патента: US09595475B2. Автор: Jhon Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-03-14.

Method and device for high k metal gate transistors

Номер патента: US09570611B2. Автор: YONG Li,Xiao Na Wang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-02-14.

Methods and apparatus of metal gate transistors

Номер патента: US09508590B2. Автор: Kuang-Hsin Chen,Tsung-Yu CHIANG,Bor-Zen Tien,Tzong-Sheng Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-29.

Method for forming self-aligned double pattern and semiconductor structures

Номер патента: US12100593B2. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Method for forming self-aligned double pattern, and semiconductor structure

Номер патента: EP4181172A1. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-05-17.

Forming self-aligned dual patterning mandrel and non-mandrel interconnects

Номер патента: US09659818B1. Автор: Carl Radens,Lawrence A. Clevenger,John Zhang. Владелец: International Business Machines Corp. Дата публикации: 2017-05-23.

Method of forming self-aligned contacts and local interconnects

Номер патента: US20090280633A1. Автор: An Chyi Wei. Владелец: Macronix International Co Ltd. Дата публикации: 2009-11-12.

Method of Forming Self-Alignment Contact

Номер патента: US20170170292A1. Автор: HSU Hung-Chang,Jang Syun-Ming,Lin Sheng-Hsuan,SU Hung-Wen,Lee Ya-Lien,LIN Rueijer,TSAI Ming-Hsing,Liu Hsiao-Ping,Kao Yen-Shou. Владелец: . Дата публикации: 2017-06-15.

Enlarged sacrificial gate caps for forming self-aligned contacts

Номер патента: US10008385B1. Автор: Haiting Wang,Chih-Chiang Chang,Ashish Kumar JHA,Mitchell Rutkowski. Владелец: Globalfoundries Inc. Дата публикации: 2018-06-26.

Method of forming self-aligned contacts and local interconnects

Номер патента: US20070004187A1. Автор: An Chyi Wei. Владелец: Macronix International Co Ltd. Дата публикации: 2007-01-04.

Method for forming self-aligned contact window

Номер патента: US6015741A. Автор: Water Lur,Shih-Wei Sun,Tony Lin. Владелец: United Microelectronics Corp. Дата публикации: 2000-01-18.

Method to form self-aligned silicide with reduced sheet resistance

Номер патента: US6509264B1. Автор: Weining Li,Yung Tao Lin. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2003-01-21.

A method to form self-aligned silicide with reduced sheet resistance

Номер патента: SG107556A1. Автор: Yung Tao Lin,Wei Ning Li. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2004-12-29.

Method of forming self-aligned silicides

Номер патента: TWI310211B. Автор: Steven Huang,Yeihsiung Lin. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2009-05-21.

RECESSING RMG METAL GATE STACK FOR FORMING SELF-ALIGNED CONTACT

Номер патента: US20160372576A1. Автор: Cheng Kangguo,Khakifirooz Ali,Xie Ruilong,Cai Xiuyu. Владелец: . Дата публикации: 2016-12-22.

Method of forming self-aligning contacting hole

Номер патента: JPS60216582A. Автор: シエン テン スウ. Владелец: RCA Corp. Дата публикации: 1985-10-30.

Method for Forming Self-Aligned Silcide of Semiconductor Device

Номер патента: KR100628253B1. Автор: 심규철,이완규. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2006-09-27.

Method for forming self-align silicide in semiconductor device

Номер патента: KR100271948B1. Автор: 이응준,서태욱,이수근,구자흠,김철성,정주혁. Владелец: 윤종용. Дата публикации: 2000-11-15.

Method of forming self-aligned contacts for a semiconductor device

Номер патента: US8927407B2. Автор: Peter Baars,Andy Wei,Martin Mazur,Erik Geiss. Владелец: Globalfoundries Inc. Дата публикации: 2015-01-06.

Method for forming self-aligned Schottky junctions for semiconductor devices

Номер патента: JP5001295B2. Автор: ミュラー マーカス. Владелец: NXP BV. Дата публикации: 2012-08-15.

Method of forming self-aligned silicides

Номер патента: TW200620405A. Автор: Steven Huang,Yei-Hsiung Lin. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2006-06-16.

Method and structure of forming self-aligned RMG gate for VFET

Номер патента: US09780208B1. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2017-10-03.

Methods of forming self-aligned contacts on FinFET devices

Номер патента: US09627274B1. Автор: Huang Liu,Xintuo Dai,Jinping Liu,Haifeng Sheng. Владелец: Globalfoundries Inc. Дата публикации: 2017-04-18.

Methods of forming self-aligned device level contact structures

Номер патента: US09653356B2. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-16.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09570583B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2017-02-14.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09455330B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2016-09-27.

Method and structure of forming self-aligned rmg gate for vfet

Номер патента: US20180019337A1. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2018-01-18.

Method of forming self-aligned top gate channel barrier region in ion-implanted JFET

Номер патента: US5120669A. Автор: Gregory A. Schrantz. Владелец: HARRIS CORP. Дата публикации: 1992-06-09.

USING SELECTIVELY FORMED CAP LAYERS TO FORM SELF-ALIGNED CONTACTS TO SOURCE/DRAIN REGIONS

Номер патента: US20210280690A1. Автор: Cheng Kangguo,Xie Ruilong,Park Chanro,Lee Choonghyun. Владелец: . Дата публикации: 2021-09-09.

Method to form self aligned, L-shaped sidewall spacers

Номер патента: EP1164636B1. Автор: Gupta Subhash,Pradeep Yelehanka,Chhagan Vijai. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2007-12-19.

Forming self-aligned NiSi placement with improved performance and yield

Номер патента: US09607989B2. Автор: XIN Wang,LUN Zhao,Xusheng Wu,Yong Meng Lee,Yue Hu,Wen-Pin Peng,Wei-Hua TONG. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-28.

Forming Self-Aligned Contact with Spacer First

Номер патента: US20190027580A1. Автор: Xie Ruilong,Fan Su Chen,Pranatharthiharan Balasubramanian,Greene Andrew M.,Lian Sean,Raymond Mark V.. Владелец: . Дата публикации: 2019-01-24.

TRANSISTOR WITH REDUCED GATE RESISTANCE AND IMPROVED PROCESS MARGIN OF FORMING SELF-ALIGNED CONTACT

Номер патента: US20220051939A1. Автор: YANG SHENG-HUI. Владелец: . Дата публикации: 2022-02-17.

METHODS OF FORMING SELF-ALIGNED DEVICE LEVEL CONTACT STRUCTURES

Номер патента: US20170047253A1. Автор: Kim Hoon,Xie Ruilong,Park Chanro,Sung Min Gyu. Владелец: . Дата публикации: 2017-02-16.

FORMING SELF-ALIGNED NiSi PLACEMENT WITH IMPROVED PERFORMANCE AND YIELD

Номер патента: US20160163702A1. Автор: WANG Xin,HU Yue,Wu Xusheng,Lee Yong Meng,ZHAO Lun,PENG Wen-Pin,TONG Wei-Hua. Владелец: . Дата публикации: 2016-06-09.

Method of Forming Self-Aligned Contacts Using a Replacement Metal Gate Process in a Semiconductor Device

Номер патента: US20150263131A1. Автор: Metz Andrew. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2015-09-17.

Method of forming self-aligned contact structure with locally etched gate conductive layer

Номер патента: US6855610B2. Автор: Ming-Sheng Tung,Yueh-Chuan Lee. Владелец: Promos Technologies Inc. Дата публикации: 2005-02-15.

Method for forming self-aligned double pattern, and semiconductor structure

Номер патента: EP4181172A4. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-12-27.

Transistor with reduced gate resistance and improved process margin of forming self-aligned contact

Номер патента: US20220051939A1. Автор: Sheng-hui Yang. Владелец: Nanya Technology Corp. Дата публикации: 2022-02-17.

Forming self-aligned contacts

Номер патента: GB202001682D0. Автор: . Владелец: International Business Machines Corp. Дата публикации: 2020-03-25.

Method and structure to improve body effect and junction capacitance

Номер патента: US20120196413A1. Автор: Xiangdong Chen,Geng Wang,Da Zhang. Владелец: International Business Machines Corp. Дата публикации: 2012-08-02.

Manufacturing method and structure thereof of TFT backplane

Номер патента: US09735186B2. Автор: Xiaoxing Zhang. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2017-08-15.

Method and structure for finfet sram

Номер патента: US20170221908A1. Автор: Fei Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-08-03.

Method and structure for III-V FinFET

Номер патента: US09590085B2. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2017-03-07.

Method and structure for III-V FinFET

Номер патента: US09496379B2. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2016-11-15.

Method to form localized relaxed substrate by using condensation

Номер патента: US20170221903A1. Автор: Pierre Morin,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2017-08-03.

Method to form localized relaxed substrate by using condensation

Номер патента: US20160211376A1. Автор: Pierre Morin,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2016-07-21.

Epitaxial channel formation methods and structures

Номер патента: US09548378B2. Автор: Stephan Kronholz,Nadja Zakowsky,Yew Tuck CHOW. Владелец: Globalfoundries Inc. Дата публикации: 2017-01-17.

Manufacturing method and structure of oxide semiconductor TFT substrate

Номер патента: US09793411B2. Автор: Wenhui Li. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2017-10-17.

Manufacturing method and structure of oxide semiconductor TFT substrate

Номер патента: US09705008B2. Автор: Wenhui Li. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2017-07-11.

Method and structure for forming MOSFET with reduced parasitic capacitance

Номер патента: US09985107B2. Автор: Chen Zhang,Peng Xu,Kangguo Cheng. Владелец: International Business Machines Corp. Дата публикации: 2018-05-29.

Method for manufacturing array substrate, film-etching monitoring method and device

Номер патента: US09646847B2. Автор: Zheng Liu,Jang Soon Im. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2017-05-09.

Method of forming self-aligned metal lines and vias

Номер патента: US09607893B1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-28.

Methods for forming self-aligned contacts using spin-on silicon carbide

Номер патента: WO2022177828A1. Автор: Lior HULI,Junling Sun,Angelique RALEY,Andrew Metz. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2022-08-25.

Methods for Forming Self-Aligned Contacts Using Spin-on Silicon Carbide

Номер патента: US20220262679A1. Автор: Lior HULI,Junling Sun,Angelique RALEY,Andrew Metz. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-08-18.

Methods of forming self aligned transistor structure having polycrystalline contacts

Номер патента: US3847687A. Автор: U Davidsohn,A Ajamie. Владелец: Motorola Inc. Дата публикации: 1974-11-12.

Processes and structures for IC fabrication

Номер патента: US20150111376A1. Автор: Jayna Sheats. Владелец: Terepac Corp. Дата публикации: 2015-04-23.

Processes and structures for IC fabrication

Номер патента: US20130193561A1. Автор: Jayna Sheats. Владелец: Terepac Corp. Дата публикации: 2013-08-01.

Processes and structures for IC fabrication

Номер патента: US9224641B2. Автор: Jayna Sheats. Владелец: Terepac Corp. Дата публикации: 2015-12-29.

Processes and structures for IC fabrication

Номер патента: US9006096B1. Автор: Jayna Sheets. Владелец: Individual. Дата публикации: 2015-04-14.

Processes and structures for IC fabrication

Номер патента: US8153517B2. Автор: Jayna Sheats. Владелец: Terepac Corp. Дата публикации: 2012-04-10.

Processes and structures for IC fabrication

Номер патента: US20100314735A1. Автор: Jayna Sheats. Владелец: Terepac Corp. Дата публикации: 2010-12-16.

Methods and apparatuses to form self-aligned caps

Номер патента: US09627321B2. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corp. Дата публикации: 2017-04-18.

Methods and apparatuses to form self-aligned caps

Номер патента: US09373584B2. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corp. Дата публикации: 2016-06-21.

Method of forming self aligned grids in BSI image sensor

Номер патента: US11776985B2. Автор: Jiech-Fun Lu,Shih-Pei Chou,Tsun-Kai Tsao,Wei Chuang Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-03.

Method for forming self-aligned wells to support tight spacing

Номер патента: US20090042377A1. Автор: Seetharaman Sridhar. Владелец: Texas Instruments Inc. Дата публикации: 2009-02-12.

Method for forming self-aligned wells to support tight spacing

Номер патента: WO2009021187A3. Автор: Seetharaman Sridhar. Владелец: Seetharaman Sridhar. Дата публикации: 2009-04-09.

Method of forming self-aligned via

Номер патента: US10923396B2. Автор: Mihaela Balseanu,Suketu Arun Parikh. Владелец: Applied Materials Inc. Дата публикации: 2021-02-16.

Method Of Forming Self-Aligned Via

Номер патента: US20200144117A1. Автор: Mihaela Balseanu,Suketu Arun Parikh. Владелец: Applied Materials Inc. Дата публикации: 2020-05-07.

Method for forming self-aligned wells to support tight spacing

Номер патента: WO2009021187A2. Автор: Seetharaman Sridhar. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2009-02-12.

Method for forming self-alignment insulation structure

Номер патента: US20090283873A1. Автор: Hon-Chun Wang. Владелец: Individual. Дата публикации: 2009-11-19.

Method to form self-aligned high density nanocrystals

Номер патента: US20160071943A1. Автор: Sung-taeg Kang,Euhngi Lee. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2016-03-10.

Method for forming self-aligned, dual silicon nitride liner for cmos devices

Номер патента: WO2006093730A1. Автор: Haining Yang,Thomas W. Dyer. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2006-09-08.

Method for forming self-aligned, dual silicon nitride liner for cmos devices

Номер патента: EP1856726A1. Автор: Haining Yang,Thomas W. Dyer. Владелец: International Business Machines Corp. Дата публикации: 2007-11-21.

Method for forming self-aligned, dual silicon nitride liner for CMOS devices

Номер патента: TW200710993A. Автор: Thomas W Dyer,hai-ning Yang. Владелец: Ibm. Дата публикации: 2007-03-16.

STRUCTURE AND METHOD OF FORMING SELF ALIGNED CONTACTS IN SEMICONDUCTOR DEVICE

Номер патента: US20190181047A1. Автор: Sung Min Gyu. Владелец: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.. Дата публикации: 2019-06-13.

Method of forming self-aligned poly for embedded flash

Номер патента: US20050127435A1. Автор: Han-Ping Chen,Chung-Yi Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2005-06-16.

Method of forming self-aligned poly for embedded flash

Номер патента: US7153744B2. Автор: Han-Ping Chen,Chung-Yi Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2006-12-26.

Process for forming self-aligned dielectric isolation

Номер патента: EP0062170A2. Автор: Klaus Dietrich Beyer,Joseph Skinner Logan. Владелец: International Business Machines Corp. Дата публикации: 1982-10-13.

Method of forming self-aligned thin film transistor

Номер патента: CA2228037A1. Автор: James F. Farrell. Владелец: Individual. Дата публикации: 1997-02-13.

Process for forming self-aligned dielectric isolation

Номер патента: EP0062170B1. Автор: Klaus Dietrich Beyer,Joseph Skinner Logan. Владелец: International Business Machines Corp. Дата публикации: 1988-12-07.

method for forming self aligned field effect transistor structure

Номер патента: KR101070408B1. Автор: 백규하,도이미. Владелец: 한국전자통신연구원. Дата публикации: 2011-10-06.

A bicmos process for forming self-aligned npn emitters and bases and mosfet/source drains

Номер патента: EP0293731A3. Автор: Robert H. Havemann. Владелец: Texas Instruments Inc. Дата публикации: 1989-09-27.

Method of forming self-aligned contacts in a semi-conductor process

Номер патента: GB2269938B. Автор: James A Matthews. Владелец: Microunity Systems Engineering Inc. Дата публикации: 1994-09-07.

Atomic layer deposition methods and structures thereof

Номер патента: US09799745B2. Автор: Hsin-Yi Lee,Da-Yuan Lee,Cheng-Yen Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-24.

Method and Apparatus for Improving Gate Contact

Номер патента: US20130328134A1. Автор: Chih-Yang Yeh,Harry-Hak-Lay Chuang,Bao-Ru Young,Yuh-Jier Mii. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-12-12.

Methods of forming buried vertical capacitors and structures formed thereby

Номер патента: US09818751B2. Автор: Patrick Morrow,Kimin Jun,Rajashree Baskaran. Владелец: Intel Corp. Дата публикации: 2017-11-14.

Methods of forming buried vertical capacitors and structures formed thereby

Номер патента: US09646972B2. Автор: Patrick Morrow,Kimin Jun,Rajashree Baskaran. Владелец: Intel Corp. Дата публикации: 2017-05-09.

Method for forming self-aligned contacts and local interconnects simultaneously

Номер патента: US20070235798A1. Автор: Kuang-Chao Chen,Tuung Luoh,Ling-Wuu Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2007-10-11.

Methods to form self-aligned permanent on-chip interconnect structures

Номер патента: US20120018891A1. Автор: Qinghuang Lin. Владелец: International Business Machines Corp. Дата публикации: 2012-01-26.

Forming self-aligned conductive lines for resistive random access memories

Номер патента: US09705080B2. Автор: Fabio Pellizzer,Innocenzo Tortorelli,Pietro Petruzza. Владелец: Micron Technology Inc. Дата публикации: 2017-07-11.

Forming self-aligned vias and air-gaps in semiconductor fabrication

Номер патента: US20210202313A1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: Tessera LLC. Дата публикации: 2021-07-01.

Forming self-aligned vias and air-gaps in semiconductor fabrication

Номер патента: US10242911B2. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: International Business Machines Corp. Дата публикации: 2019-03-26.

Method for forming self-aligned contacts/vias with high corner selectivity

Номер патента: US20150170965A1. Автор: PENG Wang,Eric A. Hudson,Ananth INDRAKANTI. Владелец: Lam Research Corp. Дата публикации: 2015-06-18.

Methods to form self-aligned permanent on-chip interconnect structures

Номер патента: US20130001801A1. Автор: Qinghuang Lin. Владелец: International Business Machines Corp. Дата публикации: 2013-01-03.

A method to form self-aligned anti-via interconnects

Номер патента: SG118126A1. Автор: Gupta Subhash,Hong Sangki,Kwok Keung Ho Paul. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2006-01-27.

Method for forming self aligned contacts

Номер патента: US20020098640A1. Автор: Ii-Wook Kim,Jong-Sam Kim,Dong-kuk Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-07-25.

METHODS AND APPARATUSES TO FORM SELF-ALIGNED CAPS

Номер патента: US20130256899A1. Автор: Singh Kanwal Jit,Boyanov Boyan. Владелец: . Дата публикации: 2013-10-03.

METHODS AND APPARATUSES TO FORM SELF-ALIGNED CAPS

Номер патента: US20220270978A1. Автор: Singh Kanwal Jit,Boyanov Boyan. Владелец: . Дата публикации: 2022-08-25.

METHODS AND APPARATUSES TO FORM SELF-ALIGNED CAPS

Номер патента: US20170207120A1. Автор: Singh Kanwal Jit,Boyanov Boyan. Владелец: . Дата публикации: 2017-07-20.

METHODS AND APPARATUSES TO FORM SELF-ALIGNED CAPS

Номер патента: US20150270224A1. Автор: Singh Kanwal Jit,Boyanov Boyan. Владелец: . Дата публикации: 2015-09-24.

Methods and apparatuses to form self-aligned caps

Номер патента: US20200321282A1. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corp. Дата публикации: 2020-10-08.

Methods and apparatuses to form self-aligned caps

Номер патента: US20190393157A1. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corp. Дата публикации: 2019-12-26.

Methods and apparatuses to form self-aligned caps

Номер патента: KR101684310B1. Автор: 보얀 보야노브,칸왈 지트 싱흐. Владелец: 인텔 코포레이션. Дата публикации: 2016-12-08.

Methods and apparatuses to form self-aligned caps

Номер патента: KR102151585B1. Автор: 보얀 보야노브,칸왈 지트 싱흐. Владелец: 인텔 코포레이션. Дата публикации: 2020-09-03.

Methods and apparatuses to form self-aligned caps

Номер патента: KR102306796B1. Автор: 보얀 보야노브,칸왈 지트 싱흐. Владелец: 인텔 코포레이션. Дата публикации: 2021-09-30.

Methods and apparatuses to form self-aligned caps

Номер патента: WO2013066356A1. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corporation. Дата публикации: 2013-05-10.

Methods and apparatuses to form self-aligned caps

Номер патента: TWI792018B. Автор: 肯瓦爾 辛格,伯葉 伯葉諾夫. Владелец: 美商英特爾股份有限公司. Дата публикации: 2023-02-11.

Method for forming self-aligned silicide layers on sub-quarter micron VLSI circuits

Номер патента: US6100191A. Автор: Jiun-Yuan Wu,Water Lur,Tony Lin,Hsiao-Lin Lu. Владелец: United Microelectronics Corp. Дата публикации: 2000-08-08.

Forming self-aligned vias and air-gaps in semiconductor fabrication

Номер патента: US20220406658A1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: Tessera LLC. Дата публикации: 2022-12-22.

Method for forming self-aligned contact and integrated circuit with self-aligned contact

Номер патента: TW201243956A. Автор: Yi-Nan Chen,Hsien-Wen Liu,Jar-Ming Ho. Владелец: Nanya Technology Corp. Дата публикации: 2012-11-01.

METHOD TO FORM SELF-ALIGNED HIGH DENSITY NANOCRYSTALS

Номер патента: US20160071943A1. Автор: Kang Sung-Taeg,LEE Euhngi. Владелец: Freescale Semiconductor, Inc.. Дата публикации: 2016-03-10.

Process Integration Techniques Using A Carbon Layer To Form Self-Aligned Structures

Номер патента: US20180308753A1. Автор: Mosden Aelan,KUMAR KAUSHIK. Владелец: . Дата публикации: 2018-10-25.

Method for forming self-aligning local interconnects in integrated circuits

Номер патента: EP2592649B1. Автор: Michael C Smayling,Scott T Becker. Владелец: Tela Innovations Inc. Дата публикации: 2015-04-29.

Methods for forming self-aligned interconnect structures

Номер патента: US20240347384A1. Автор: Ru-Gun Liu,Shih-Ming Chang,Hoi-Tou Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-17.

Forming self-aligned vias and air-gaps in semiconductor fabrication

Номер патента: US09911652B1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: International Business Machines Corp. Дата публикации: 2018-03-06.

Methods Of Forming Self-Aligned Vias

Номер патента: US20190013202A1. Автор: Thompson David,Anthis Jeffrey W.,Mallick Abhijit Basu,Schmiege Benjamin,Duan Ziqing,Roy Susmit Singha. Владелец: . Дата публикации: 2019-01-10.

FORMING SELF-ALIGNED CONDUCTIVE LINES FOR RESISTIVE RANDOM ACCESS MEMORIES

Номер патента: US20160028002A1. Автор: Tortorelli Innocenzo,Petruzza Pietro,Pellizzer Fabio. Владелец: . Дата публикации: 2016-01-28.

Methods for Forming Self-Aligned Interconnect Structures

Номер патента: US20210035862A1. Автор: Liu Ru-Gun,Chang Shih-Ming,Ng Hoi-Tou. Владелец: . Дата публикации: 2021-02-04.

METHOD FOR FORMING SELF-ALIGNED AIRGAP INTERCONNECT STRUCTURES

Номер патента: US20150054122A1. Автор: Lin Qinghuang,Fletcher Benjamin L.,Cabral Cyril. Владелец: . Дата публикации: 2015-02-26.

Methods Of Forming Self-Aligned Vias

Номер патента: US20180096847A1. Автор: Thompson David,Anthis Jeffrey W.,Mallick Abhijit Basu,Schmiege Benjamin,Duan Ziqing,Roy Susmit Singha. Владелец: . Дата публикации: 2018-04-05.

Method Of Forming Self-Aligned Via

Номер патента: US20210166973A1. Автор: Parikh Suketu Arun,Balseanu Mihaela A.. Владелец: Applied Materials, Inc.. Дата публикации: 2021-06-03.

METHOD OF FORMING SELF ALIGNED GRIDS IN BSI IMAGE SENSOR

Номер патента: US20200135798A1. Автор: Lu Jiech-Fun,Tsao Tsun-Kai,Wu Wei Chuang,Chou Shih-Pei. Владелец: . Дата публикации: 2020-04-30.

Method Of Forming Self-Aligned Via

Номер патента: US20200144117A1. Автор: Balseanu Mihaela,Parikh Suketu Arun. Владелец: . Дата публикации: 2020-05-07.

METHOD FOR FORMING SELF-ALIGNED CONTACTS/VIAS WITH HIGH CORNER SELECTIVITY

Номер патента: US20150170965A1. Автор: WANG Peng,Hudson Eric A.,INDRAKANTI Ananth. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2015-06-18.

FORMING SELF-ALIGNED VIAS AND AIR-GAPS IN SEMICONDUCTOR FABRICATION

Номер патента: US20210202313A1. Автор: Clevenger Lawrence A.,Radens Carl J.,Zhang John H.. Владелец: . Дата публикации: 2021-07-01.

Method Of Forming Self-Aligned Via

Номер патента: US20200219768A1. Автор: Balseanu Mihaela,Parikh Suketu Arun. Владелец: . Дата публикации: 2020-07-09.

METHOD OF FORMING SELF ALIGNED GRIDS IN BSI IMAGE SENSOR

Номер патента: US20210280630A1. Автор: Lu Jiech-Fun,Tsao Tsun-Kai,Wu Wei Chuang,Chou Shih-Pei. Владелец: . Дата публикации: 2021-09-09.

FORMING SELF-ALIGNED CONTACTS ON PILLAR STRUCTURES

Номер патента: US20180261649A1. Автор: "OSullivan Eugene J.",EDELSTEIN Daniel C.,Utomo Henry K.,Annunziata Anthony J.. Владелец: . Дата публикации: 2018-09-13.

FORMING SELF-ALIGNED CONDUCTIVE LINES FOR RESISTIVE RANDOM ACCESS MEMORIES

Номер патента: US20160293842A1. Автор: Tortorelli Innocenzo,Petruzza Pietro,Pellizzer Fabio. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2016-10-06.

FORMING SELF-ALIGNED CONTACTS ON PILLAR STRUCTURES

Номер патента: US20180308897A1. Автор: "OSullivan Eugene J.",EDELSTEIN Daniel C.,Utomo Henry K.,Annunziata Anthony J.. Владелец: . Дата публикации: 2018-10-25.

FORMING SELF-ALIGNED CONTACTS ON PILLAR STRUCTURES

Номер патента: US20180308898A1. Автор: "OSullivan Eugene J.",EDELSTEIN Daniel C.,Utomo Henry K.,Annunziata Anthony J.. Владелец: . Дата публикации: 2018-10-25.

METHOD FOR FORMING SELF-ALIGNED CONTACTS/VIAS WITH HIGH CORNER SELECTIVITY

Номер патента: US20150325479A1. Автор: WANG Peng,Hudson Eric A.,INDRAKANTI Ananth. Владелец: . Дата публикации: 2015-11-12.

Methods Of Forming Self-Aligned Vias And Air Gaps

Номер патента: US20190348368A1. Автор: GOPALRAJA Praburam,Mallick Abhijit Basu,Duan Ziqing,Roy Susmit Singha. Владелец: . Дата публикации: 2019-11-14.

Methods Of Forming Self-Aligned Vias And Air Gaps

Номер патента: US20180358260A1. Автор: GOPALRAJA Praburam,Mallick Abhijit Basu,Duan Ziqing,Roy Susmit Singha. Владелец: . Дата публикации: 2018-12-13.

FORMING SELF-ALIGNED MULTI-METAL INTERCONNECTS

Номер патента: US20200357748A1. Автор: De Silva Ekmini Anuja,Dutta Ashim. Владелец: . Дата публикации: 2020-11-12.

Methods of forming self-aligned contacts

Номер патента: US20200373309A1. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2020-11-26.

Methods of forming self-aligned vias and air gaps

Номер патента: US10840186B2. Автор: Ziqing Duan,Abhijit Basu Mallick,Susmit Singha Roy,Praburam Gopalraja. Владелец: Applied Materials Inc. Дата публикации: 2020-11-17.

Method for forming self-aligned contact of semiconductor device

Номер патента: KR101046717B1. Автор: 이성권,정태우. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-07-05.

A method for forming self-aligned contact of semiconductor device

Номер патента: KR100276387B1. Автор: 이재구,조창현,정홍식. Владелец: 윤종용. Дата публикации: 2000-12-15.

Method for forming self aligned vias in multi level metal integrated circuit

Номер патента: KR100272499B1. Автор: 바실리 킷치. Владелец: 클라크 3세 존 엠.. Дата публикации: 2000-12-01.

Method for forming self aligned contact in semiconductor device with buried gate

Номер патента: KR101075526B1. Автор: 신종한,박점용. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-10-20.

Method for forming self-aligned contact hole in semiconductor device

Номер патента: KR100441998B1. Автор: 서준,송종희. Владелец: 삼성전자주식회사. Дата публикации: 2004-07-30.

Method for forming self aligned contact hole in semiconductor

Номер патента: KR100839527B1. Автор: 전재영. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-06-19.

Method for forming self-aligned contact of semiconductor device

Номер патента: KR100268443B1. Автор: 조창현,김기남,정태영. Владелец: 윤종용. Дата публикации: 2000-10-16.

Method for forming self-aligned double-layer pattern

Номер патента: CN110459465B. Автор: 张志刚,徐灵芝,陆神洲. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2022-03-04.

Method of forming self aligned double pattern

Номер патента: KR100714305B1. Автор: 홍창기,윤보언,최재광,권병호,박준상,윤세라. Владелец: 삼성전자주식회사. Дата публикации: 2007-05-02.

Method for forming self alignment contact

Номер патента: CN1244727A. Автор: 林炳俊. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-02-16.

Method for forming self-aligned contacts in semiconductor devices

Номер патента: KR970018058A. Автор: 최창원. Владелец: 김광호. Дата публикации: 1997-04-30.

Method of forming self-aligned contact in semiconductor device

Номер патента: KR0164497B1. Автор: 최창원. Владелец: 김광호. Дата публикации: 1999-02-01.

Method for forming self-aligned contact of semiconductor device

Номер патента: KR940016879A. Автор: 박해성. Владелец: 현대전자산업 주식회사. Дата публикации: 1994-07-25.

Method for forming self align contact of semiconductor device

Номер патента: KR101073130B1. Автор: 이민석,이성권. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-10-12.

Method of forming self-aligned stacked capacitor

Номер патента: US20020022321A1. Автор: Ching-ming Lee,Wunn-Shien Liao,Ky Yang. Владелец: United Microelectronics Corp. Дата публикации: 2002-02-21.

Method for forming self-aligned trench

Номер патента: CN113488430A. Автор: 杨军. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2021-10-08.

Methods of forming self-aligned vias and air gaps

Номер патента: US10403542B2. Автор: Ziqing Duan,Abhijit Basu Mallick,Susmit Singha Roy,Praburam Gopalraja. Владелец: Applied Materials Inc. Дата публикации: 2019-09-03.

Methods for forming self-aligned interconnect structures

Номер патента: US11289376B2. Автор: Ru-Gun Liu,Shih-Ming Chang,Hoi-Tou Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-03-29.

Method of forming self align silicide in semiconductor device

Номер патента: KR100953489B1. Автор: 강양범. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2010-04-19.

Apparatus and method for forming self-aligned trench isolation

Номер патента: WO1988004106A1. Автор: Bing Yeh,Daniel Charles Guterman. Владелец: Xicor, Inc.. Дата публикации: 1988-06-02.

Method for forming self-aligning contact structure in semiconductor IC device

Номер патента: CN1319886A. Автор: 金允基,朴东建,朴钟佑. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2001-10-31.

Forming self-aligned multi-metal interconnects

Номер патента: US11355442B2. Автор: Ekmini Anuja De Silva,Ashim Dutta. Владелец: International Business Machines Corp. Дата публикации: 2022-06-07.

A method of forming self-aligned contacts portion

Номер патента: CN106531684B. Автор: 赵超,朱慧珑,殷华湘,赵治国. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2019-07-16.

Method for forming self-aligned interconnect structure

Номер патента: CN112309963A. Автор: 张世明,刘如淦,伍海涛. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-02-02.

Method for forming self align contact hole of semiconductor device

Номер патента: KR100745058B1. Автор: 조영재. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-08-01.

Method of forming self-aligned contact of semiconductor device

Номер патента: KR100245136B1. Автор: 김정호,김승준,이동덕,김일욱. Владелец: 현대전자산업주식회사. Дата публикации: 2000-03-02.

Method for forming self-aligned contacts using a hard mask

Номер патента: US6265296B1. Автор: Erik S. Jeng,Hung-Yi Luo,Hao-Chieh Liu,Tzu-Shih Yen. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2001-07-24.

Method of forming self-aligned via structure

Номер патента: US6372641B1. Автор: Chuen-Der Lien. Владелец: Integrated Device Technology Inc. Дата публикации: 2002-04-16.

Method for forming self-aligned contact of semiconductor device

Номер патента: JP5084074B2. Автор: 眞 雄 金. Владелец: SK hynix Inc. Дата публикации: 2012-11-28.

Methods of forming self-aligned contacts

Номер патента: US20220189965A1. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2022-06-16.

Methods of forming self-aligned contacts

Номер патента: US20200373309A1. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2020-11-26.

Methods of forming self-aligned contacts comprising reusing hardmask materials and lithography reticles

Номер патента: US11302699B2. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2022-04-12.

Forming self-aligned multi-metal interconnects

Номер патента: US11923311B2. Автор: Ekmini Anuja De Silva,Ashim Dutta. Владелец: International Business Machines Corp. Дата публикации: 2024-03-05.

Methods for forming self-aligned interconnect structures

Номер патента: US12020984B2. Автор: Ru-Gun Liu,Shih-Ming Chang,Hoi-Tou Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-25.

Structures and structure forming methods

Номер патента: US20020076620A1. Автор: James J. Alwan,David Wells,Eric J. Knappenberger,John Michiels. Владелец: Individual. Дата публикации: 2002-06-20.

Method for forming conductive material in opening and structure regarding same

Номер патента: EP1540724A1. Автор: Howard E. Rhodes,Richard H. Lane. Владелец: Micron Technology Inc. Дата публикации: 2005-06-15.

Methods and structures for processing semiconductor devices using polymeric materials and adhesives

Номер патента: US09449940B2. Автор: Jaspreet S. Gandhi. Владелец: Micron Technology Inc. Дата публикации: 2016-09-20.

Method and structure for double lining for shallow trench isolation

Номер патента: US20070087519A1. Автор: Liu Chi-Kang,XIN Wang,Ze Li. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2007-04-19.

Method and structure of pattern mask for dry etching

Номер патента: SG143176A1. Автор: Wen-Kun Yang,Jui-Hsien Chang,Chi-Chen Lee. Владелец: Advanced Chip Eng Tech Inc. Дата публикации: 2008-06-27.

Methods and structures for improving the structural integrity of solar cells

Номер патента: US09640676B2. Автор: Charles Norman STONE. Владелец: SunPower Corp. Дата публикации: 2017-05-02.

Methods and structures of integrated MEMS-CMOS devices

Номер патента: US09950924B2. Автор: Sudheer S. Sridharamurthy,Ali J. Rastegar,Xiao Charles Yang,Te-Hse Terrence Lee,Mugurel Stancu. Владелец: MCube Inc. Дата публикации: 2018-04-24.

Oled packaging method and oled package structure

Номер патента: US20180219178A1. Автор: Jiangjiang JIN,Hsianglun HSU. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-08-02.

Contact formation method and related structure

Номер патента: US12074063B2. Автор: Mei-Yun Wang,Fu-Kai Yang,Chao-Hsun Wang,Shih-Che Lin,Chia-Hsien Yao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-27.

Lab-on-a-chip fabrication method and system

Номер патента: US09815054B2. Автор: Terje Rosquist TOFTEBERG,Erik Andreassen,Michal Marek MIELNIK. Владелец: SINTEF TTO AS. Дата публикации: 2017-11-14.

Microball mounting method and mounting device

Номер патента: WO2008076955A3. Автор: Masakazu Hakuno. Владелец: Masakazu Hakuno. Дата публикации: 2008-08-28.

Method and structure of metal cut

Номер патента: US20200381296A1. Автор: Ruilong Xie,Andrew Greene,Veeraraghavan S. Basker,Su Chen Fan. Владелец: International Business Machines Corp. Дата публикации: 2020-12-03.

Method and structure for wafer level packaging with large contact area

Номер патента: US09960119B2. Автор: Yan Xun Xue. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2018-05-01.

Method and structure for wafer level packaging with large contact area

Номер патента: US09502268B2. Автор: Yan Xun Xue. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2016-11-22.

Atomic layer deposition methods and structures thereof

Номер патента: US09972694B2. Автор: Hsin-Yi Lee,Da-Yuan Lee,Cheng-Yen Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-15.

Method and apparatus for a silicon die preparation including auxetic and electrostatic dissipatative features

Номер патента: EP4394855A2. Автор: Mukul Renavikar,Amey Anant APTE. Владелец: Intel Corp. Дата публикации: 2024-07-03.

Method and apparatus for a silicon die preparation including auxetic and electrostatic dissipatative features

Номер патента: EP4394855A3. Автор: Mukul Renavikar,Amey Anant APTE. Владелец: Intel Corp. Дата публикации: 2024-07-10.

Method and structure for a large-grain high-K dielectric

Номер патента: US09590063B2. Автор: Rama I. Hegde. Владелец: NXP USA Inc. Дата публикации: 2017-03-07.

Lateral growth semiconductor method and devices

Номер патента: US09484197B2. Автор: Nathaniel Quitoriano. Владелец: Royal Institution for the Advancement of Learning. Дата публикации: 2016-11-01.

Methods and structures for die-to-die bonding

Номер патента: US20210111150A1. Автор: Jun Liu. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2021-04-15.

Method and structure for implanting bonded substrates for electrical conductivity

Номер патента: WO2006058076A2. Автор: Francois J. Henley. Владелец: Silicon Genesis Corporation. Дата публикации: 2006-06-01.

Semiconductor device manufacturing method and storage medium

Номер патента: US20170062269A1. Автор: Peng Chang,Kenji Matsumoto,Hiroyuki Nagai. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-03-02.

Semiconductor device manufacturing method and storage medium

Номер патента: US09735046B2. Автор: Peng Chang,Kenji Matsumoto,Hiroyuki Nagai. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-08-15.

Chip packaging method and chip packaging structure

Номер патента: WO2024120413A1. Автор: Lei Shi,Xin Xia,Yujuan Tao. Владелец: Tongfu Microelectronics Co., Ltd.. Дата публикации: 2024-06-13.

Method and structure for measuring bridge induced by mask layout amendment

Номер патента: US20020197751A1. Автор: Cheng-Nan Lin. Владелец: United Microelectronics Corp. Дата публикации: 2002-12-26.

Method and system for forming copper thin film

Номер патента: US20020052109A1. Автор: Atsushi Sekiguchi,Akiko Kobayashi,Toshiaki Sasaki,Susumu Akiyama,Minjuan Zhang. Владелец: Individual. Дата публикации: 2002-05-02.

Method and structure for measuring bridge induced by mask layout amendment

Номер патента: US20020197748A1. Автор: Cheng-Nan Lin. Владелец: United Microelectronics Corp. Дата публикации: 2002-12-26.

Method and structure for measuring bridge induced by mask layout amendment

Номер патента: US6656753B2. Автор: Cheng-Nan Lin. Владелец: United Microelectronics Corp. Дата публикации: 2003-12-02.

Mark forming method, mark detecting method, and device manufacturing method

Номер патента: US09972574B2. Автор: Yuji Shiba. Владелец: Nikon Corp. Дата публикации: 2018-05-15.

Pattern forming method and semiconductor device manufacturing method using the same

Номер патента: US09941285B2. Автор: Jae-Houb CHUN,Jeong-Sub LIM. Владелец: SK hynix Inc. Дата публикации: 2018-04-10.

Semiconductor manufacturing method and semiconductor device

Номер патента: US09741739B2. Автор: Shunsuke Hazue. Владелец: Toshiba Memory Corp. Дата публикации: 2017-08-22.

Chip embedded package method and structure

Номер патента: US09583418B2. Автор: Zhongyao Yu,Xueping Guo. Владелец: National Center for Advanced Packaging Co Ltd. Дата публикации: 2017-02-28.

Forming method and method of manufacturing article

Номер патента: US09564374B2. Автор: Masaki Mizutani,Kenichiro Mori,Seiya Miura. Владелец: Canon Inc. Дата публикации: 2017-02-07.

Film forming method and film forming device

Номер патента: US20130323421A1. Автор: Koji Honma,Hitoshi Inuzuka. Владелец: SANKEI ENGR CO Ltd. Дата публикации: 2013-12-05.

Method and apparatus for forming electrode by using inkjet printing

Номер патента: US20230215605A1. Автор: Dongkyun SHIN. Владелец: Gosantech Co Ltd. Дата публикации: 2023-07-06.

Substrate processing method and substrate processing apparatus

Номер патента: US12116670B2. Автор: Koichi Matsunaga. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-10-15.

OLED device packaging method and OLED device packaged with same

Номер патента: US09490448B2. Автор: Yawei Liu. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2016-11-08.

Semiconductor device, related manufacturing method, and related electronic device

Номер патента: US09425068B2. Автор: Chao ZHENG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-08-23.

Method and apparatus for depositing tungsten after surface treatment to improve film characteristics

Номер патента: WO2003009360A3. Автор: Jeong Soo Byun. Владелец: Jeong Soo Byun. Дата публикации: 2003-10-30.

Method and apparatus for depositing tungsten after surface treatment to improve film characteristics

Номер патента: WO2003009360B1. Автор: Jeong Soo Byun. Владелец: Jeong Soo Byun. Дата публикации: 2003-12-04.

Method and structure for coupling two microcircuits

Номер патента: WO2007094814A3. Автор: Jonathan Gorrell. Владелец: Virgin Islands Microsystems. Дата публикации: 2009-04-16.

Patterning method and method of manufacturing semiconductor structure

Номер патента: US20230230842A1. Автор: Jie Bai,Juanjuan Huang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-07-20.

A taped semiconductor device, a method and an apparatus for producing the same

Номер патента: WO2016064351A1. Автор: Wansheng Lin. Владелец: Super Components (Singapore) Pte Ltd. Дата публикации: 2016-04-28.

Semiconductor structure manufacturing methods and semiconductor structures

Номер патента: US12057312B2. Автор: Kai Cheng,Liyang Zhang. Владелец: Enkris Semiconductor Inc. Дата публикации: 2024-08-06.

Chip packaging method and chip package based on panel form

Номер патента: US20240274574A1. Автор: Peng Zhang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-15.

Polymer film-producing methods and devices produced therefrom

Номер патента: US9236160B2. Автор: Rakesh NAMBIAR,Elsa Reichmanis,David M. Collard,Avishek Aiyar. Владелец: Georgia Tech Research Corp. Дата публикации: 2016-01-12.

Semiconductor ingot inspecting method and apparatus, and laser processing apparatus

Номер патента: MY197791A. Автор: YAMAMOTO RYOHEI,Hirata Kazuya,Takahashi Kunimitsu. Владелец: Disco Corp. Дата публикации: 2023-07-14.

Deposition method and an apparatus for depositing a silicon-containing material

Номер патента: US12107005B2. Автор: YU Xu,Viljami Pore,Zecheng Liu,Mikko Ruoho,Tommi Paavo Tynell. Владелец: ASM IP Holding BV. Дата публикации: 2024-10-01.

Mark forming method and device manufacturing method

Номер патента: US09911701B2. Автор: Tomoharu Fujiwara. Владелец: Nikon Corp. Дата публикации: 2018-03-06.

OLED device packaging method and OLED device packaged with same

Номер патента: US09793507B2. Автор: Yawei Liu. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2017-10-17.

Mark formation method and device manufacturing method

Номер патента: US09568826B2. Автор: Tomoharu Fujiwara. Владелец: Nikon Corp. Дата публикации: 2017-02-14.

Methods and apparatus for winding wire to form coils

Номер патента: GB8918355D0. Автор: . Владелец: Sony Corp. Дата публикации: 1989-09-20.

Methods and devices using magnetic force to form an anastomosis between hollow bodies

Номер патента: US6932827B2. Автор: David H. Cole. Владелец: MEDTRONIC INC. Дата публикации: 2005-08-23.

Methods and devices using magnetic force to form an anastomosis between hollow bodies

Номер патента: CA2387618A1. Автор: David H. Cole. Владелец: Individual. Дата публикации: 2001-11-28.

Method and apparatus for selecting devices to form a community

Номер патента: EP2647225A1. Автор: Philip Ginzboorg,Sami Virtanen,Mikko Tirronen,Kari Leppänen,Markku Tapio Turunen. Владелец: Nokia Oyj. Дата публикации: 2013-10-09.

Method and structure for increasing contact area

Номер патента: RU2470398C1. Автор: Кианг ТИАН,Венли ТАН. Владелец: Тбеа Хенгянг Трансформерз Ко., Лтд.. Дата публикации: 2012-12-20.

Chemical ionisation method and ion molecule reactor

Номер патента: EP4089717A1. Автор: Felipe LOPEZ-HILFIKER. Владелец: Tofwerk AG. Дата публикации: 2022-11-16.

Method and apparatus for forming coating over inner wall of tube

Номер патента: WO2009147052A1. Автор: Gerhard Doell. Владелец: OSRAM GESELLSCHAFT MIT BESCHRANKTER HAFTUNG. Дата публикации: 2009-12-10.

Method and apparatus for manufacturing cathode ray tube

Номер патента: US20010004468A1. Автор: Yuzuru Sasaki,Kazumasa Hirayama. Владелец: Individual. Дата публикации: 2001-06-21.

Battery separator, battery including the separator, and method and system for forming same

Номер патента: EP4022112A1. Автор: William Winchin YEN. Владелец: Individual. Дата публикации: 2022-07-06.

Thermistor element manufacturing method, and thermistor element

Номер патента: US20110169601A1. Автор: Takashi Yamaguchi,Toshiaki Fujita,Kazutaka Fujiwara. Владелец: Mitsubishi Materials Corp. Дата публикации: 2011-07-14.

Electron beam welding method and apparatus

Номер патента: EP4387801A1. Автор: Colin Nigel Ribton,Vitalijs JEFIMOVS. Владелец: TWI Ltd. Дата публикации: 2024-06-26.

Die head apparatus, coating method, and laminated body forming apparatus

Номер патента: CA3056560C. Автор: Hiroshi Yamashita,Masaki Saitoh,Tooru KOSEMURA. Владелец: Nissan Motor Co Ltd. Дата публикации: 2021-01-12.

Electron beam welding methods and apparatus

Номер патента: US20240359254A1. Автор: Vitalijs JEFIMOVS,Colin Ribton. Владелец: TWI Ltd. Дата публикации: 2024-10-31.

Method of forming self-aligned mask ROM

Номер патента: US6420235B1. Автор: Ling-Sung Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2002-07-16.

Method to form self-aligned split gate flash with L-shaped wordline spacers

Номер патента: US6784039B2. Автор: Chia-Ta Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2004-08-31.

Method for forming self-aligned thermal isolation cell for a variable resistance memory array

Номер патента: TW200725810A. Автор: Erh-Kun Lai,Chia-Hua Ho,Kuang-Yeu Hsieh. Владелец: Macronix Int Co Ltd. Дата публикации: 2007-07-01.

Method and system for impregnating fibres to form prepreg

Номер патента: RU2680505C1. Автор: Шоун ДЖАНКЕР,Ларри РЕЙНОЛДС. Владелец: Сайтек Индастриз Инк.. Дата публикации: 2019-02-21.

Method and apparatus for pumping concrete to form structures at elevated heights

Номер патента: IE51055B1. Автор: . Владелец: Marley Co. Дата публикации: 1986-09-17.

Method and system for impregnating fibers to form a prepreg

Номер патента: US09782930B2. Автор: Shawn Junker,Larry REYNOLDS. Владелец: Cytec Industries Inc. Дата публикации: 2017-10-10.

Methods and systems for a tool to form a fluid seal for wireline directly below a packoff

Номер патента: US20240263536A1. Автор: Chris Reed,Gary Shearer. Владелец: Greenwell Engineering LLC. Дата публикации: 2024-08-08.

Method and system for impregnating fibers to form a prepreg

Номер патента: CA2927942C. Автор: Shawn Junker,Larry REYNOLDS. Владелец: Cytec Industries Inc. Дата публикации: 2021-06-22.

Nft systems, methods, and structures

Номер патента: WO2023094973A1. Автор: Carlos Pajares Bernardez,Chern An NG. Владелец: Monsoon Digital PTE LTD.. Дата публикации: 2023-06-01.

Nft systems, methods, and structures

Номер патента: US20240289273A1. Автор: Chern Ann Ng,Carlos Pajares Bernardez. Владелец: Monsoon Digital Pte Ltd. Дата публикации: 2024-08-29.

Systems and methods for an integrated vehicle and structure charger

Номер патента: US20240367544A1. Автор: Geoffrey David Gaither,Norman Ning Lu,Ronnie Nomoto. Владелец: Toyota Motor North America Inc. Дата публикации: 2024-11-07.

Automatic layout method and system utilizing vector diagram of otn service signal flow

Номер патента: MY192949A. Автор: Dechao Zhang. Владелец: Fiberhome Telecommunication Tech Co Ltd. Дата публикации: 2022-09-19.

Motor stator manufacturing method and structure thereof

Номер патента: US09608482B2. Автор: Nai-Hsin Chang,Jung-Pei Huang. Владелец: GENESE INTELLIGENT TECHNOLOGY Co Ltd. Дата публикации: 2017-03-28.

Method and structure of MEMS PLCSP fabrication

Номер патента: US09975759B2. Автор: Chien Chen Lee,Tzu Feng CHANG. Владелец: MCube Inc. Дата публикации: 2018-05-22.

Satellite, manufacturing method and modules for use in satellite assembly

Номер патента: US12060171B2. Автор: Rafal MODRZEWSKI,Martin NEEROT. Владелец: ICEYE OY. Дата публикации: 2024-08-13.

Authentication method and system

Номер патента: RU2720563C2. Автор: Джастин ПАЙК. Владелец: Майпинпад Лимитед. Дата публикации: 2020-05-12.

E-mail synchronization method and electronic device

Номер патента: EP4191970A1. Автор: Guoliang Jiang,Chenshu Li,Fucheng LIU. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-06-07.

Linearizing methods and structures for amplifiers

Номер патента: US7236111B2. Автор: II Franklin Marshall Murden. Владелец: Analog Devices Inc. Дата публикации: 2007-06-26.

Linearizing methods and structures for amplifiers

Номер патента: US20070109166A1. Автор: Franklin Murden. Владелец: Analog Devices Inc. Дата публикации: 2007-05-17.

Touch screen panel fabrication method and touch screen panel

Номер патента: US09519392B2. Автор: Joo-Han Bae,Jinhwan Kim. Владелец: Samsung Display Co Ltd. Дата публикации: 2016-12-13.

Method and apparatus for implementing remote house control

Номер патента: RU2555244C2. Автор: Вейкко ИЛИМАРТИМО. Владелец: Тосибокс Ой. Дата публикации: 2015-07-10.

Drive pin forming method and assembly for a transducer

Номер патента: EP2591616A1. Автор: Lajos Frohlich,Kevin M. Bedwell. Владелец: Shure Acquisition Holdings Inc. Дата публикации: 2013-05-15.

Drive pin forming method and assembly for a transducer

Номер патента: WO2012006213A1. Автор: Lajos Frohlich,Kevin M. Bedwell. Владелец: Shure Acquisition Holdings, Inc.. Дата публикации: 2012-01-12.

Drive pin forming method and assembly for a transducer

Номер патента: SG186793A1. Автор: Kevin M Bedwell,Lajos Frohlich. Владелец: Shure Acquisition Holdings Inc. Дата публикации: 2013-02-28.

Device for strengthening the surface of products, method and use thereof

Номер патента: EP4110961A1. Автор: Jirí ONSKÝ. Владелец: Ustav Termomechaniky Av Cr VVI. Дата публикации: 2023-01-04.

Device for strengthening the surface of products, method and use thereof

Номер патента: CA3169122A1. Автор: Jiri SONSKY. Владелец: Ustav Termomechaniky Av Cr VVI. Дата публикации: 2021-09-02.

Device for strengthening the surface of products, method and use thereof

Номер патента: US20220402013A1. Автор: Jiri SONSKY. Владелец: Ustav Termomechaniky Av Cr VVI. Дата публикации: 2022-12-22.

Method and structure in the manufacture of mask read only memory

Номер патента: US20050224892A1. Автор: Lawrence Liu,Yuan Kao. Владелец: United Microelectronics Corp. Дата публикации: 2005-10-13.

Method and structure in the manufacture of mask read only memory

Номер патента: US7244653B2. Автор: Lawrence Liu,Yuan Kao. Владелец: United Microelectronics Corp. Дата публикации: 2007-07-17.

Method and structure for active power supply control and stabilization

Номер патента: US20040239302A1. Автор: Jason CULLER. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2004-12-02.

Video recording method and video recording terminal

Номер патента: RU2745737C1. Автор: Юйтао ЧЖОУ. Владелец: Биго Текнолоджи Пте. Лтд.. Дата публикации: 2021-03-31.

Thin film forming method and color filter manufacturing method

Номер патента: US8187665B2. Автор: Satoru Katagami,Hirotaka ISHIZUKA. Владелец: Seiko Epson Corp. Дата публикации: 2012-05-29.

Systems, methods, and device for pyrolysis of methane in a microwave plasma for hydrogen and structured carbon powder production

Номер патента: US20240199427A1. Автор: Jared Majcher. Владелец: 6K Inc. Дата публикации: 2024-06-20.

Method and system for previewing web-page with hypertext at web-browsing

Номер патента: WO2008044821A3. Автор: Han-Wool Kim. Владелец: Han-Wool Kim. Дата публикации: 2009-07-30.

Method and structure for increasing receiving angle of optical sensor and handheld terminal

Номер патента: US9127980B2. Автор: Hui Shao,Leilei Pang. Владелец: Huawei Device Co Ltd. Дата публикации: 2015-09-08.

Methods and apparatus for wordline protection in flash memory devices

Номер патента: WO2005112120A1. Автор: Mark William Randolph. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2005-11-24.

Method for pyrolysis of methane in a microwave plasma for hydrogen and structured carbon powder production

Номер патента: WO2024129261A1. Автор: Jared Majcher. Владелец: 6K Inc.. Дата публикации: 2024-06-20.

Graft pattern forming method and conductive pattern forming method

Номер патента: MY142216A. Автор: Koichi Kawamura,Yasuaki Matsushita. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 2010-11-15.

Method and structure for external control of ESD protection in electronic circuits

Номер патента: US20040240129A1. Автор: Peter Moldauer,Jason CULLER. Владелец: Individual. Дата публикации: 2004-12-02.

Method and apparatus for forming a heat-treated material

Номер патента: US09930729B2. Автор: Marc R. Matsen,Mark A. Negley. Владелец: Boeing Co. Дата публикации: 2018-03-27.

Method and apparatus for forming corona shielding

Номер патента: US09843246B2. Автор: Friedhelm Pohlmann,Steffen Lang,Christian Staubach,Harald Griem. Владелец: SIEMENS AG. Дата публикации: 2017-12-12.

Methods and systems for forming a wireless communication link

Номер патента: US09554238B2. Автор: Prerepa Viswanadham. Владелец: Google LLC. Дата публикации: 2017-01-24.

Method and apparatus for hermetically sealing a zipper into a reclosable package

Номер патента: EP2032343A2. Автор: Lars Wihlborg,Clifford Howell. Владелец: ILLINOIS TOOL WORKS INC. Дата публикации: 2009-03-11.

Method and apparatus for hermetically sealing a zipper into a reclosable package

Номер патента: WO2007145722A2. Автор: Lars Wihlborg,Clifford Howell. Владелец: ILLINOIS TOOL WORKS INC.. Дата публикации: 2007-12-21.

Method and apparatus for hermetically sealing a zipper into a reclosable package

Номер патента: WO2007145722A3. Автор: Lars Wihlborg,Clifford Howell. Владелец: Clifford Howell. Дата публикации: 2008-08-14.

Method and system using association rules to form custom lists of cookies

Номер патента: US09858526B2. Автор: Kevin Lyons,Patrick McCann,Matthew Fornari. Владелец: eXelate Inc. Дата публикации: 2018-01-02.

Method of forming self-aligned V-grooves and waveguides

Номер патента: IE913491A1. Автор: Anthony David Welbourn. Владелец: British Telecomm. Дата публикации: 1992-04-22.

Method of forming self-aligned v-grooves and waveguides

Номер патента: IE79653B1. Автор: Anthony David Welbourn. Владелец: British Telecomm. Дата публикации: 1998-05-20.

Method for forming self-aligned overlay mark

Номер патента: US8664077B2. Автор: David Pratt,Vinay Nair,Christopher Hawk,Richard Housley. Владелец: Nanya Technology Corp. Дата публикации: 2014-03-04.

Method for forming self aligning contact window structure on semiconductor substrate

Номер патента: CN1365137A. Автор: 曾鸿辉. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2002-08-21.

Slide bead coating method and apparatus

Номер патента: US6120853A. Автор: Satoru Matsumoto,Yasuhito Naruse,Kiyoshi Kamitani. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 2000-09-19.

Improved method and apparatus for blow molding in a single blow molding operation a controlled drop bottle

Номер патента: US4584823A. Автор: Dieter H. Nagel. Владелец: Heinz Plastic Mold Co. Дата публикации: 1986-04-29.

Method and apparatus for forming multiple-component composite structures

Номер патента: CA1054869A. Автор: David Harrison,Raul T. Sainz. Владелец: Ransburg Corp. Дата публикации: 1979-05-22.

Method and system using association rules to form custom lists of cookies

Номер патента: US20140250044A1. Автор: Kevin Lyons,Patrick McCann,Matthew Fornari. Владелец: eXelate Inc. Дата публикации: 2014-09-04.

METHOD AND SYSTEM FOR IMPREGNATING FIBERS TO FORM A PREPREG

Номер патента: US20150145163A1. Автор: Junker Shawn,Reynolds Larry. Владелец: Cytec Industries Inc.. Дата публикации: 2015-05-28.

Method and system for impregnating fibers to form a prepreg

Номер патента: KR102167574B1. Автор: 숀 정커,래리 레이놀즈. Владелец: 사이텍 인더스트리스 인코포레이티드. Дата публикации: 2020-10-19.

Method and system for impregnating fibers to form a prepreg

Номер патента: CA2927942A1. Автор: Shawn Junker,Larry REYNOLDS. Владелец: Cytec Industries Inc. Дата публикации: 2015-05-28.

Method and system for impregnating fibers to form a prepreg

Номер патента: TW201529307A. Автор: Shawn Junker,Larry REYNOLDS. Владелец: Cytec Ind Inc. Дата публикации: 2015-08-01.

METHOD AND SYSTEM FOR MICRO-MACHINING TO FORM A PATTERN ON A MATERIAL

Номер патента: FR3023206B1. Автор: Benjamin Dusser,Sebastien Landon,Maio Yoan Di. Владелец: Qiova. Дата публикации: 2017-02-17.

Method and system for impregnating fibers to form a prepreg.

Номер патента: MX2016006487A. Автор: Junker Shawn,Reynolds Larry. Владелец: Cytec Ind Inc. Дата публикации: 2017-01-18.

Method and system for impregnating fibers to form a prepreg

Номер патента: TWI628076B. Автор: 夏恩 江柯,賴瑞 雷諾. Владелец: 塞特工業公司. Дата публикации: 2018-07-01.

Method and system for processing lime to form vaterite

Номер патента: KR20220149530A. Автор: 마이클 조셉 바이스,라이언 제이. 길리엄. Владелец: 아렐락, 인크.. Дата публикации: 2022-11-08.

Method and structure for CMOS-MEMS thin film encapsulation

Номер патента: US09868628B2. Автор: Chia-Hua Chu,Chun-Wen Cheng,Yu-Chia Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-16.

Manufacturing method and structure of carbon fiber rims

Номер патента: US09770939B2. Автор: Wei-Chin Chen. Владелец: Alex Global Technology Inc. Дата публикации: 2017-09-26.

Method and structure of monolithically integrated absolute pressure sensor

Номер патента: US09340414B2. Автор: Anthony F. Flannery, JR.,Shingo Yoneoka. Владелец: MCube Inc. Дата публикации: 2016-05-17.

Method and device for reinforcement

Номер патента: EP3145760A1. Автор: Henry E. Richardson,Alexander Gabriel MANGIAPANE. Владелец: Zephyros Inc. Дата публикации: 2017-03-29.

Sewing method and structure for duvet

Номер патента: US20190191896A1. Автор: Fengwei Mao,Zhiliang Zhu,Zhengxiang Zhu,Dasheng Du,Xifeng Zhu. Владелец: Zhejiang Samsung Down Co ltd. Дата публикации: 2019-06-27.

Molecular sieves and related methods and structure directing agents

Номер патента: US09957166B2. Автор: Mark E. Davis,Raymond Archer. Владелец: California Institute of Technology CalTech. Дата публикации: 2018-05-01.

Method and system for handling data values and structure in a memory

Номер патента: US20240168843A1. Автор: Michel Bieske,Bastien Cecchinato,Florian POURRY. Владелец: OVH SAS. Дата публикации: 2024-05-23.

Corner compensation method for fabricating MEMS and structure thereof

Номер патента: US7180144B2. Автор: Jerwei Hsieh,Weileun Fang. Владелец: WALSIN LIHWA CORP. Дата публикации: 2007-02-20.

Method and apparatus for forming and cutting vinyl tiles with rolled edges

Номер патента: WO2007142706A3. Автор: Steven Dreyer,Shih Ming-Shung. Владелец: Cryntel Entpr Ltd Inc. Дата публикации: 2008-02-21.

Corner compensation method for fabricating MEMS and structure thereof

Номер патента: US6949396B2. Автор: Jerwei Hsieh,Weileun Fang. Владелец: WALSIN LIHWA CORP. Дата публикации: 2005-09-27.

Methods and apparatuses for applying anti-reflective structures to an augmented reality display

Номер патента: US20240361598A1. Автор: WEI Jin,Joseph Daniel LOWNEY,Qinglan Huang. Владелец: Google LLC. Дата публикации: 2024-10-31.

Methods and apparatus to perform multi-level hierarchical demographic classification

Номер патента: US20230162215A1. Автор: Kevin Charles Lyons,Jiabo LI,Devin T. Jones. Владелец: Nielsen Co US LLC. Дата публикации: 2023-05-25.

Method and system for creating a free-form visual user interface element

Номер патента: US09703443B2. Автор: Peter Alex Korn. Владелец: Oracle America Inc. Дата публикации: 2017-07-11.

Method and apparatus for processing black liquor of pulp mill

Номер патента: RU2553882C2. Автор: Тимо ХОНКОЛА. Владелец: Валмет Пауэр Ой. Дата публикации: 2015-06-20.

Structural parts kit for formation of architectural and structural didactic models

Номер патента: US20220249970A1. Автор: Marcio Sequeira De Oliveira. Владелец: Individual. Дата публикации: 2022-08-11.

A method and structure for enclosing a packaging machine

Номер патента: EP1633632A1. Автор: Pietro Bigoni. Владелец: IMA Industria Macchine Automatiche SpA. Дата публикации: 2006-03-15.

Tissue engineering methods and compositions

Номер патента: US09649409B2. Автор: Bradley T. Estes,Farshid Guilak,Franklin Thomas Moutos. Владелец: Cytex Therapeutics Inc. Дата публикации: 2017-05-16.

Method and apparatus for conditioning sludge before drying

Номер патента: RU2449955C2. Автор: Клод ПРЕВО,Марсель ЛЕЗУАЛЛЬ,Андрэ ОБРИ. Владелец: Дегремон. Дата публикации: 2012-05-10.

Solution mining method and system

Номер патента: RU2472927C2. Автор: Уилльям М. БИШОП. Владелец: Пиннэкл Поташ Интернешнл, Лтд.. Дата публикации: 2013-01-20.

Improved methods and systems of window opening reveals

Номер патента: RU2573297C2. Автор: Грег МАТТА. Владелец: ПЕРФЕКТ УИНДОУ РИВИЛ, ЭлЭлСи. Дата публикации: 2016-01-20.

Method and device for assembling tires for vehicle wheels

Номер патента: RU2699647C2. Автор: Давиде КОНТИ. Владелец: ПИРЕЛЛИ ТАЙР С.П.А.. Дата публикации: 2019-09-06.

Method and device for removal of carbon dioxide from flue gas

Номер патента: RU2732399C2. Автор: Терренс Рейд. Владелец: Сквеартэйл Пти Лтд. Дата публикации: 2020-09-16.

Methods and systems for forming a shimmed assembly

Номер патента: US12059856B2. Автор: John C. Osborne,Marcin A. RABIEGA,Kevin L Braun,Jason R. Grindon,Yaniv R. Rock. Владелец: Boeing Co. Дата публикации: 2024-08-13.

Electrophotographic image forming method and apparatus

Номер патента: US20020150831A1. Автор: Tatsuyuki Aoike,Masaharu Miura,Junichiro Hashizume,Toshiyuki Ehara. Владелец: Individual. Дата публикации: 2002-10-17.

Method and system for filtration and filtration cake layer formation

Номер патента: US09868079B2. Автор: Dominik Marek Dominiak,Tom Bjerregaard Jæger. Владелец: Grudfos Holding AS. Дата публикации: 2018-01-16.

Method and device for drilling with melting

Номер патента: RU2509195C2. Автор: Вернер ФОППЕ. Владелец: РАДЕРМАХЕР Франц Йозеф. Дата публикации: 2014-03-10.

Method and machine for packing articles into stretchable film with continuous supply

Номер патента: RU2725000C2. Автор: Франческо ПЕЧЧЕТТИ. Владелец: Колинес С.п.А.. Дата публикации: 2020-06-29.

Methods And Systems For Forming Trays

Номер патента: US20230166474A1. Автор: Mark Woodward,Joseph C. Walsh,Robert L. Conatser. Владелец: Graphic Packaging International LLC. Дата публикации: 2023-06-01.

Methods and systems for forming trays

Номер патента: CA3238201A1. Автор: Mark Woodward,Joseph C. Walsh,Robert L. Conatser. Владелец: Graphic Packaging International LLC. Дата публикации: 2023-06-08.

Methods and systems for forming trays

Номер патента: AU2022400763A1. Автор: Mark Woodward,Joseph C. Walsh,Robert L. Conatser. Владелец: Graphic Packaging International LLC. Дата публикации: 2024-06-13.

Methods and systems for forming trays

Номер патента: EP4440828A1. Автор: Mark Woodward,Joseph C. Walsh,Robert L. Conatser. Владелец: Graphic Packaging International LLC. Дата публикации: 2024-10-09.

Method and apparatus for forming tooling and associated materials therefrom

Номер патента: US09993946B2. Автор: Marc R. Matsen,William C. Dykstra,Gregory J. S. Hickman. Владелец: Boeing Co. Дата публикации: 2018-06-12.

Method and apparatus for a tie-down strap retention device

Номер патента: US09783095B2. Автор: Marc Hale. Владелец: Individual. Дата публикации: 2017-10-10.

Data capturing and structuring method and system

Номер патента: US09678956B2. Автор: Therasa BELL. Владелец: KNO2 LLC. Дата публикации: 2017-06-13.

Method and plant for recovering scandium

Номер патента: RU2648428C2. Автор: Джон КАРР,Николай ЗОНТОВ,Питер ФОЙГТ. Владелец: Клин Тек Пти Лтд. Дата публикации: 2018-03-26.

Method and plant for combined production of carbamide and melamine

Номер патента: RU2344125C2. Автор: Федерико ЗАРДИ,Паоло БРУНЕНГО. Владелец: Уреа Касале С.А.. Дата публикации: 2009-01-20.

Method and system for protection of body part

Номер патента: RU2427295C2. Автор: Анна ХАУПТ,Тереса АЛЬШТИН. Владелец: Хёвдинг Свериге Аб. Дата публикации: 2011-08-27.

A construction method and system for constructing a wall of a building structure

Номер патента: WO2014115003A1. Автор: John Richard Townend Anderton. Владелец: John Richard Townend Anderton. Дата публикации: 2014-07-31.

Forming method and instrument panel

Номер патента: US20230303026A1. Автор: Toshiaki Ono,Takaaki Nagata,Shoichiro Negishi,Toshihisa Kaga. Владелец: Honda Motor Co Ltd. Дата публикации: 2023-09-28.

Method and apparatus for embossing and debossing metallic containers

Номер патента: AU2018334311A1. Автор: Mark A. Jacober,David J. Bonfoey,Kevin Reed Jentzsch. Владелец: Ball Corp. Дата публикации: 2020-03-26.

Method and machine for producing a muselet for bottles of effervescent drinks

Номер патента: US20150090362A1. Автор: Pierre Eric Jolly. Владелец: Comptoir Commercial Champenois. Дата публикации: 2015-04-02.

Method and machine for producing a muselet for bottles of effervescent drinks

Номер патента: SI2846945T1. Автор: Pierre Eric Jolly. Владелец: Comptoir Commercial Champenois. Дата публикации: 2018-03-30.

Method and apparatus for crystal structure optimization

Номер патента: US20150127307A1. Автор: Qiang Zhu,Andriy O. LYAKHOV,Artem R. OGANOV. Владелец: Research Foundation of State University of New York. Дата публикации: 2015-05-07.

A method and an apparatus for forming a seal

Номер патента: GB2596843A. Автор: Wilkinson Chris,Cooper Paul,Brackenbury Lisa,Morfitt Mark,Bond Ducan. Владелец: Nomad Foods Europe Ltd. Дата публикации: 2022-01-12.

Method and device for forming cigarette filter rod

Номер патента: US09936728B2. Автор: XU Wang,Ming HOU,Yigang Tong,Yong Si. Владелец: SHANGHAI TABACCO GROUP CO Ltd. Дата публикации: 2018-04-10.

Method and machine for producing a muselet for bottles of effervescent drinks

Номер патента: US09511412B2. Автор: Pierre Eric Jolly. Владелец: Comptoir Commercial Champenois. Дата публикации: 2016-12-06.

Methods and apparatus for MEMS devices with increased sensitivity

Номер патента: US09505605B2. Автор: Chia-Hua Chu,Chun-Wen Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-29.

Method and device for evaporation of cryogenic media

Номер патента: RU2541489C2. Автор: Фокке БОККЕР. Владелец: Линде Акциенгезелльшафт. Дата публикации: 2015-02-20.

Method and system for water treatment

Номер патента: RU2552431C1. Автор: Хонги Хоу. Владелец: Хонги Хоу. Дата публикации: 2015-06-10.

Method and device for decorating panel

Номер патента: RU2635965C2. Автор: Бруно Поль Луи ВЕРМЁЛЕН. Владелец: Унилин, Бвба. Дата публикации: 2017-11-17.

Method and system for forming of fibrous thick-flowing cheese bulk

Номер патента: RU2563538C2. Автор: Андреас МЮЛЛЕР,Дитер КУН,Оскар МИЛЬЦ. Владелец: Хохланд Се. Дата публикации: 2015-09-20.

Products having a wood grain appearance, and methods and structures for use in forming same

Номер патента: WO2018075383A1. Автор: William M. Vanderminden. Владелец: Telescope Casual Furniture, Inc.. Дата публикации: 2018-04-26.

Products having a wood grain appearance, and methods and structures for use in forming same

Номер патента: US20200269462A1. Автор: William M. Vanderminden. Владелец: Telescope Casual Furniture Inc. Дата публикации: 2020-08-27.

Method of erecting a carton blank, a tool for carrying out the method and a carton blank used with the method

Номер патента: AU4073789A. Автор: Kay Wallin. Владелец: Sprinter System AB. Дата публикации: 1989-09-22.

Method of erecting a carton blank, a tool for carrying out the method and a carton blank used with the method

Номер патента: AU633022B2. Автор: Kay Wallin. Владелец: Sprinter System AB. Дата публикации: 1993-01-21.

Information display method and apparatus, computer device and storage medium

Номер патента: US20240220536A1. Автор: Chen Wang. Владелец: Beijing Zitiao Network Technology Co Ltd. Дата публикации: 2024-07-04.

Method and apparatus for forming spring units

Номер патента: WO1999030853A1. Автор: Simon Paul Spinks,Peter Douglas Spinks. Владелец: A HARRISON (BEDDING) LIMITED. Дата публикации: 1999-06-24.

Improved methods and systems for manufacture of layered three-dimensional forms

Номер патента: EP1638758A2. Автор: Ingo Ederer,Rainer Höchsmann. Владелец: Individual. Дата публикации: 2006-03-29.

Method and system for manufacturing nanoporous structures on a substrate

Номер патента: US12036607B2. Автор: Navin Sakthivel,Alexander John CRUZ. Владелец: Baker Hughes Oilfield Operations LLC. Дата публикации: 2024-07-16.

Methods and process flows for diffusion bonding and forming metallic sheets

Номер патента: US20170216958A1. Автор: Ravi Verma. Владелец: Boeing Co. Дата публикации: 2017-08-03.

Methods And Systems For Forming Trays

Номер патента: US20230302756A1. Автор: Colin P. Ford,Mark Woodward,Joseph C. Walsh,Robert L. Conatser,Shawn Westerlund. Владелец: Graphic Packaging International LLC. Дата публикации: 2023-09-28.

Method and apparatus for forming a beaded can end

Номер патента: EP3509773A1. Автор: designation of the inventor has not yet been filed The. Владелец: Alfons Haar Inc. Дата публикации: 2019-07-17.

Image forming method and toner set for developing electrostatic latent image

Номер патента: US20190137899A1. Автор: Tomoko Mine,Kaori MATSUSHIMA,Hitomi MOTANI. Владелец: KONICA MINOLTA INC. Дата публикации: 2019-05-09.

Method of erecting a carton blank, a tool for carrying out the method and a carton blank used with the method

Номер патента: US5121878A. Автор: Kay Wallin. Владелец: Sprinter System AB. Дата публикации: 1992-06-16.

Methods and equipment for forming tubes of fibrous material

Номер патента: EP4233578A2. Автор: John Richardson,Gerhard Le Roux,Gary Fallon,Arnold HERHOLDT. Владелец: British American Tobacco Investments Ltd. Дата публикации: 2023-08-30.

Methods and equipment for forming tubes of fibrous material

Номер патента: EP4233578A3. Автор: John Richardson,Gerhard Le Roux,Gary Fallon,Arnold HERHOLDT. Владелец: British American Tobacco Investments Ltd. Дата публикации: 2023-09-13.

Apparatus, methods and systems for low energy processing of consumer personal hygiene products; formulations of the same

Номер патента: US20200375877A1. Автор: Colm MACKIN. Владелец: Act and Acre Inc. Дата публикации: 2020-12-03.

Image forming method and image forming apparatus

Номер патента: US20200301328A1. Автор: Toshiyuki Kabata,Toshiaki Motohashi,Megumi Arai,Akira Azami. Владелец: Ricoh Co Ltd. Дата публикации: 2020-09-24.

Method and apparatus for a ventilation system

Номер патента: CA2587610A1. Автор: Curtis Wenger. Владелец: Individual. Дата публикации: 2006-05-26.

Method and apparatus for forming spring units

Номер патента: EP1051271A1. Автор: Simon Paul Spinks,Peter Douglas Spinks. Владелец: Harrison Spinks Beds LTD. Дата публикации: 2000-11-15.

Method and apparatus for forming spring units

Номер патента: AU1569899A. Автор: Simon Paul Spinks,Peter Douglas Spinks. Владелец: Harrison Spinks Beds LTD. Дата публикации: 1999-07-05.

Glass shaping method and glass-shaped article formed by said method

Номер патента: EP3656745A1. Автор: Tetsuya Okuda,Takayuki Kadoi,Hokichi Yoshioka,Shigeo CHAMOTO. Владелец: Tecnisco Ltd. Дата публикации: 2020-05-27.

Method and apparatus for producing high-yield tissue microarray blocks

Номер патента: WO2008153879A8. Автор: William Scott Crawford,Regan Spencer Fulton. Владелец: Regan Spencer Fulton. Дата публикации: 2009-07-09.

Lacquer Pattern Production Method, Lacquer Pattern Formed By Using The Method, And Lacquer Pattern Display Method

Номер патента: US20110154701A1. Автор: Kazuko Ohori. Владелец: DUCO CO Ltd. Дата публикации: 2011-06-30.

Full color image forming method, and toner and intermediate transfer material for the method

Номер патента: US20020132176A1. Автор: Satoru Miyamoto. Владелец: Ricoh Co Ltd. Дата публикации: 2002-09-19.

Leak detection method and micro-machined device assembly

Номер патента: US20050093533A1. Автор: John Christenson,David Rich. Владелец: Delphi Technologies Inc. Дата публикации: 2005-05-05.

Rna location prediction method and apparatus, and storage medium

Номер патента: US20240265270A1. Автор: Zhenzhong Zhang,Yulan HU. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2024-08-08.

Three-dimensional forming method and system

Номер патента: US20240198580A1. Автор: Ling Wang,Xiaoyun WEI,Keke Chen,Ming'en XU. Владелец: Regenovo Biotechnology Co ltd. Дата публикации: 2024-06-20.

Apparatus, methods and systems for low energy processing of consumer personal hygiene products; formulations of the same

Номер патента: EP3755301A1. Автор: Colm MACKIN. Владелец: Act and Acre Inc. Дата публикации: 2020-12-30.

Apparatus, methods and systems for low energy processing of consumer personal hygiene products; formulations of the same

Номер патента: US20220370336A1. Автор: Colm MACKIN. Владелец: Act and Acre Inc. Дата публикации: 2022-11-24.

Aerogel fiber preparation method and uses

Номер патента: EP4435157A1. Автор: Xuetong Zhang,Zengwei Liu. Владелец: Suzhou Institute of Nano Tech and Nano Bionics of CAS. Дата публикации: 2024-09-25.

Method and apparatus for data structuring of text

Номер патента: US20240331432A1. Автор: Dong Hwan Kim,Ki Beom Kwon,You Kyung KWON,So Young KO,Da Hea MOON,Sook Jin ROE. Владелец: 42 Maru Inc. Дата публикации: 2024-10-03.

Method and device for producing a ribbon and a thread of bamboo fiber

Номер патента: US12129583B2. Автор: Robertus martinus VAN OPDORP,Marcus Anton Hubertus BOKELOH. Владелец: Bbf Ip BV. Дата публикации: 2024-10-29.

Methods and systems of operating a neural circuit in a non-volatile memory based neural-array

Номер патента: US12136028B2. Автор: Vishal Sarin. Владелец: Individual. Дата публикации: 2024-11-05.

Method and apparatus for producing solid foam, product and use

Номер патента: AU2021356186A9. Автор: Juha Koivisto,Mikko Alava,Antti PUISTO. Владелец: Woamy Oy. Дата публикации: 2024-08-01.

Laser ablation and processing methods and systems

Номер патента: US09914985B2. Автор: Bartosz Andrzej Dajnowski. Владелец: GC Laser Systems Inc. Дата публикации: 2018-03-13.

Methods and systems for providing a preloader animation for image viewers

Номер патента: US09852544B2. Автор: Thor Lewis,Chaitanya Gharpure. Владелец: Google LLC. Дата публикации: 2017-12-26.

Method and apparatus for molding three-dimensional object and molding data generation method for three-dimensional object

Номер патента: US09694542B2. Автор: Shozo Sakura. Владелец: Ricoh Co Ltd. Дата публикации: 2017-07-04.

Imprint apparatus, imprint method, and device manufacturing method

Номер патента: US09645514B2. Автор: Hironori Maeda,Ken Minoda,Kazuhiko Mishima,Seiya Miura. Владелец: Canon Inc. Дата публикации: 2017-05-09.

Methods and systems for providing a preloader animation for image viewers

Номер патента: US09519999B1. Автор: Thor Lewis,Chaitanya Gharpure. Владелец: Google LLC. Дата публикации: 2016-12-13.

Method and device for producing a polymer tape

Номер патента: US09316465B2. Автор: Reinard Jozef Maria Steeman. Владелец: DSM IP ASSETS BV. Дата публикации: 2016-04-19.

Corrugated web manufacturing method and device

Номер патента: RU2711280C2. Автор: Стефано ЦАППОЛИ. Владелец: ФИЛИП МОРРИС ПРОДАКТС С.А.. Дата публикации: 2020-01-16.

Method and installation for neutralisation of gas mixture acidity

Номер патента: RU2519483C2. Автор: Лиан ХУ. Владелец: Лиан ХУ. Дата публикации: 2014-06-10.

Method and apparatus for preparing single crystal cladding

Номер патента: EP4361114A1. Автор: Yu Wang,Peng GU,Zhenxing Liang. Владелец: Meishan Boya Advanced Materials Co Ltd. Дата публикации: 2024-05-01.

Adhesion method, and biochemical chip and optical component made by the same

Номер патента: US20100178514A1. Автор: Kazufumi Ogawa. Владелец: Individual. Дата публикации: 2010-07-15.

Method and machine for forming a hollow board member

Номер патента: EP3433102A1. Автор: Dan Pettersson,Rolf Carlander,Bengt Andreasson,Tomas Andreasson. Владелец: IKEA Supply AG. Дата публикации: 2019-01-30.

Method and machine for forming a hollow board member

Номер патента: SE1650385A1. Автор: Pettersson Dan,Andreasson Bengt,ANDREASSON Tomas,Carlander Rolf. Владелец: IKEA Supply AG. Дата публикации: 2017-09-24.

Selectively Sized Multi-Ply Core Stock Paper, Methods, And Apparatus

Номер патента: US20160130761A1. Автор: Vincent B. Thomas,Blake McCorriston,Gerry Finlayson. Владелец: Georgia Pacific Gypsum Llc. Дата публикации: 2016-05-12.

Adhesion method, and biochemical chip and optical component made by the same

Номер патента: US20130157059A1. Автор: Kazufumi Ogawa. Владелец: Individual. Дата публикации: 2013-06-20.

Adhesion method, and biochemical chip and optical component made by the same

Номер патента: US8404078B2. Автор: Kazufumi Ogawa. Владелец: EMPIRE TECHNOLOGY DEVELOPMENT LLC. Дата публикации: 2013-03-26.

Coating method and coating apparatus

Номер патента: US20090252878A1. Автор: Yasuo Shinohara,Koichiro Watanabe. Владелец: Sumitomo Chemical Co Ltd. Дата публикации: 2009-10-08.

Method and apparatus for forming a microfluidic gel structure

Номер патента: CA3220717A1. Автор: Sebastiaan Johannes TRIETSCH,Claudia AL-MARDINI,Todd Peter BURTON. Владелец: Individual. Дата публикации: 2022-12-15.

Method and apparatus for forming a microfluidic gel structure

Номер патента: EP4351859A1. Автор: Sebastiaan Johannes TRIETSCH,Claudia AL-MARDINI,Todd Peter BURTON. Владелец: Mimetas BV. Дата публикации: 2024-04-17.

Methods and apparatus for forming dual polarized images

Номер патента: US20180314061A1. Автор: W. Dennis Slafer,Christine Higgins. Владелец: MicroContinuum Inc. Дата публикации: 2018-11-01.

Method and apparatus for folding a medium

Номер патента: SG136879A1. Автор: Michiel A C Terhaag,Assen Jeroen A A Van. Владелец: Oce Tech Bv. Дата публикации: 2007-11-29.

Methods and structure for storing errors for error recovery in a hardware controller

Номер патента: US20120290875A1. Автор: Jeffrey K. Whitt,Joshua P. Sinykin,Sreedeepti Reddy. Владелец: LSI Corp. Дата публикации: 2012-11-15.

Image forming method and image forming apparatus

Номер патента: US20130164053A1. Автор: Takashi Bisaiji,Hirokatsu Suzuki,Satoru Ishikake,Hiroomi TAMURA,Takamasa Ozeki. Владелец: Ricoh Co Ltd. Дата публикации: 2013-06-27.

Method and device for manufacturing a concrete structural element, and thus manufactured element

Номер патента: WO2009002160A2. Автор: Johannes Gommert Van Der Klooster. Владелец: Nepro Europe B.V.. Дата публикации: 2008-12-31.

Method and apparatus for forming a microfluidic gel structure

Номер патента: US20240271071A1. Автор: Sebastiaan Johannes TRIETSCH,Claudia AL-MARDINI,Todd Peter BURTON. Владелец: Mimetas BV. Дата публикации: 2024-08-15.

Method and apparatus for in-ground subterranean termite detection

Номер патента: US20020189153A1. Автор: Terrence Clark. Владелец: Clark Pest Control of Stockton Inc. Дата публикации: 2002-12-19.

Method and apparatus for wafer-level solder hermetic seal encapsulation of mems devices

Номер патента: EP2780278A1. Автор: John H. Hong,Chong U. Lee,Tallis Y. Chang,Yaoling Pan. Владелец: Qualcomm Inc. Дата публикации: 2014-09-24.

Preconditioned Paperboard Containers and Method and Apparatus for Making the Same

Номер патента: CA2149583A1. Автор: Robert J. Aloisi,Arthur Livingston,Brian S. Huss. Владелец: Individual. Дата публикации: 1995-11-19.

Method and system for producing a fertilizer from cactus plants

Номер патента: US20240228397A1. Автор: Jesus Martinez,Jorge Bildo Saravia Fuentes,Samuel Vinson. Владелец: Wewant LLC. Дата публикации: 2024-07-11.

Method and apparatus for blind stitching a formed component and components formed from the method

Номер патента: US20170246837A1. Автор: Edward J. Wenzel,Ramez M. Habhab. Владелец: Inteva Products LLC. Дата публикации: 2017-08-31.

Method and apparatus for blind stitching a formed component and components formed from the method

Номер патента: US20160052465A1. Автор: Edward J. Wenzel,Ramez M. Habhab. Владелец: Individual. Дата публикации: 2016-02-25.

Method and apparatus for blind stitching a formed component and components formed from the method

Номер патента: US10315383B2. Автор: Edward J. Wenzel,Ramez M. Habhab. Владелец: Inteva Products LLC. Дата публикации: 2019-06-11.

Method and apparatus for manufacturing fiber for synthetic hair

Номер патента: US11013280B2. Автор: Han Soo Park,Chang Moo LEE. Владелец: Individual. Дата публикации: 2021-05-25.

Methods and systems for fabricating spiral welded cylinders

Номер патента: US20020088785A1. Автор: Michael Williams,Warner Fencl,Robert Shaner,Stephen Coughlin. Владелец: Individual. Дата публикации: 2002-07-11.

Methods and systems for fabricating spiral welded cylinders

Номер патента: WO2002051577A9. Автор: Michael R Williams,Robert Shaner,Stephen A Coughlin,Warner G Fencl. Владелец: American Railcar Ind Inc. Дата публикации: 2003-11-20.

Methods and systems for fabricating spiral welded cylinders

Номер патента: CA2634114A1. Автор: Mike Williams,Steve Coughlin,Warner Fencl,Robert Shaner. Владелец: Individual. Дата публикации: 2002-07-04.

Method and apparatus for manipulating a sample

Номер патента: WO2003006952A2. Автор: Ami Chand,Kenneth L. Babcock,Kevin J. Kjoller,Michael K. Harris. Владелец: VEECO INSTRUMENTS, INC.. Дата публикации: 2003-01-23.

Method and apparatus for producing tiered containers

Номер патента: EP2595789A1. Автор: Arjun Ramrakhyani,Steve Karnatz. Владелец: Diamond Machine Werks Inc. Дата публикации: 2013-05-29.

Overlay welding method and method for repairing metal member

Номер патента: US20240227093A9. Автор: Takuya Hiraoka,Koji Tsukimoto,Ryoji FUSHINO,Akito Higasa. Владелец: Mitsubishi Heavy Industries Ltd. Дата публикации: 2024-07-11.

Overlay welding method and method for repairing metal member

Номер патента: US20240131638A1. Автор: Takuya Hiraoka,Koji Tsukimoto,Ryoji FUSHINO,Akito Higasa. Владелец: Mitsubishi Heavy Industries Ltd. Дата публикации: 2024-04-25.

Methods and Systems for Fabricating Layers of Metallic Glass-Based Materials

Номер патента: US20240011139A1. Автор: David Kok,Glenn R. Garrett. Владелец: Amorphology Inc. Дата публикации: 2024-01-11.

Method and machine for high energy rate forming

Номер патента: WO1981000528A1. Автор: P Poulsen. Владелец: P Poulsen. Дата публикации: 1981-03-05.

Method and system for producing a fertilizer from cactus plants

Номер патента: WO2022240815A1. Автор: Jesus Martinez,Jorge Bildo Saravia Fuentes,Samuel Vinson. Владелец: Wewant LLC. Дата публикации: 2022-11-17.

Method and apparatus for preparing flakes

Номер патента: GB2627839A. Автор: Fefferman Guy,Elisha Levin Aleksey. Владелец: Landa Labs 2012 Ltd. Дата публикации: 2024-09-04.

Hollow fiber membrane and its preparation method and application

Номер патента: US12048899B2. Автор: Yue Li,Yuelian Peng,Songchen Xie. Владелец: BEIJING UNIVERSITY OF TECHNOLOGY. Дата публикации: 2024-07-30.

Method and forming apparatus for making a curved electrochromic film

Номер патента: US20190163026A1. Автор: Fu-Yu Tsai,Yi-Wen Chung,Keng-Ming Hu,Jui-Wen Tsai,Yau-Ren Yang. Владелец: Tintable Kibing Co ltd. Дата публикации: 2019-05-30.

Methods and systems for CO2 separation

Номер патента: US09919261B2. Автор: Sarah Elizabeth Genovese,Tiffany Elizabeth Pinard Westendorf,John Brian Mcdermott,Benjamin Rue Wood. Владелец: General Electric Co. Дата публикации: 2018-03-20.

Method and system to subdivide a mapping area for mechanical activation analysis

Номер патента: US09763591B2. Автор: Yelena Nabutovsky,Hoda Razavi. Владелец: Pacesetter Inc. Дата публикации: 2017-09-19.

Selectively sized multi-ply core stock paper, methods, and apparatus

Номер патента: US09657440B2. Автор: Vincent B. Thomas,Blake McCorriston,Gerry Finlayson. Владелец: Georgia Pacific Gypsum Llc. Дата публикации: 2017-05-23.

Method and apparatus for raising a structure

Номер патента: US09637361B2. Автор: William T. Long,David E. Krueger,Ryan Evan Paddock. Владелец: 2NDSTORYPLUS LLC. Дата публикации: 2017-05-02.

Ultra-high-molecular-weight fiber manufacturing method and system

Номер патента: US12076902B2. Автор: Hsing-Hsun LEE. Владелец: Quann Cheng International Co Ltd. Дата публикации: 2024-09-03.

Method and apparatus for blind stitching a formed component and components formed from the method

Номер патента: US09566763B2. Автор: Edward J. Wenzel,Ramez M. Habhab. Владелец: Inteva Products LLC. Дата публикации: 2017-02-14.

Combination of stereo and structured-light processing

Номер патента: US09507995B2. Автор: Ethan Rublee,Kurt Konolige. Владелец: X Development LLC. Дата публикации: 2016-11-29.

Bendable strain relief fluid filter liner, method and apparatus

Номер патента: US09441464B2. Автор: David L. Hagen. Владелец: VAST Power Portfolio LLC. Дата публикации: 2016-09-13.

Methods and devices for forming treatment agent carriers

Номер патента: US09402814B2. Автор: Eugene T. Michal,Shubhayu Basu. Владелец: Abbott Cardiovascular Systems Inc. Дата публикации: 2016-08-02.

Method and device for arthroplasty of cotyloid cavity

Номер патента: RU2596717C2. Автор: Майкл Д. РИС,Дэвид С. КЕЛМАН. Владелец: Смит Энд Нефью, Инк.. Дата публикации: 2016-09-10.

METHODS TO FORM SELF-ALIGNED PERMANENT ON-CHIP INTERCONNECT STRUCTURES

Номер патента: US20120018891A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-01-26.

METHODS TO FORM SELF-ALIGNED PERMANENT ON-CHIP INTERCONNECT STRUCTURES

Номер патента: US20130001801A1. Автор: Lin Qinghuang. Владелец: INTERNATIOANL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-01-03.

How to form self-aligned contacts

Номер патента: KR950025876A. Автор: 남종완,권성우. Владелец: 현대전자산업 주식회사. Дата публикации: 1995-09-18.

How to form self-aligned contacts

Номер патента: KR19990074362A. Автор: 염계희. Владелец: 윤종용. Дата публикации: 1999-10-05.

How to form self-aligned contacts

Номер патента: KR950007100A. Автор: 성진모. Владелец: 현대전자산업 주식회사. Дата публикации: 1995-03-21.

How to form self-aligned contacts

Номер патента: KR940007990A. Автор: 김진웅,손곤. Владелец: 현대전자산업 주식회사. Дата публикации: 1994-04-28.

Method for using dual damascene trench to form self-aligned mask ROM

Номер патента: TW477003B. Автор: Pei-Ren Jeng,Tzung-Ye Li,Wei-Min Jung. Владелец: Macronix Int Co Ltd. Дата публикации: 2002-02-21.

Integrally formed self aligning bearing

Номер патента: AU220369B2. Автор: Mills Ready Graeme. Владелец: Individual. Дата публикации: 1957-11-07.

Method of forming self-aligned rugged crown-shaped capacitor of high-density dynamic random access memory

Номер патента: TW425702B. Автор: Shie-Lin Wu. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2001-03-11.

Method for forming self-aligned trench isolation

Номер патента: TW492140B. Автор: Horng-Huei Tseng. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2002-06-21.

Fabrication method of forming self-aligned salicide

Номер патента: TW281788B. Автор: Ming-Jong Yang,Bor-Ren Jeng. Владелец: United Microelectronics Corp. Дата публикации: 1996-07-21.

Structure and method for forming self-aligned bipolar junction transistor with expitaxy base

Номер патента: TW546809B. Автор: Shu-Ya Chuang. Владелец: United Microelectronics Corp. Дата публикации: 2003-08-11.

Integrally formed self aligning bearing

Номер патента: AU2197556A. Автор: Mills Ready Graeme. Владелец: Individual. Дата публикации: 1957-11-07.

Method of forming self-aligned silicide by double ion implantation

Номер патента: TW418449B. Автор: Chi-Ming Yang,Jau-Jie Tsai,Shou-Ren Jang,Jeng-Kuen Lin. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2001-01-11.

Method of forming self-aligned salicide

Номер патента: TW308721B. Автор: Jiunn-Shyan Lin. Владелец: United Microelectronics Corp. Дата публикации: 1997-06-21.

Process for forming self-aligned multi-level interconnect structure

Номер патента: TW444335B. Автор: Hsu-Li Cheng,Erik S Jeng. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2001-07-01.

Method of forming self-aligned contacts

Номер патента: TWI221639B. Автор: Pei-Ren Jeng. Владелец: Macronix Int Co Ltd. Дата публикации: 2004-10-01.

Method of forming self-aligned contacts

Номер патента: TW200423234A. Автор: Pei-Ren Jeng. Владелец: Macronix Int Co Ltd. Дата публикации: 2004-11-01.

METHOD FOR FORMING SELF-ALIGNED CONTACT

Номер патента: US20120267727A1. Автор: . Владелец: NANYA TECHNOLOGY CORPORATION. Дата публикации: 2012-10-25.

METHODS OF FORMING SELF-ALIGNED THROUGH SILICON VIA

Номер патента: US20130065393A1. Автор: Gambino Jeffrey P.,Stamper Anthony K.,LEIDY Robert K.. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-03-14.

Method of Forming Self-Aligned Contacts for a Semiconductor Device

Номер патента: US20130189833A1. Автор: Baars Peter,Wei Andy,Geiss Erik,Mazur Martin. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-07-25.

METHOD FOR FORMING SELF-ALIGNED OVERLAY MARK

Номер патента: US20130210213A1. Автор: Pratt David,Nair Vinay,Hawk Christopher,Housley Richard. Владелец: . Дата публикации: 2013-08-15.

METHODS OF FORMING SELF-ALIGNED CONTACTS FOR A SEMICONDUCTOR DEVICE FORMED USING REPLACEMENT GATE TECHNIQUES

Номер патента: US20130288468A1. Автор: Chi Min-Hwa. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-10-31.

METHODS OF FORMING SELF-ALIGNED CONTACTS FOR A SEMICONDUCTOR DEVICE

Номер патента: US20130288471A1. Автор: Chi Min-Hwa. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-10-31.

Method for forming self-aligned metallic silicide

Номер патента: CN102044422B. Автор: 聂佳相,卢炯平,杨瑞鹏,孔祥涛. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2012-07-04.

Method of forming self-aligned metal silicide

Номер патента: TWI251272B. Автор: Erh-Kun Lai,Tung-Cheng Kuo,Shou-Wei Hwang,Yu-Ping Huang. Владелец: Macronix Int Co Ltd. Дата публикации: 2006-03-11.

Method for forming self-aligning contact window structure

Номер патента: CN1290158C. Автор: 董明圣,李岳川. Владелец: Promos Technologies Inc. Дата публикации: 2006-12-13.

Method of forming self-aligned salicide

Номер патента: TW304279B. Автор: Jenn-Hwa Yu. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 1997-05-01.

Manufacturing method of forming self-aligned twin-tub well on semiconductor substrate

Номер патента: TW301769B. Автор: Jyi-Shyi Wu. Владелец: Winbond Electronics Corp. Дата публикации: 1997-04-01.

Method for forming self-alignment metal salicide CMOS semi transistor

Номер патента: TW366566B. Автор: Shye-Lin Wu. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 1999-08-11.

Making process for forming self-alignment contact plug useful in an embedded random access memory

Номер патента: TW385529B. Автор: Jen-Ye Shr. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2000-03-21.

Method for forming self-aligned metal oxide semiconductor transistor

Номер патента: TW563189B. Автор: Ding-Jang Jang,Huang-Jung Jeng,Jeng-Jie Yang. Владелец: United Microelectronics Corp. Дата публикации: 2003-11-21.

Information Storage Medium, Reproducing Method, And Recording Method

Номер патента: US20120002529A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

INFORMATION STORAGE MEDIUM, REPRODUCING METHOD, AND RECORDING METHOD

Номер патента: US20120002530A1. Автор: Morita Seiji,Takazawa Koji,Ando Hideo. Владелец: . Дата публикации: 2012-01-05.

INFORMATION STORAGE MEDIUM, REPRODUCING METHOD, AND RECORDING METHOD

Номер патента: US20120002531A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD AND SYSTEM FOR FORMING A PHOTOVOLTAIC CELL AND A PHOTOVOLTAIC CELL

Номер патента: US20120000529A1. Автор: . Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

METHOD AND PROGRAMMING TOOL FOR CREATING A USER PROGRAM FOR A SAFETY CONTROLLER

Номер патента: US20120004744A1. Автор: REUSCH Matthias,Bauer Ralf,Woehrle Stefan,Holzaepfel Matthias,Gilmore Maurice. Владелец: . Дата публикации: 2012-01-05.

METHOD AND STRUCTURE FOR NASAL DILATOR

Номер патента: US20120004683A1. Автор: Gray David,Litman Mark A.. Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS TO SUPPORT SCALABILITY IN A MULTICARRIER NETWORK

Номер патента: US20120002738A1. Автор: Jacobsen Eric A.,Foerster Jeff,Dahle Dan. Владелец: . Дата публикации: 2012-01-05.

BUILDING CONSTRUCTION METHOD AND SYSTEM

Номер патента: US20120003051A1. Автор: Plotkin Kym. Владелец: TRISTA TECHNOLOGY PTY LTD. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR GENERATION OF FREE-SURFACE WAVES USING CAVITY RESONATOR

Номер патента: US20120001434A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

HIGH SOLIDS CONTENT SLURRY METHODS AND SYSTEMS

Номер патента: US20120000653A1. Автор: . Владелец: SCHLUMBERGER TECHNOLOGY CORPORATION. Дата публикации: 2012-01-05.

Methods and Apparatus for Manufacturing Plasma Based Plastics and Bioplastics Produced Therefrom

Номер патента: US20120003193A1. Автор: Burgess James E.,Smith Jason,Campbell Phil G.,Weiss Lee E.. Владелец: . Дата публикации: 2012-01-05.

Methods and Apparatus for Manufacturing Plasma Based Plastics and Bioplastics Produced Therefrom

Номер патента: US20120003279A1. Автор: Burgess James E.,Smith Jason,Campbell Phil G.,Weiss Lee E.. Владелец: . Дата публикации: 2012-01-05.

Methods and Apparatus for Manufacturing Plasma Based Plastics and Bioplastics Produced Therefrom

Номер патента: US20120003324A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ELECTROPLATING METHODS AND CHEMISTRIES FOR CIGS PRECURSOR STACKS WITH CONDUCTIVE SELENIDE BOTTOM LAYER

Номер патента: US20120003786A1. Автор: Pinarbasi Mustafa,Aksu Serdar. Владелец: . Дата публикации: 2012-01-05.

METHOD AND DEVICE FOR PACKAGING A SUBSTRATE

Номер патента: US20120002266A1. Автор: . Владелец: QUALCOMM MEMS Technologies, Inc.. Дата публикации: 2012-01-05.

DISPLAY DEVICE COMPRISING MULTIFUNCTION GLASS, PRODUCTION METHOD, AND OPTICAL ELEMENT HAVING A FRESNEL STRUCTURE

Номер патента: US20120002295A1. Автор: . Владелец: CARL ZEISS AG. Дата публикации: 2012-01-05.

MODULATING PDX-1 WITH PCIF1, METHODS AND USES THEREOF

Номер патента: US20120003242A1. Автор: Stoffers Doris. Владелец: . Дата публикации: 2012-01-05.

MODIFIED SODIUM-MONTMORILLONITE, PREPARATION METHOD AND USES THEREOF

Номер патента: US20120003328A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD AND SYSTEM FOR FLUORESCENT IMAGING WITH BACKGROUND SURGICAL IMAGE COMPOSED OF SELECTIVE ILLUMINATION SPECTRA

Номер патента: US20120004557A1. Автор: McDowall Ian,Hasser Christopher J.. Владелец: . Дата публикации: 2012-01-05.

CONTROL DEVICE, CONTROL METHOD, AND CONTROL PROGRAM

Номер патента: US20120002522A1. Автор: Yamamoto Masaaki. Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR SUSPENDING AND SPINNING A SPHERICAL OBJECT

Номер патента: US20120004054A1. Автор: McKendrick Jason S.,Jewkes Rodney R.. Владелец: . Дата публикации: 2012-01-05.

METHOD AND SYSTEM FOR TREATING PHOTOAGED TISSUE

Номер патента: US20120004549A1. Автор: . Владелец: GUIDED THERAPY SYSTEMS, L.L.C.. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR STORING A LASER OPTICAL FIBER

Номер патента: US20120002933A1. Автор: Williams Richard Alan,Seftel Allen D.,Pastor Stephen T.. Владелец: . Дата публикации: 2012-01-05.

Method and Apparatus Using Volume Holographic Wavelength Blockers

Номер патента: US20120002197A1. Автор: MOSER Christophe,HAVERMEYER Frank. Владелец: . Дата публикации: 2012-01-05.

METHOD AND SYSTEM FOR REPAIRING FLAT PANEL DISPLAY

Номер патента: US20120002155A1. Автор: . Владелец: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE MANUFACTURE METHOD AND SEMICONDUCTOR DEVICE

Номер патента: US20120001344A1. Автор: IDANI Naoki,TAKESAKO Satoshi. Владелец: FUJITSU SEMICONDUCTOR LIMITED. Дата публикации: 2012-01-05.

Method and Device for Manufacturing a Three-Layer Cord of the Type Rubberized in Situ

Номер патента: US20120000174A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method and an Apparatus for Grouping Discrete Laminar Articles Into Batches of Predetermined Count

Номер патента: US20120000748A1. Автор: Chauhan Vijay. Владелец: . Дата публикации: 2012-01-05.

METHODS AND APPARATUS OF ARC PREVENTION DURING RF SPUTTERING OF A THIN FILM ON A SUBSTRATE

Номер патента: US20120000767A1. Автор: Halloran Sean Timothy. Владелец: PRIMESTAR SOLAR, INC.. Дата публикации: 2012-01-05.

IMAGE FORMING APPARATUS, SHEET FEED CONTROL METHOD, AND STORAGE MEDIUM

Номер патента: US20120001377A1. Автор: Miyahara Nobuaki. Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

METHOD AND DEVICE FOR FORMING SURFACE PROCESSED DATA

Номер патента: US20120001908A1. Автор: Takahashi Kenji,Kikuta Mamoru,Miura Kenjiro,Uzuyama Daijiro. Владелец: . Дата публикации: 2012-01-05.

LOCAL EXPOSURE APPARATUS, LOCAL EXPOSURE METHOD AND STORAGE MEDIUM

Номер патента: US20120002183A1. Автор: Tanaka Shigeki,Matsumura Yuki,Ota Yoshiharu,MORIYAMA Shigeru. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-05.

IMAGE FORMING APPARATUS, CONTROL METHOD, AND CONTROL APPARATUS

Номер патента: US20120002233A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR ESTABLISHING AND MAINTAINING A SPECTRALLY EFFICIENT MULTICAST GROUP CALL

Номер патента: US20120002581A1. Автор: . Владелец: MOTOROLA, INC.. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR TRANSMITTING AND RECEIVING HARQ BURST

Номер патента: US20120002619A1. Автор: . Владелец: SAMSUNG ELECTRONICS CO. LTD.. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR INSPECTING PATTERNS FORMED ON A SUBSTRATE

Номер патента: US20120002860A1. Автор: Sakai Kaoru,Shibuya Hisae,Maeda Shunji,Nishiyama Hidetoshi. Владелец: . Дата публикации: 2012-01-05.

Method and Apparatus For Inspecting Defect Of Pattern Formed On Semiconductor Device

Номер патента: US20120002861A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

OXIDATION TREATMENT METHOD AND OXIDATION TREATMENT APPARATUS

Номер патента: US20120003143A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHODS AND KITS USED IN IDENTIFYING GLIOBLASTOMA

Номер патента: US20120003209A1. Автор: . Владелец: THE TRANSLATIONAL GENOMICS RESEARCH INSTITUTE. Дата публикации: 2012-01-05.

METHOD AND APPARATUS USING A SPLIT CASE DIE TO PRESS A PART AND THE PART PRODUCED THEREFROM

Номер патента: US20120003443A1. Автор: . Владелец: KENNAMETAL INC.. Дата публикации: 2012-01-05.

MANUFACTURING METHOD AND MANUFACTURING APPARATUS FOR CATALYST-COATED MEMBRANE ASSEMBLY

Номер патента: US20120003572A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD AND KIT FOR IDENTIFICATION OF AN EXPLOSIVE SUBSTANCE WHICH CONTAINS AN OXIDANT

Номер патента: US20120003746A1. Автор: AMISAR Shai. Владелец: MISTRAL DETECTION LTD.. Дата публикации: 2012-01-05.

SYSTEMS, METHODS AND APPARATUSES FOR MAGNETIC PROCESSING OF SOLAR MODULES

Номер патента: US20120003777A1. Автор: . Владелец: MIASOLE. Дата публикации: 2012-01-05.

The polymorph forms of 4-anilinoquinazoline derivatives, the preparation methods and uses thereof

Номер патента: US20120004249A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Apparatus, methods, and fluid compositions for electrostatically-driven solvent ejection or particle formation

Номер патента: US20120004370A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD AND SYSTEM FOR ULTRASOUND DATA PROCESSING

Номер патента: US20120004545A1. Автор: Ziv-Ari Morris,Sokulin Alexander,KEMPINSKI ARCADY. Владелец: . Дата публикации: 2012-01-05.

GUIDEWIRE INSERTION METHODS AND DEVICES

Номер патента: US20120004665A1. Автор: . Владелец: DePuy Spine, Inc.. Дата публикации: 2012-01-05.

Method and System for Excursion Monitoring in Optical Lithography Processes in Micro Device Fabrication

Номер патента: US20120004758A1. Автор: . Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

Method and apparatus for solar-greenhouse production and harvesting of micro-algae

Номер патента: US20120000126A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR DISPENSING MOIST SMOKELESS TOBACCO

Номер патента: US20120000165A1. Автор: Williams Dwight D.. Владелец: ALTRIA CLIENT SERVICES, INC.. Дата публикации: 2012-01-05.

Method and apparatus for reducing tin oxide in float glass production

Номер патента: US20120000248A1. Автор: Nelson Douglas M.. Владелец: Pilkington Group Limited. Дата публикации: 2012-01-05.

Method and Control Apparatus for Controlling a High-Pressure Fuel Supply Pump

Номер патента: US20120000445A1. Автор: Tokuo Kenichiro,Watanabe Masanori,BORG Jonathan. Владелец: Hitachi, Ltd.. Дата публикации: 2012-01-05.

Method and arrangement for sealing a subsea oil wellhead

Номер патента: US20120000666A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHODS AND SYSTEMS FOR HOLDING SURGICAL INSTRUMENT COUNT SHEETS

Номер патента: US20120000804A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHODS AND APPARATUS FOR APPLYING METALLIC CLADDING TO INTERIOR SURFACES OF PIPE ELBOWS

Номер патента: US20120000966A1. Автор: GREENWALL Norman Alexander. Владелец: . Дата публикации: 2012-01-05.

Method and apparatus for efficiently querying and identifying multiple items on a communication channel

Номер патента: US20120001736A1. Автор: Hulvey Robert. Владелец: . Дата публикации: 2012-01-05.

Method and System for Transmission of Identification via Metadata for Repeating Relays using Spread-Spectrum Technology

Номер патента: US20120002701A1. Автор: . Владелец: COMTECH EF DATA CORP.. Дата публикации: 2012-01-05.

Method and apparatus for scalable video coding

Номер патента: US20120002726A1. Автор: Lei Zhibin,WU Yannan,Fang Laifa. Владелец: Hong Kong Applied Science and Technology Research Institute Company Limited. Дата публикации: 2012-01-05.

CONVEYING DEVICE, CONVEYING METHOD AND MICROSCOPE SYSTEM

Номер патента: US20120003065A1. Автор: . Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR ASSEMBLING ROTATING MACHINES

Номер патента: US20120003076A1. Автор: Cummins Josef Scott,Wilson Ian David. Владелец: . Дата публикации: 2012-01-05.

METHOD AND DEVICE FOR CONNECTING TUBES MADE OUT OF THERMOPLASTIC MATERIAL

Номер патента: US20120003411A1. Автор: Strübin Pierre,Chuat René,Grosjean Yoland. Владелец: . Дата публикации: 2012-01-05.

METHODS AND KITS FOR DETERMINING PREDISPOSITION TO DEVELOP KIDNEY DISEASES

Номер патента: US20120003644A1. Автор: . Владелец: Rappaport Family Institute for Research in the Medical Sciences. Дата публикации: 2012-01-05.

Compositions, Methods and Kits for Nucleic Acid Synthesis and Amplification

Номер патента: US20120003645A1. Автор: Woo Cora L.,Yim Priscilla W.,Berkman Jennifer. Владелец: LIFE TECHNOLOGIES CORPORATION. Дата публикации: 2012-01-05.

SAMPLE PREPARATION FOR IN SITU NUCLEIC ACID ANALYSIS, METHODS AND COMPOSITIONS THEREFOR

Номер патента: US20120003656A1. Автор: . Владелец: LIFE TECHNOLOGIES CORPORATION. Дата публикации: 2012-01-05.

METHODS AND DEVICES FOR THE SELECTIVE DETECTION OF MICROORGANISMS

Номер патента: US20120003661A1. Автор: . Владелец: C3 JIAN, INC.. Дата публикации: 2012-01-05.

Immunohistochemical staining method and immunohistochemical staining apparatus

Номер патента: US20120003669A1. Автор: OGAWA Jun-ichi,MINAMIYA Yoshihiro,Toda Hiroshi,Akagami Yoichi,Kagaya Masami. Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR ESTABLISHING A GROUP CALL

Номер патента: US20120003969A1. Автор: Hiben Bradley M.,ANDERSON JEFF S.,Anderson Henry W.. Владелец: MOTOROLA, INC.. Дата публикации: 2012-01-05.

METHOD AND SYSTEM TO CONTROL MOVABLE ENTITIES

Номер патента: US20120003992A1. Автор: . Владелец: WIRELESSWERX INTERNATIONAL, INC.. Дата публикации: 2012-01-05.

METHOD AND SYSTEM FOR THE CONTINUOUS OR SEMI-CONTINUOUS PRODUCTION OF FLAVORED ICE

Номер патента: US20120000206A1. Автор: Erbs Daryl G.,Pierskalla Cary J.,Myers John P.. Владелец: . Дата публикации: 2012-01-05.

METHODS AND APPARATUS FOR RADIO FREQUENCY (RF) PLASMA PROCESSING

Номер патента: US20120000888A1. Автор: . Владелец: Applied Materials, Inc.. Дата публикации: 2012-01-05.

Method and Circuitry to Recover Energy from Discharge Signals of a Charging Operation of a Battery/Cell

Номер патента: US20120001594A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHODS AND SYSTEMS FOR 3D ANIMATION

Номер патента: US20120001906A1. Автор: Wilkinson James. Владелец: BLUE SKY STUDIOS, INC.. Дата публикации: 2012-01-05.

METHODS AND SYSTEMS FOR 3D ANIMATION

Номер патента: US20120001907A1. Автор: Wilkinson James. Владелец: BLUE SKY STUDIOS, INC.. Дата публикации: 2012-01-05.

ADJUSTING SYSTEM, METHOD AND PROJECTOR INCLUDING THE SAME

Номер патента: US20120001931A1. Автор: HSIEH MING-CHIH. Владелец: HON HAI PRECISION INDUSTRY CO., LTD.. Дата публикации: 2012-01-05.

PLAYBACK DEVICE, PLAYBACK METHOD AND PROGRAM

Номер патента: US20120002518A1. Автор: Yonezawa Takeshi,Sagara Seiichi,Uemura Kamon. Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR BLOCK BASED IMAGE COMPRESSION WITH MULTIPLE NON-UNIFORM BLOCK ENCODINGS

Номер патента: US20120002873A1. Автор: . Владелец: ATI TECHNOLOGIES ULC. Дата публикации: 2012-01-05.

Methods and Systems for Image Data Processing

Номер патента: US20120002875A1. Автор: . Владелец: Luminex Corporation. Дата публикации: 2012-01-05.

Methods and Systems for Image Data Processing

Номер патента: US20120002882A1. Автор: . Владелец: Luminex Corporation. Дата публикации: 2012-01-05.

RECORDING MEDIUM, REPRODUCING DEVICE, RECORDING METHOD, AND REPRODUCING METHOD

Номер патента: US20120002943A1. Автор: Yahata Hiroshi,OKADA Tomoyuki. Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

REPLAY CONTROL METHOD AND REPLAY APPARATUS

Номер патента: US20120002944A1. Автор: . Владелец: FUJITSU LIMITED. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR PRODUCING A VISIBLE HYDROGEN FLAME

Номер патента: US20120003593A1. Автор: Conrad Wayne Ernest. Владелец: G.B.D. CORP. Дата публикации: 2012-01-05.

METHODS AND SYSTEMS FOR TREATMENT OF TISSUE IN A BODY LUMEN

Номер патента: US20120004656A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD AND DEVICE FOR MONITORING A PHOTOVOLTAIC UNIT

Номер патента: US20120004870A1. Автор: Ney Jörg-Werner. Владелец: SIEMENS AKTIENGESELLSCHAFT. Дата публикации: 2012-01-05.

METHOD AND SYSTEM FOR ALIGNMENT OF INTEGRATED CIRCUITS

Номер патента: US20120001340A1. Автор: . Владелец: GENERAL ELECTRIC COMPANY. Дата публикации: 2012-01-05.

Method and Apparatus for Providing a Copy-Protected Video Signal

Номер патента: US20120002950A1. Автор: Tan Baolin,Abdin Mazen. Владелец: DCS Copy Protection Limited. Дата публикации: 2012-01-05.

METHODS AND APPARATUS FOR TREATING ANAPHYLAXIS USING ELECTRICAL MODULATION

Номер патента: US20120004701A1. Автор: Errico Joseph P.,Mendez Steven. Владелец: ElectroCore, LLC. Дата публикации: 2012-01-05.

INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM

Номер патента: US20120001733A1. Автор: Kousaka Satoshi,Abeno Takashi. Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

METHODS AND SYSTEMS TO SIMPLIFY POPULATION OF MODULAR COMPONENTS IN AN INFORMATION HANDLING SYSTEM

Номер патента: US20120001763A1. Автор: Billick Stephen,Bassman Robert. Владелец: . Дата публикации: 2012-01-05.

IMAGE PROCESSING APPARATUS, DISPLAY CONTROL METHOD, AND STORAGE MEDIUM

Номер патента: US20120002232A1. Автор: Inui Masanobu. Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

AUDIO PROCESSING DEVICE, AUDIO PROCESSING METHOD, AND PROGRAM

Номер патента: US20120002828A1. Автор: . Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

METHODS AND COMPOSITIONS FOR DOPING SILICON SUBSTRATES WITH MOLECULAR MONOLAYERS

Номер патента: US20120003826A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

POWER SUPPLY FOR ANODIZING, ANODIZING METHOD, AND ANODIZED FILM

Номер патента: US20120000784A1. Автор: . Владелец: KOST CORPORATION. Дата публикации: 2012-01-05.

IMAGE DISPLAY APPARATUS, IMAGE DISPLAY METHOD, AND COMPUTER PROGRAM

Номер патента: US20120002026A1. Автор: . Владелец: OLYMPUS CORPORATION. Дата публикации: 2012-01-05.

METHODS AND SYSTEMS FOR DETERMINING AN ENHANCED RANK ORDER VALUE OF A DATA SET

Номер патента: US20120002876A1. Автор: WILLIAMS DARIN S.. Владелец: Raytheon Company. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR PROGRESSIVELY DELETING MEDIA OBJECTS FROM STORAGE

Номер патента: US20120002951A1. Автор: REISMAN Richard R.. Владелец: . Дата публикации: 2012-01-05.

SPINDLE MOTOR TRAY ADHESIVE DISPENSING METHOD AND SUPPLEMENTARY APPARATUS

Номер патента: US20120000418A1. Автор: Huang David. Владелец: TRICORE CORPORATION. Дата публикации: 2012-01-05.

TUNABLE WHITE COLOR METHODS AND USES THEREOF

Номер патента: US20120001555A1. Автор: Leung Wa-Hing,Tu Qifei. Владелец: . Дата публикации: 2012-01-05.

METHODS AND APPARATUS FOR IMPROVED CEMENT PLUG PLACEMENT

Номер патента: US20120000650A1. Автор: Rondeau Joel,Daccord Gerard,Samuel Mathew,Montgomery Michael,James Simon,Piot Bernard,Rolovic Radovan. Владелец: . Дата публикации: 2012-01-05.

METHOD AND DEVICE FOR CALIBRATING AN ARRAY ANTENNA

Номер патента: US20120001810A1. Автор: Soualle Francis,Wolf Helmut. Владелец: Astrium GmbH. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR TESTING ON-LINE SERVICES

Номер патента: US20120004895A1. Автор: . Владелец: Nokia Corporation. Дата публикации: 2012-01-05.

METHOD AND AN APPARATUS FOR COOLING A COMPUTER

Номер патента: US20120000640A1. Автор: Senyk Borys S.,Moresco Larry L.. Владелец: Intel Corporation. Дата публикации: 2012-01-05.

Modular building structures, methods and systems

Номер патента: AU2024204259A1. Автор: Ryan Baxter,Colin Jacobs,Ben McDonough,Kevin Pickworth. Владелец: CSR Building Products Ltd. Дата публикации: 2024-07-11.

Radiator tube and method and apparatus for forming same

Номер патента: WO1995005571B1. Автор: . Владелец: . Дата публикации: 1995-04-13.

Methods and Systems for Recovering Liquified Petroleum Gas from Natural Gas

Номер патента: US20120000245A1. Автор: . Владелец: Black & Veatch Corporation. Дата публикации: 2012-01-05.

PRINT SHOP MANAGEMENT METHOD AND PROGRAM FOR PRINTING MIXED COLOR AND BLACK AND WHITE DOCUMENTS

Номер патента: US20120002219A1. Автор: . Владелец: KONICA MINOLTA SYSTEMS LABORATORY, INC.. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR CELL SELECTION IN WIRELESS COMMUNICATION SYSTEM

Номер патента: US20120003978A1. Автор: LIM Chi-Woo,Lee Mi-Hyun. Владелец: SAMSUNG ELECTRONICS CO. LTD.. Дата публикации: 2012-01-05.

Method and Device for Determining Aspect Angle Progression

Номер патента: US20120001796A1. Автор: Berens Patrick,Holzner Juergen,Gebhardt Ulrich. Владелец: EADS DEUTSCHLAND GmbH. Дата публикации: 2012-01-05.

Method and device for wave stimulation of oil pool

Номер патента: RU2196888C2. Автор: В.П. Вагин. Владелец: Шипилова Ольга Васильевна. Дата публикации: 2003-01-20.