• Главная
  • Method of Forming Self-Aligned Air-Gaps Using Self-Aligned Capping Layer over Interconnect Lines

Method of Forming Self-Aligned Air-Gaps Using Self-Aligned Capping Layer over Interconnect Lines

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Semiconductor device with self-aligned air gap and method for fabricating the same

Номер патента: US09640426B2. Автор: Jong-Min Lee,Il-Cheol RHO. Владелец: SK hynix Inc. Дата публикации: 2017-05-02.

Methods and apparatuses to form self-aligned caps

Номер патента: US09627321B2. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corp. Дата публикации: 2017-04-18.

Methods and apparatuses to form self-aligned caps

Номер патента: US09373584B2. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corp. Дата публикации: 2016-06-21.

Methods for forming self-aligned interconnect structures

Номер патента: US20240347384A1. Автор: Ru-Gun Liu,Shih-Ming Chang,Hoi-Tou Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-17.

Methods for forming self-aligned interconnect structures

Номер патента: US12020984B2. Автор: Ru-Gun Liu,Shih-Ming Chang,Hoi-Tou Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-25.

Method of Forming Self-Aligned Contacts for a Semiconductor Device

Номер патента: US20130189833A1. Автор: Peter Baars,Andy Wei,Martin Mazur,Erik Geiss. Владелец: Globalfoundries Inc. Дата публикации: 2013-07-25.

Method of forming self-aligned metal lines and vias

Номер патента: US09607893B1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-28.

Methods for forming self-aligned contacts using spin-on silicon carbide

Номер патента: WO2022177828A1. Автор: Lior HULI,Junling Sun,Angelique RALEY,Andrew Metz. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2022-08-25.

Methods for Forming Self-Aligned Contacts Using Spin-on Silicon Carbide

Номер патента: US20220262679A1. Автор: Lior HULI,Junling Sun,Angelique RALEY,Andrew Metz. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-08-18.

Contact having self-aligned air gap spacers

Номер патента: US09768118B1. Автор: Chih-Chao Yang,Juntao Li,Junli Wang. Владелец: International Business Machines Corp. Дата публикации: 2017-09-19.

CONTACT HAVING SELF-ALIGNED AIR GAP SPACERS

Номер патента: US20180082951A1. Автор: Yang Chih-Chao,Wang Junli,Li Juntao. Владелец: . Дата публикации: 2018-03-22.

Semiconductor device with self-aligned air gap and method for fabricating the same

Номер патента: US20140187037A1. Автор: Jong-Min Lee,Il-Cheol RHO. Владелец: SK hynix Inc. Дата публикации: 2014-07-03.

SEMICONDUCTOR DEVICE WITH SELF-ALIGNED AIR GAP AND METHOD FOR FABRICATING THE SAME

Номер патента: US20150132936A1. Автор: LEE Jong-Min,RHO Il-Cheol. Владелец: . Дата публикации: 2015-05-14.

Adjustable self-aligned air gap dielectric for low capacitance wiring

Номер патента: US20050067673A1. Автор: Robert Geffken,William Motsiff. Владелец: International Business Machines Corp. Дата публикации: 2005-03-31.

Adjustable self-aligned air gap dielectric for low capacitance wiring

Номер патента: US7071532B2. Автор: William T. Motsiff,Robert M. Geffken. Владелец: International Business Machines Corp. Дата публикации: 2006-07-04.

Semiconductor device with self-aligned air gap and method for fabricating the same

Номер патента: US8962472B2. Автор: Jong-Min Lee,Il-Cheol RHO. Владелец: SK hynix Inc. Дата публикации: 2015-02-24.

Method for fabricating a capacitor by using self-aligned etaching process

Номер патента: US20020132489A1. Автор: Ching-Yu Chang. Владелец: Macronix International Co Ltd. Дата публикации: 2002-09-19.

Methods of forming self-aligned device level contact structures

Номер патента: US09653356B2. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-16.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09570583B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2017-02-14.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09455330B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2016-09-27.

Method for forming self-aligned contacts/vias with high corner selectivity

Номер патента: US20150170965A1. Автор: PENG Wang,Eric A. Hudson,Ananth INDRAKANTI. Владелец: Lam Research Corp. Дата публикации: 2015-06-18.

Method for forming self-aligned contact window

Номер патента: US6015741A. Автор: Water Lur,Shih-Wei Sun,Tony Lin. Владелец: United Microelectronics Corp. Дата публикации: 2000-01-18.

Method for forming self aligned contacts

Номер патента: US20020098640A1. Автор: Ii-Wook Kim,Jong-Sam Kim,Dong-kuk Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-07-25.

Methods to form self-aligned permanent on-chip interconnect structures

Номер патента: US20120018891A1. Автор: Qinghuang Lin. Владелец: International Business Machines Corp. Дата публикации: 2012-01-26.

Methods of forming self-aligned contacts

Номер патента: US20220189965A1. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2022-06-16.

Methods of forming self-aligned contacts

Номер патента: US20200373309A1. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2020-11-26.

Methods of forming self-aligned contacts comprising reusing hardmask materials and lithography reticles

Номер патента: US11302699B2. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2022-04-12.

Method for manufacturing semiconductor devices using self-aligned process to increase device packing density

Номер патента: US9263548B2. Автор: Tzu-Yin Chiu. Владелец: Individual. Дата публикации: 2016-02-16.

Method for manufacturing semiconductor devices using self-aligned process to increase device packing density

Номер патента: US20080254587A1. Автор: Tzu-Yin Chiu. Владелец: Individual. Дата публикации: 2008-10-16.

Method of manufacturing memory device using self-aligned double patterning (sadp)

Номер патента: US20240234144A9. Автор: Chih-Ching Lin. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-11.

Method of manufacturing memory device using self-aligned double patterning (sadp)

Номер патента: US20240234145A9. Автор: Chih-Ching Lin. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-11.

Method of forming metal interconnection

Номер патента: US09972529B2. Автор: Ming-Han Lee,Shau-Lin Shue,Shin-Yi Yang,Tz-Jun Kuo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-15.

Method of forming metal interconnection

Номер патента: US09613856B1. Автор: Ming-Han Lee,Shau-Lin Shue,Shin-Yi Yang,Tz-Jun Kuo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-04.

Method of forming contact metal

Номер патента: US09711402B1. Автор: Yu-Kai Chen,Chun-Hsien Huang,Wei-Jung Lin,Hong-Mao Lee,Hsien-Lung Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-18.

Method of forming self-aligned via

Номер патента: US10923396B2. Автор: Mihaela Balseanu,Suketu Arun Parikh. Владелец: Applied Materials Inc. Дата публикации: 2021-02-16.

Method Of Forming Self-Aligned Via

Номер патента: US20200144117A1. Автор: Mihaela Balseanu,Suketu Arun Parikh. Владелец: Applied Materials Inc. Дата публикации: 2020-05-07.

Methods Of Forming Self-Aligned Vias And Air Gaps

Номер патента: US20180358260A1. Автор: GOPALRAJA Praburam,Mallick Abhijit Basu,Duan Ziqing,Roy Susmit Singha. Владелец: . Дата публикации: 2018-12-13.

Methods of forming self-aligned vias and air gaps

Номер патента: US10403542B2. Автор: Ziqing Duan,Abhijit Basu Mallick,Susmit Singha Roy,Praburam Gopalraja. Владелец: Applied Materials Inc. Дата публикации: 2019-09-03.

METHODS AND APPARATUSES TO FORM SELF-ALIGNED CAPS

Номер патента: US20220270978A1. Автор: Singh Kanwal Jit,Boyanov Boyan. Владелец: . Дата публикации: 2022-08-25.

METHODS AND APPARATUSES TO FORM SELF-ALIGNED CAPS

Номер патента: US20170207120A1. Автор: Singh Kanwal Jit,Boyanov Boyan. Владелец: . Дата публикации: 2017-07-20.

Methods and apparatuses to form self-aligned caps

Номер патента: US20200321282A1. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corp. Дата публикации: 2020-10-08.

Methods and apparatuses to form self-aligned caps

Номер патента: US20190393157A1. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corp. Дата публикации: 2019-12-26.

Methods and apparatuses to form self-aligned caps

Номер патента: KR101684310B1. Автор: 보얀 보야노브,칸왈 지트 싱흐. Владелец: 인텔 코포레이션. Дата публикации: 2016-12-08.

Methods and apparatuses to form self-aligned caps

Номер патента: KR102151585B1. Автор: 보얀 보야노브,칸왈 지트 싱흐. Владелец: 인텔 코포레이션. Дата публикации: 2020-09-03.

Methods and apparatuses to form self-aligned caps

Номер патента: KR102306796B1. Автор: 보얀 보야노브,칸왈 지트 싱흐. Владелец: 인텔 코포레이션. Дата публикации: 2021-09-30.

Methods and apparatuses to form self-aligned caps

Номер патента: WO2013066356A1. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corporation. Дата публикации: 2013-05-10.

Methods and apparatuses to form self-aligned caps

Номер патента: TWI792018B. Автор: 肯瓦爾 辛格,伯葉 伯葉諾夫. Владелец: 美商英特爾股份有限公司. Дата публикации: 2023-02-11.

Interconnects having sealing structures to enable selective metal capping layers

Номер патента: US09984922B2. Автор: YING Zhou,Jun He,Peter K. Moon,Kevin J. Fischer. Владелец: Intel Corp. Дата публикации: 2018-05-29.

Interconnects having sealing structures to enable selective metal capping layers

Номер патента: US09437545B2. Автор: YING Zhou,Jun He,Peter K. Moon,Kevin J. Fischer. Владелец: Intel Corp. Дата публикации: 2016-09-06.

A method for forming self-aligned contact of semiconductor device

Номер патента: KR100276387B1. Автор: 이재구,조창현,정홍식. Владелец: 윤종용. Дата публикации: 2000-12-15.

Methods for forming self-aligned interconnect structures

Номер патента: US11289376B2. Автор: Ru-Gun Liu,Shih-Ming Chang,Hoi-Tou Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-03-29.

Method for forming self-aligned interconnect structure

Номер патента: CN112309963A. Автор: 张世明,刘如淦,伍海涛. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-02-02.

SELF-FORMING BARRIER INTEGRATED WITH SELF-ALIGNED CAP

Номер патента: US20150228585A1. Автор: He Ming,Zhao Larry. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2015-08-13.

Method of forming semiconductor device

Номер патента: US20190109138A1. Автор: Chieh-Te Chen,Feng-Yi Chang,Fu-Che Lee. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2019-04-11.

Method for forming self-aligned contact and integrated circuit with self-aligned contact

Номер патента: TW201243956A. Автор: Yi-Nan Chen,Hsien-Wen Liu,Jar-Ming Ho. Владелец: Nanya Technology Corp. Дата публикации: 2012-11-01.

Semiconductor device formed with an air gap using etch back of inter layer dielectric (ILD)

Номер патента: US7126223B2. Автор: James Powers,Kevin P. O'brien. Владелец: Intel Corp. Дата публикации: 2006-10-24.

Semiconductor devices and methods of forming same

Номер патента: US09576892B2. Автор: Chih-Chien Chi,Huang-Yi Huang,Szu-Ping Tung,Ching-Hua Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-21.

Method of forming buried wiring in semiconductor device

Номер патента: US20050186795A1. Автор: Kazuhide Abe. Владелец: Individual. Дата публикации: 2005-08-25.

Methods of forming ruthenium conductive structures in a metallization layer

Номер патента: US09589836B1. Автор: Hoon Kim,Xunyuan Zhang. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-07.

Semiconductor structure and method of forming the same

Номер патента: US20240222191A1. Автор: Chu-Chun HSIEH,Ping-Lung Yu,Po-Chun Shao. Владелец: Winbond Electronics Corp. Дата публикации: 2024-07-04.

Via bottom structure and methods of forming

Номер патента: US09716065B2. Автор: Takeshi Nogami,James J. Kelly. Владелец: International Business Machines Corp. Дата публикации: 2017-07-25.

Method of chip packaging

Номер патента: US09761486B2. Автор: Han-Wei Yang,Chen-Chung Lai,Gwo-Chyuan KUO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-12.

Methods of fabricating an F-RAM

Номер патента: US09548348B2. Автор: Krishnaswamy Ramkumar,Shan Sun,Kedar Patel,Thomas Davenport. Владелец: Cypress Semiconductor Corp. Дата публикации: 2017-01-17.

Semiconductor device and method of forming the same

Номер патента: US12033965B2. Автор: Yen-Yu Chen,Chih-Wei Lin,Wen-hao Cheng,Yi-Ming Dai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Methods of forming metal silicides

Номер патента: US09607842B1. Автор: Jacob Huffman Woodruff. Владелец: ASM IP Holding BV. Дата публикации: 2017-03-28.

Methods Of Forming Metal Chalcogenide Pillars

Номер патента: US20220051941A1. Автор: Srinivas Gandikota,Amrita B. Mullick. Владелец: Applied Materials Inc. Дата публикации: 2022-02-17.

Methods of forming metal chalcogenide pillars

Номер патента: WO2019173447A1. Автор: Srinivas Gandikota,Amrita B. Mullick. Владелец: Applied Materials, Inc.. Дата публикации: 2019-09-12.

Method of fabricating a self-aligned contact using organic dielectric materials

Номер патента: US5482894A. Автор: Robert H. Havemann. Владелец: Texas Instruments Inc. Дата публикации: 1996-01-09.

Method of forming self-aligned contacts and local interconnects

Номер патента: US20090280633A1. Автор: An Chyi Wei. Владелец: Macronix International Co Ltd. Дата публикации: 2009-11-12.

Interconnect structure and method of making same

Номер патента: US20090152723A1. Автор: Shom Ponoth,Terry A. Spooner,Ya Ou. Владелец: International Business Machines Corp. Дата публикации: 2009-06-18.

Method for forming self-aligned contacts and local interconnects simultaneously

Номер патента: US20070235798A1. Автор: Kuang-Chao Chen,Tuung Luoh,Ling-Wuu Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2007-10-11.

Self-registering method of fabricating field effect transistors

Номер патента: CA1078077A. Автор: Robert H. Dennard,Vincent L. Rideout. Владелец: International Business Machines Corp. Дата публикации: 1980-05-20.

Forming self-aligned vias and air-gaps in semiconductor fabrication

Номер патента: US20210202313A1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: Tessera LLC. Дата публикации: 2021-07-01.

Forming self-aligned vias and air-gaps in semiconductor fabrication

Номер патента: US10242911B2. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: International Business Machines Corp. Дата публикации: 2019-03-26.

Forming self-aligned conductive lines for resistive random access memories

Номер патента: US09705080B2. Автор: Fabio Pellizzer,Innocenzo Tortorelli,Pietro Petruzza. Владелец: Micron Technology Inc. Дата публикации: 2017-07-11.

Method of manufacturing semiconductor device

Номер патента: US20080102575A1. Автор: Soo Jin Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-05-01.

METHODS OF FORMING SELF-ALIGNED DEVICE LEVEL CONTACT STRUCTURES

Номер патента: US20170047253A1. Автор: Kim Hoon,Xie Ruilong,Park Chanro,Sung Min Gyu. Владелец: . Дата публикации: 2017-02-16.

Method Of Forming Self-Aligned Via

Номер патента: US20210166973A1. Автор: Parikh Suketu Arun,Balseanu Mihaela A.. Владелец: Applied Materials, Inc.. Дата публикации: 2021-06-03.

Method Of Forming Self-Aligned Via

Номер патента: US20200144117A1. Автор: Balseanu Mihaela,Parikh Suketu Arun. Владелец: . Дата публикации: 2020-05-07.

Method Of Forming Self-Aligned Via

Номер патента: US20200219768A1. Автор: Balseanu Mihaela,Parikh Suketu Arun. Владелец: . Дата публикации: 2020-07-09.

Method of Forming Self-Aligned Contacts Using a Replacement Metal Gate Process in a Semiconductor Device

Номер патента: US20150263131A1. Автор: Metz Andrew. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2015-09-17.

Methods of forming self-aligned contacts

Номер патента: US20200373309A1. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2020-11-26.

Methods of forming self-aligned vias and air gaps

Номер патента: US10840186B2. Автор: Ziqing Duan,Abhijit Basu Mallick,Susmit Singha Roy,Praburam Gopalraja. Владелец: Applied Materials Inc. Дата публикации: 2020-11-17.

Method of forming self-aligned contact in semiconductor device

Номер патента: KR0164497B1. Автор: 최창원. Владелец: 김광호. Дата публикации: 1999-02-01.

Method of forming self-aligned contact structure with locally etched gate conductive layer

Номер патента: US6855610B2. Автор: Ming-Sheng Tung,Yueh-Chuan Lee. Владелец: Promos Technologies Inc. Дата публикации: 2005-02-15.

A method of forming self-aligned contacts portion

Номер патента: CN106531684B. Автор: 赵超,朱慧珑,殷华湘,赵治国. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2019-07-16.

Method of forming self-aligned contacts for a semiconductor device

Номер патента: US8927407B2. Автор: Peter Baars,Andy Wei,Martin Mazur,Erik Geiss. Владелец: Globalfoundries Inc. Дата публикации: 2015-01-06.

Method of forming self-aligned via structure

Номер патента: US6372641B1. Автор: Chuen-Der Lien. Владелец: Integrated Device Technology Inc. Дата публикации: 2002-04-16.

Method of forming self-aligned contacts and local interconnects

Номер патента: US20070004187A1. Автор: An Chyi Wei. Владелец: Macronix International Co Ltd. Дата публикации: 2007-01-04.

Method of forming self-aligned contacts in a semi-conductor process

Номер патента: GB2269938B. Автор: James A Matthews. Владелец: Microunity Systems Engineering Inc. Дата публикации: 1994-09-07.

METHODS AND APPARATUSES TO FORM SELF-ALIGNED CAPS

Номер патента: US20130256899A1. Автор: Singh Kanwal Jit,Boyanov Boyan. Владелец: . Дата публикации: 2013-10-03.

Process of forming contact holes

Номер патента: US5500080A. Автор: Yang K. Choi. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1996-03-19.

Forming self-aligned vias and air-gaps in semiconductor fabrication

Номер патента: US20220406658A1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: Tessera LLC. Дата публикации: 2022-12-22.

TRANSISTOR WITH REDUCED GATE RESISTANCE AND IMPROVED PROCESS MARGIN OF FORMING SELF-ALIGNED CONTACT

Номер патента: US20220051939A1. Автор: YANG SHENG-HUI. Владелец: . Дата публикации: 2022-02-17.

Method of making CMOS device and contacts therein by enhanced oxidation of selectively implanted regions

Номер патента: US4470852A. Автор: Daniel L. Ellsworth. Владелец: NCR Corp. Дата публикации: 1984-09-11.

Transistor with reduced gate resistance and improved process margin of forming self-aligned contact

Номер патента: US20220051939A1. Автор: Sheng-hui Yang. Владелец: Nanya Technology Corp. Дата публикации: 2022-02-17.

Methods to form self-aligned permanent on-chip interconnect structures

Номер патента: US20130001801A1. Автор: Qinghuang Lin. Владелец: International Business Machines Corp. Дата публикации: 2013-01-03.

A method to form self-aligned anti-via interconnects

Номер патента: SG118126A1. Автор: Gupta Subhash,Hong Sangki,Kwok Keung Ho Paul. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2006-01-27.

Method for forming self-aligning local interconnects in integrated circuits

Номер патента: EP2592649B1. Автор: Michael C Smayling,Scott T Becker. Владелец: Tela Innovations Inc. Дата публикации: 2015-04-29.

Forming self-aligned vias and air-gaps in semiconductor fabrication

Номер патента: US09911652B1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: International Business Machines Corp. Дата публикации: 2018-03-06.

Forming self-aligned dual patterning mandrel and non-mandrel interconnects

Номер патента: US09659818B1. Автор: Carl Radens,Lawrence A. Clevenger,John Zhang. Владелец: International Business Machines Corp. Дата публикации: 2017-05-23.

Forming self-aligned NiSi placement with improved performance and yield

Номер патента: US09607989B2. Автор: XIN Wang,LUN Zhao,Xusheng Wu,Yong Meng Lee,Yue Hu,Wen-Pin Peng,Wei-Hua TONG. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-28.

Forming Self-Aligned Contact with Spacer First

Номер патента: US20190027580A1. Автор: Xie Ruilong,Fan Su Chen,Pranatharthiharan Balasubramanian,Greene Andrew M.,Lian Sean,Raymond Mark V.. Владелец: . Дата публикации: 2019-01-24.

Methods for Forming Self-Aligned Interconnect Structures

Номер патента: US20210035862A1. Автор: Liu Ru-Gun,Chang Shih-Ming,Ng Hoi-Tou. Владелец: . Дата публикации: 2021-02-04.

METHOD FOR FORMING SELF-ALIGNED CONTACTS/VIAS WITH HIGH CORNER SELECTIVITY

Номер патента: US20150170965A1. Автор: WANG Peng,Hudson Eric A.,INDRAKANTI Ananth. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2015-06-18.

FORMING SELF-ALIGNED VIAS AND AIR-GAPS IN SEMICONDUCTOR FABRICATION

Номер патента: US20210202313A1. Автор: Clevenger Lawrence A.,Radens Carl J.,Zhang John H.. Владелец: . Дата публикации: 2021-07-01.

Process Integration Techniques Using A Carbon Layer To Form Self-Aligned Structures

Номер патента: US20180308753A1. Автор: Mosden Aelan,KUMAR KAUSHIK. Владелец: . Дата публикации: 2018-10-25.

METHOD FOR FORMING SELF-ALIGNED CONTACTS/VIAS WITH HIGH CORNER SELECTIVITY

Номер патента: US20150325479A1. Автор: WANG Peng,Hudson Eric A.,INDRAKANTI Ananth. Владелец: . Дата публикации: 2015-11-12.

FORMING SELF-ALIGNED MULTI-METAL INTERCONNECTS

Номер патента: US20200357748A1. Автор: De Silva Ekmini Anuja,Dutta Ashim. Владелец: . Дата публикации: 2020-11-12.

Method for forming self-aligned contact of semiconductor device

Номер патента: KR101046717B1. Автор: 이성권,정태우. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-07-05.

Method for forming self aligned vias in multi level metal integrated circuit

Номер патента: KR100272499B1. Автор: 바실리 킷치. Владелец: 클라크 3세 존 엠.. Дата публикации: 2000-12-01.

Method for forming self aligned contact in semiconductor device with buried gate

Номер патента: KR101075526B1. Автор: 신종한,박점용. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-10-20.

Method for forming self-aligned contact hole in semiconductor device

Номер патента: KR100441998B1. Автор: 서준,송종희. Владелец: 삼성전자주식회사. Дата публикации: 2004-07-30.

Method for forming self aligned contact hole in semiconductor

Номер патента: KR100839527B1. Автор: 전재영. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-06-19.

Method for forming self-aligned contact of semiconductor device

Номер патента: KR100268443B1. Автор: 조창현,김기남,정태영. Владелец: 윤종용. Дата публикации: 2000-10-16.

Method for forming self alignment contact

Номер патента: CN1244727A. Автор: 林炳俊. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-02-16.

method of of forming interconnection lines in a semiconductor memory device

Номер патента: KR100558493B1. Автор: 나영섭. Владелец: 삼성전자주식회사. Дата публикации: 2006-03-07.

Method for forming self-aligned contacts in semiconductor devices

Номер патента: KR970018058A. Автор: 최창원. Владелец: 김광호. Дата публикации: 1997-04-30.

Method for forming self align contact of semiconductor device

Номер патента: KR101073130B1. Автор: 이민석,이성권. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-10-12.

Method for forming self-aligning contact structure in semiconductor IC device

Номер патента: CN1319886A. Автор: 金允基,朴东建,朴钟佑. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2001-10-31.

Forming self-aligned multi-metal interconnects

Номер патента: US11355442B2. Автор: Ekmini Anuja De Silva,Ashim Dutta. Владелец: International Business Machines Corp. Дата публикации: 2022-06-07.

Enlarged sacrificial gate caps for forming self-aligned contacts

Номер патента: US10008385B1. Автор: Haiting Wang,Chih-Chiang Chang,Ashish Kumar JHA,Mitchell Rutkowski. Владелец: Globalfoundries Inc. Дата публикации: 2018-06-26.

Method for forming self align contact hole of semiconductor device

Номер патента: KR100745058B1. Автор: 조영재. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-08-01.

Method for forming self-aligned contacts using a hard mask

Номер патента: US6265296B1. Автор: Erik S. Jeng,Hung-Yi Luo,Hao-Chieh Liu,Tzu-Shih Yen. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2001-07-24.

Method for forming self-aligned contact of semiconductor device

Номер патента: JP5084074B2. Автор: 眞 雄 金. Владелец: SK hynix Inc. Дата публикации: 2012-11-28.

Forming self-aligned multi-metal interconnects

Номер патента: US11923311B2. Автор: Ekmini Anuja De Silva,Ashim Dutta. Владелец: International Business Machines Corp. Дата публикации: 2024-03-05.

Forming self-aligned contacts

Номер патента: GB202001682D0. Автор: . Владелец: International Business Machines Corp. Дата публикации: 2020-03-25.

Method of manufacturing a low k dielectric film and manufacturing air-gap using the low k dielectric film

Номер патента: KR101015534B1. Автор: 양재영. Владелец: 주식회사 동부하이텍. Дата публикации: 2011-02-16.

Method of manufacturing semiconductor device using self-aligned contact process

Номер патента: KR100647481B1. Автор: 이성호,김영희,남신우,안창문. Владелец: 삼성전자주식회사. Дата публикации: 2006-11-17.

CMOS COMPATIBLE FUSE OR RESISTOR USING SELF-ALIGNED CONTACTS

Номер патента: US20170062413A1. Автор: Cheng Kangguo,Basker Veeraraghavan S.,Wang Junli,STANDAERT Theodorus E.. Владелец: . Дата публикации: 2017-03-02.

Metal Routing with Flexible Space Formed Using Self-Aligned Spacer Patterning

Номер патента: US20190103305A1. Автор: WU Chia-Tien,Liu Hsiang-Wei,CHU WEI-CHEN. Владелец: . Дата публикации: 2019-04-04.

Metal Routing with Flexible Space Formed Using Self-Aligned Spacer Patterning

Номер патента: US20200144104A1. Автор: WU Chia-Tien,Liu Hsiang-Wei,CHU WEI-CHEN. Владелец: . Дата публикации: 2020-05-07.

CMOS COMPATIBLE FUSE OR RESISTOR USING SELF-ALIGNED CONTACTS

Номер патента: US20170170169A1. Автор: Cheng Kangguo,Basker Veeraraghavan S.,Wang Junli,STANDAERT Theodorus E.. Владелец: . Дата публикации: 2017-06-15.

CMOS COMPATIBLE FUSE OR RESISTOR USING SELF-ALIGNED CONTACTS

Номер патента: US20180286856A1. Автор: Cheng Kangguo,Basker Veeraraghavan S.,Wang Junli,STANDAERT Theodorus E.. Владелец: . Дата публикации: 2018-10-04.

CMOS COMPATIBLE FUSE OR RESISTOR USING SELF-ALIGNED CONTACTS

Номер патента: US20170330875A1. Автор: Cheng Kangguo,Basker Veeraraghavan S.,Wang Junli,STANDAERT Theodorus E.. Владелец: . Дата публикации: 2017-11-16.

Dual Pad Cell Semiconductor Device Using Self Align and Manufacturing Method Thereof

Номер патента: KR970003472A. Автор: 조상연,박재관. Владелец: 김광호. Дата публикации: 1997-01-28.

Method for forming self-aligned isolation trenches in semiconductor substrate and semiconductor device

Номер патента: US20150171162A1. Автор: Tzung-Han Lee,Yaw-Wen Hu. Владелец: Inotera Memories Inc. Дата публикации: 2015-06-18.

Method of forming bit line contact via

Номер патента: US20040198008A1. Автор: Yi-Nan Chen,Tzu-Ching Tsai,Hui-Min Mao. Владелец: Nanya Technology Corp. Дата публикации: 2004-10-07.

Method of forming a contact

Номер патента: US09627258B1. Автор: Yu-Lien Huang,Yuan-Hung Chiu,Li-Te Lin,Han-Yu Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-18.

FinFET Device and Method of Forming

Номер патента: US20200135476A1. Автор: Shih-Chieh Chang,Yi-Min Huang,Huai-Tei Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-30.

Method of forming trenches

Номер патента: US12033891B2. Автор: Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Method of forming trenches

Номер патента: US09728501B2. Автор: Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-08-08.

Semiconductor structure and method of forming semiconductor structure

Номер патента: US20240266289A1. Автор: JISONG Jin. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2024-08-08.

Semiconductor devices including a capping layer

Номер патента: US09953924B2. Автор: Nae-in Lee,Jongmin Baek,Sanghoon Ahn,Sangho Rha,Wookyung You. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-04-24.

Method of concurrently forming source/drain and gate contacts and related device

Номер патента: US09837402B1. Автор: CHENG Chi,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2017-12-05.

Method of forming interconnect structures by self-aligned approach

Номер патента: US09824922B1. Автор: Chih-hao Chen,Ta-Ching Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-21.

Semiconductor devices including a capping layer

Номер патента: US09711453B2. Автор: Nae-in Lee,Jongmin Baek,Sanghoon Ahn,Sangho Rha,Wookyung You. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-07-18.

Method of forming interconnect structures by self-aligned approach

Номер патента: US09659821B1. Автор: Chih-hao Chen,Ta-Ching Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-23.

Methods of forming printable integrated circuit devices and devices formed thereby

Номер патента: US09443883B2. Автор: Joseph Carr,Etienne Menard,Matthew Meitl,Christopher Bower. Владелец: Semprius Inc. Дата публикации: 2016-09-13.

Epitaxial Layers In Source/Drain Contacts And Methods Of Forming The Same

Номер патента: US20220359310A1. Автор: Ding-Kang SHIH,Pang-Yen Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-10.

Epitaxial Layers in Source/Drain Contacts and Methods of Forming the Same

Номер патента: US20200006159A1. Автор: Ding-Kang SHIH,Pang-Yen Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-02.

Methods of forming a conductive line

Номер патента: US20030071356A1. Автор: Jigish Trivedi. Владелец: Individual. Дата публикации: 2003-04-17.

FinFET device and method of forming

Номер патента: US09837539B1. Автор: Yi-Wei Chiu,Xi-Zong Chen,Cha-Hsin Chao,Te-Chih Hsiung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-12-05.

Method of forming semiconductor device

Номер патента: US11923240B2. Автор: Cheng-Lung Hung,Hsin-Yi Lee,Da-Yuan Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-05.

Semiconductor structure and method of forming the same

Номер патента: US20240282700A1. Автор: Li Han Lin. Владелец: Nanya Technology Corp. Дата публикации: 2024-08-22.

Method of forming trenches with different depths

Номер патента: US09779984B1. Автор: Mei-Yun Wang,Chao-Hsun Wang,Hsien-Cheng WANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-03.

Methods of forming air gaps in metallization layers on integrated circuit products

Номер патента: US09768058B2. Автор: Christian Witt,Qiang Fang,Zhiguo Sun. Владелец: Globalfoundries Inc. Дата публикации: 2017-09-19.

Semiconductor structure and method of forming the same

Номер патента: US09515021B1. Автор: Hung-Lung Hu,Yu-Chih Chen,Chia-Ching Tsai,Szu-Hung Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-12-06.

Semiconductor device and method of manufacture

Номер патента: US12080553B2. Автор: Chung-Ting Ko,Chi On Chui,Sung-En Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-03.

Method of forming junction of semiconductor device

Номер патента: US20090111233A1. Автор: Dong Ho Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-04-30.

Method of forming a self-aligned contact pad for use in a semiconductor device

Номер патента: US20020155687A1. Автор: Dae-hyuk Chung,In-seak Hwang,Han-Joo Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-10-24.

Semiconductor device and method of manufacture

Номер патента: US20240363349A1. Автор: Chung-Ting Ko,Chi On Chui,Sung-En Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Interconnect structure and method of forming same

Номер патента: US09583429B2. Автор: Su-Jeng Sung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-28.

Methods of forming memory devices

Номер патента: US12022752B2. Автор: Chao-Ching Cheng,Tzu-Chiang CHEN,Hung-Li Chiang,Jer-Fu Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-25.

Method of forming a metal interconnection line in a semiconductor device using an FSG layer

Номер патента: US7413972B2. Автор: Hee-Dae Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2008-08-19.

Method of forming a metal interconnection line in a semiconductor device using an FSG layer

Номер патента: US20060134900A1. Автор: Hee-Dae Kim. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2006-06-22.

Package structures and methods of forming the same

Номер патента: US20230307338A1. Автор: Shin-puu Jeng,Chia-Hsiang Lin,Chien-Tung Yu,Chi-Pu Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-28.

Method of forming a passivation layer of a semiconductor device

Номер патента: US20070161254A1. Автор: Tae Young Lee. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-12.

Interconnect structure and methods of forming the same

Номер патента: US20240282629A1. Автор: Chih-Yuan Ting,I-Chang Lee,Yu-An Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-22.

Protective capping layer for area selective deposition

Номер патента: WO2024206261A1. Автор: Andrea Leoncini. Владелец: Applied Materials, Inc.. Дата публикации: 2024-10-03.

Protective capping layer for area selective deposition

Номер патента: US20240332072A1. Автор: Andrea Leoncini. Владелец: Applied Materials Inc. Дата публикации: 2024-10-03.

Method of forming contact structures

Номер патента: US20230299154A1. Автор: Fu-Hsiang Su,Yi Hsien Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-21.

Methods of Forming Interconnect Structures in Semiconductor Fabrication

Номер патента: US20210375756A1. Автор: Ming-Han Lee,Shau-Lin Shue. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-12-02.

Via structure and methods of forming the same

Номер патента: US12010933B2. Автор: Feng-Jia Shiu,Chern-Yow Hsu,Wei-Chieh Huang,Jieh-Jang Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-11.

Method of forming a gate contact structure for a semiconductor device

Номер патента: US09853110B2. Автор: Xunyuan Zhang,Ruilong Xie,Sean X. Lin. Владелец: Globalfoundries Inc. Дата публикации: 2017-12-26.

Method of forming ultra-thin nanowires

Номер патента: US09698238B2. Автор: Martin Christopher Holland,Blandine Duriez. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-04.

Method of Forming a Contact

Номер патента: US20170365691A1. Автор: Yu-Lien Huang,Yuan-Hung Chiu,Li-Te Lin,Han-Yu Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-12-21.

Methods of forming a masking pattern for integrated circuits

Номер патента: EP2353172A2. Автор: Anton Devilliers. Владелец: Micron Technology Inc. Дата публикации: 2011-08-10.

Method of forming a gate structure

Номер патента: US12027425B2. Автор: Shih-Hao Lin,Jui-Lin Chen,Hsin-Wen Su,Kian-Long Lim,Bwo-Ning Chen,Chih-Hsuan CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-02.

Method of producing semiconductor element

Номер патента: US7998876B2. Автор: Toshiyuki Orita. Владелец: Oki Semiconductor Co Ltd. Дата публикации: 2011-08-16.

Method of producing semiconductor element

Номер патента: US20100248483A1. Автор: Toshiyuki Orita. Владелец: Oki Semiconductor Co Ltd. Дата публикации: 2010-09-30.

Method of Forming Semiconductor Device Including Tungsten Layer

Номер патента: US20180083010A1. Автор: Kenichi Kusumoto,Yasutaka Iuchi. Владелец: Micron Technology Inc. Дата публикации: 2018-03-22.

Contact plug without seam hole and methods of forming the same

Номер патента: US09966309B2. Автор: Jyu-Horng Shieh,Chih-Yuan Ting. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-08.

Method of forming a nanowire

Номер патента: US09564317B1. Автор: Yee-Chia Yeo,Martin Christopher Holland,Blandine Duriez. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-07.

Contact plug without seam hole and methods of forming the same

Номер патента: US09472448B2. Автор: Jyu-Horng Shieh,Chih-Yuan Ting. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-18.

Method of manufacturing electronic device

Номер патента: US20040157424A1. Автор: Shoichi Fukui,Yoshihiro Kusumi,Takeru Matsuoka. Владелец: Renesas Technology Corp. Дата публикации: 2004-08-12.

Method of forming nitride capped cu lines with reduced electromigration along the cu/nitride interface

Номер патента: WO2003007368A3. Автор: Minh Van Ngo,Larry Zhao,Paul R Besser. Владелец: Paul R Besser. Дата публикации: 2003-03-27.

Method for forming self-alignment insulation structure

Номер патента: US20090283873A1. Автор: Hon-Chun Wang. Владелец: Individual. Дата публикации: 2009-11-19.

METHOD FOR FORMING SELF-ALIGNED ISOLATION TRENCHES IN SEMICONDUCTOR SUBSTRATE AND SEMICONDUCTOR DEVICE

Номер патента: US20150171162A1. Автор: LEE TZUNG-HAN,Hu Yaw-Wen. Владелец: INOTERA MEMORIES, INC.. Дата публикации: 2015-06-18.

Process for forming self-aligned dielectric isolation

Номер патента: EP0062170A2. Автор: Klaus Dietrich Beyer,Joseph Skinner Logan. Владелец: International Business Machines Corp. Дата публикации: 1982-10-13.

Method for forming self-aligned trench

Номер патента: CN113488430A. Автор: 杨军. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2021-10-08.

Process for forming self-aligned dielectric isolation

Номер патента: EP0062170B1. Автор: Klaus Dietrich Beyer,Joseph Skinner Logan. Владелец: International Business Machines Corp. Дата публикации: 1988-12-07.

Apparatus and method for forming self-aligned trench isolation

Номер патента: WO1988004106A1. Автор: Bing Yeh,Daniel Charles Guterman. Владелец: Xicor, Inc.. Дата публикации: 1988-06-02.

Capping Layers in Metal Gates of Transistors

Номер патента: US20220208984A1. Автор: Yi-Ting Wang,Hsien-Ming Lee,Tsung-ta Tang,Chung Ta Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-06-30.

Method of manufacturing isolation structure for semiconductor device

Номер патента: US10256136B2. Автор: Eun-Jeong Kim,Han-Sang Song,Su-ho Kim,Jin-Yul Lee. Владелец: SK hynix Inc. Дата публикации: 2019-04-09.

Semiconductor-on-oxide structure and method of forming

Номер патента: US20140191359A1. Автор: Kirk D. Peterson,John E. Barth, Jr.,Herbert L. Ho,Babar A. Khan. Владелец: International Business Machines Corp. Дата публикации: 2014-07-10.

Method of integration of ono stack formation into thick gate oxide cmos flow

Номер патента: WO2018063459A1. Автор: Krishnaswamy Ramkumar. Владелец: CYPRESS SEMICONDUCTOR CORPORATION. Дата публикации: 2018-04-05.

Method of forming shallow trench isolation (STI) structures

Номер патента: US09627246B2. Автор: Justin Hiroki Sato,Gregory Allen Stom. Владелец: Microchip Technology Inc. Дата публикации: 2017-04-18.

Method of forming silicon-on-insulator wafer having reentrant shape dielectric trenches

Номер патента: US20070249144A1. Автор: Kangguo Cheng,Ramachandra Divakaruni. Владелец: Individual. Дата публикации: 2007-10-25.

Hybrid material electrically programmable fuse and methods of forming

Номер патента: US20190067191A1. Автор: Chun Yu Wong,Jagar Singh. Владелец: Globalfoundries Inc. Дата публикации: 2019-02-28.

Method of filling shallow trenches

Номер патента: US8685830B2. Автор: HAO LI,FAN CHEN,Kai Xue,Jia Pan,Yongcheng Wang,Xiongbin Chen,Keran Zhou. Владелец: Shanghai Hua Hong NEC Electronics Co Ltd. Дата публикации: 2014-04-01.

Method of forming isolation structures in a semiconductor manufacturing process

Номер патента: US20070178662A1. Автор: CHEN Liao,Chun Chen,Chi Huang,Yung Hung. Владелец: Macronix International Co Ltd. Дата публикации: 2007-08-02.

Method of forming FinFET channel

Номер патента: US09711535B2. Автор: Chih-Hao Wang,Jhon Jhy Liaw,Wai-Yi Lien,Kuo-Cheng Ching,Ching-Wei Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-18.

Method of forming self-aligned silicides

Номер патента: US20060121708A1. Автор: Steven Huang,Yei-Hsiung Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2006-06-08.

Method of forming self-aligned silicide layers on semiconductor devices

Номер патента: US20020182860A1. Автор: Jerry Lin,Cheng-Kuo Yuan,Chi-Wei Chou. Владелец: SILICON INTEGRATED SYSTEMS CORP. Дата публикации: 2002-12-05.

Methods of forming self aligned transistor structure having polycrystalline contacts

Номер патента: US3847687A. Автор: U Davidsohn,A Ajamie. Владелец: Motorola Inc. Дата публикации: 1974-11-12.

Semiconductor package and method of manufacturing the same

Номер патента: US20160329247A1. Автор: Tsung-Ding Wang,Bo-I Lee,Jung Wei Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-10.

Semiconductor devices and methods of forming thereof

Номер патента: US09484316B2. Автор: Thomas Fischer,Alexander Heinrich,Evelyn Napetschnig,Ulrike Fastner. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-11-01.

Method of making threshold voltage tuning using self-aligned contact cap

Номер патента: US09601387B2. Автор: Hoon Kim,Chanro Park,Xiuyu Harry CAI. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-21.

Reduced electric field dmos using self-aligned trench isolation

Номер патента: US20080135933A1. Автор: Michael Graf,Gayle W. Miller,Volker Dudek. Владелец: Atmel Corp. Дата публикации: 2008-06-12.

Threshold voltage tuning using self-aligned contact cap

Номер патента: US20150194350A1. Автор: Hoon Kim,Chanro Park,Xiuyu Harry CAI. Владелец: Globalfoundries Inc. Дата публикации: 2015-07-09.

Method of manufacturing memory device using self-aligned double patterning (sadp)

Номер патента: US20240136185A1. Автор: Chih-Ching Lin. Владелец: Nanya Technology Corp. Дата публикации: 2024-04-25.

Method of manufacturing memory device using self-aligned double patterning (sadp)

Номер патента: US20240136186A1. Автор: Chih-Ching Lin. Владелец: Nanya Technology Corp. Дата публикации: 2024-04-25.

Reduced electric field dmos using self-aligned trench isolation

Номер патента: WO2007018896A3. Автор: Michael Graf,Volker Dudek,Gayle W Miller Jr. Владелец: Gayle W Miller Jr. Дата публикации: 2008-06-19.

Reduced electric field dmos using self-aligned trench isolation

Номер патента: WO2007018896A2. Автор: Michael Graf,Volker Dudek,Gayle W. Miller, JR.. Владелец: ATMEL CORPORATION. Дата публикации: 2007-02-15.

Reduced electric field dmos using self-aligned trench isolation

Номер патента: EP1911095A2. Автор: Michael Graf,Volker Dudek,Gayle W. Miller, JR.. Владелец: Atmel Corp. Дата публикации: 2008-04-16.

Methods of forming self aligned spacers for nanowire device structures

Номер патента: EP3394898A1. Автор: Anand Murthy,Karthik Jambunathan,Seiyon Kim,Jun Sung Kang,Glenn Glass. Владелец: Intel Corp. Дата публикации: 2018-10-31.

Solar cell emitter region fabrication using self-aligned implant and cap

Номер патента: US09577134B2. Автор: Timothy Weidman. Владелец: SunPower Corp. Дата публикации: 2017-02-21.

Self-aligned air gap spacer for nanosheet CMOS devices

Номер патента: US09954058B1. Автор: Shogo Mochizuki,Alexander Reznicek,Junli Wang,Joshua M. Rubin. Владелец: International Business Machines Corp. Дата публикации: 2018-04-24.

SELF-ALIGNED AIR GAP SPACER FOR NANOSHEET CMOS DEVICES

Номер патента: US20180358435A1. Автор: Reznicek Alexander,Wang Junli,Mochizuki Shogo,Rubin Joshua M.. Владелец: . Дата публикации: 2018-12-13.

Method of forming self aligned grids in BSI image sensor

Номер патента: US11776985B2. Автор: Jiech-Fun Lu,Shih-Pei Chou,Tsun-Kai Tsao,Wei Chuang Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-03.

Methods of forming self-aligned contacts on FinFET devices

Номер патента: US09627274B1. Автор: Huang Liu,Xintuo Dai,Jinping Liu,Haifeng Sheng. Владелец: Globalfoundries Inc. Дата публикации: 2017-04-18.

Semiconductor package and method of forming the same

Номер патента: US12021051B2. Автор: Hsien-Wei Chen,An-Jhih Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-25.

Manufacturing method of semiconductor device

Номер патента: US20010053579A1. Автор: TAKESHI Toda,Yoshiro Goto. Владелец: NEC Corp. Дата публикации: 2001-12-20.

Method of forming self-aligned silicides

Номер патента: TWI310211B. Автор: Steven Huang,Yeihsiung Lin. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2009-05-21.

Method for forming self-aligned double pattern and semiconductor structures

Номер патента: US12100593B2. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Method of making semiconductor devices having an implant damage protection film on the gate electrode sidewalls

Номер патента: US5145797A. Автор: Shoji Nakanishi. Владелец: Seiko Instruments Inc. Дата публикации: 1992-09-08.

Method for forming self-aligned, dual silicon nitride liner for cmos devices

Номер патента: WO2006093730A1. Автор: Haining Yang,Thomas W. Dyer. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2006-09-08.

Method for forming self-aligned, dual silicon nitride liner for cmos devices

Номер патента: EP1856726A1. Автор: Haining Yang,Thomas W. Dyer. Владелец: International Business Machines Corp. Дата публикации: 2007-11-21.

Method for forming self-aligned double pattern, and semiconductor structure

Номер патента: EP4181172A1. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-05-17.

Method and structure of forming self-aligned RMG gate for VFET

Номер патента: US09780208B1. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2017-10-03.

Methods Of Forming Self-Aligned Vias

Номер патента: US20190013202A1. Автор: Thompson David,Anthis Jeffrey W.,Mallick Abhijit Basu,Schmiege Benjamin,Duan Ziqing,Roy Susmit Singha. Владелец: . Дата публикации: 2019-01-10.

Methods Of Forming Self-Aligned Vias

Номер патента: US20180096847A1. Автор: Thompson David,Anthis Jeffrey W.,Mallick Abhijit Basu,Schmiege Benjamin,Duan Ziqing,Roy Susmit Singha. Владелец: . Дата публикации: 2018-04-05.

STRUCTURE AND METHOD OF FORMING SELF ALIGNED CONTACTS IN SEMICONDUCTOR DEVICE

Номер патента: US20190181047A1. Автор: Sung Min Gyu. Владелец: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.. Дата публикации: 2019-06-13.

Methods Of Forming Self-Aligned Vias And Air Gaps

Номер патента: US20190348368A1. Автор: GOPALRAJA Praburam,Mallick Abhijit Basu,Duan Ziqing,Roy Susmit Singha. Владелец: . Дата публикации: 2019-11-14.

Method of forming self aligned double pattern

Номер патента: KR100714305B1. Автор: 홍창기,윤보언,최재광,권병호,박준상,윤세라. Владелец: 삼성전자주식회사. Дата публикации: 2007-05-02.

Method of forming self-aligned thin film transistor

Номер патента: CA2228037A1. Автор: James F. Farrell. Владелец: Individual. Дата публикации: 1997-02-13.

Method of forming self align silicide in semiconductor device

Номер патента: KR100953489B1. Автор: 강양범. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2010-04-19.

Method of forming self-aligned contact of semiconductor device

Номер патента: KR100245136B1. Автор: 김정호,김승준,이동덕,김일욱. Владелец: 현대전자산업주식회사. Дата публикации: 2000-03-02.

Method of forming self-aligned silicides

Номер патента: TW200620405A. Автор: Steven Huang,Yei-Hsiung Lin. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2006-06-16.

USING SELECTIVELY FORMED CAP LAYERS TO FORM SELF-ALIGNED CONTACTS TO SOURCE/DRAIN REGIONS

Номер патента: US20210280690A1. Автор: Cheng Kangguo,Xie Ruilong,Park Chanro,Lee Choonghyun. Владелец: . Дата публикации: 2021-09-09.

Method for forming self-aligned silicide layers on sub-quarter micron VLSI circuits

Номер патента: US6100191A. Автор: Jiun-Yuan Wu,Water Lur,Tony Lin,Hsiao-Lin Lu. Владелец: United Microelectronics Corp. Дата публикации: 2000-08-08.

Method to form self-aligned high density nanocrystals

Номер патента: US20160071943A1. Автор: Sung-taeg Kang,Euhngi Lee. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2016-03-10.

Method and structure of forming self-aligned rmg gate for vfet

Номер патента: US20180019337A1. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2018-01-18.

Method for forming self-aligned, dual silicon nitride liner for CMOS devices

Номер патента: TW200710993A. Автор: Thomas W Dyer,hai-ning Yang. Владелец: Ibm. Дата публикации: 2007-03-16.

Method for forming self-aligned wells to support tight spacing

Номер патента: US20090042377A1. Автор: Seetharaman Sridhar. Владелец: Texas Instruments Inc. Дата публикации: 2009-02-12.

Method for forming self-aligned wells to support tight spacing

Номер патента: WO2009021187A3. Автор: Seetharaman Sridhar. Владелец: Seetharaman Sridhar. Дата публикации: 2009-04-09.

Method for forming self-aligned wells to support tight spacing

Номер патента: WO2009021187A2. Автор: Seetharaman Sridhar. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2009-02-12.

Manufacturing method of semiconductor package structure having interconnections between dies

Номер патента: US20240021528A1. Автор: Shing-Yih Shih. Владелец: Nanya Technology Corp. Дата публикации: 2024-01-18.

METHOD TO FORM SELF-ALIGNED HIGH DENSITY NANOCRYSTALS

Номер патента: US20160071943A1. Автор: Kang Sung-Taeg,LEE Euhngi. Владелец: Freescale Semiconductor, Inc.. Дата публикации: 2016-03-10.

RECESSING RMG METAL GATE STACK FOR FORMING SELF-ALIGNED CONTACT

Номер патента: US20160149015A1. Автор: Cheng Kangguo,Khakifirooz Ali,Xie Ruilong,Cai Xiuyu. Владелец: . Дата публикации: 2016-05-26.

FORMING SELF-ALIGNED NiSi PLACEMENT WITH IMPROVED PERFORMANCE AND YIELD

Номер патента: US20160163702A1. Автор: WANG Xin,HU Yue,Wu Xusheng,Lee Yong Meng,ZHAO Lun,PENG Wen-Pin,TONG Wei-Hua. Владелец: . Дата публикации: 2016-06-09.

Method for forming self-aligned double-layer pattern

Номер патента: CN110459465B. Автор: 张志刚,徐灵芝,陆神洲. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2022-03-04.

Method for forming self-aligned contact of semiconductor device

Номер патента: KR940016879A. Автор: 박해성. Владелец: 현대전자산업 주식회사. Дата публикации: 1994-07-25.

Method to form self aligned, L-shaped sidewall spacers

Номер патента: EP1164636B1. Автор: Gupta Subhash,Pradeep Yelehanka,Chhagan Vijai. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2007-12-19.

Method to form self-aligned silicide with reduced sheet resistance

Номер патента: US6509264B1. Автор: Weining Li,Yung Tao Lin. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2003-01-21.

Method for Forming Self-Aligned Silcide of Semiconductor Device

Номер патента: KR100628253B1. Автор: 심규철,이완규. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2006-09-27.

A method to form self-aligned silicide with reduced sheet resistance

Номер патента: SG107556A1. Автор: Yung Tao Lin,Wei Ning Li. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2004-12-29.

Method for forming self-align silicide in semiconductor device

Номер патента: KR100271948B1. Автор: 이응준,서태욱,이수근,구자흠,김철성,정주혁. Владелец: 윤종용. Дата публикации: 2000-11-15.

A bicmos process for forming self-aligned npn emitters and bases and mosfet/source drains

Номер патента: EP0293731A3. Автор: Robert H. Havemann. Владелец: Texas Instruments Inc. Дата публикации: 1989-09-27.

Method for forming self-aligned double pattern, and semiconductor structure

Номер патента: EP4181172A4. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-12-27.

Method of fabricating an electrode structure and apparatus for fabricating the electrode structure

Номер патента: US20230360932A1. Автор: Dohyung Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-11-09.

Fabricating method of semiconductor device using self aligned process

Номер патента: KR0137949B1. Автор: 홍찬희. Владелец: 엘지일렉트론 주식회사. Дата публикации: 1998-06-01.

Method of manufacturing STI using self-aligned Si3N4 as mask

Номер патента: CN101501835A. Автор: 李秋德. Владелец: He Jian Technology Suzhou Co ltd. Дата публикации: 2009-08-05.

CMOS COMPATIBLE FUSE OR RESISTOR USING SELF-ALIGNED CONTACTS

Номер патента: US20170062409A1. Автор: Cheng Kangguo,Basker Veeraraghavan S.,Wang Junli,STANDAERT Theodorus E.. Владелец: . Дата публикации: 2017-03-02.

MARGIN FOR FIN CUT USING SELF-ALIGNED TRIPLE PATTERNING

Номер патента: US20180090335A1. Автор: LIE Fee Li,SIEG STUART A.,Miller Eric R.,Karve Gauri,Teehan Sean,Sporre John R.. Владелец: . Дата публикации: 2018-03-29.

METHODS FOR FABRICATING INTEGRATED CIRCUITS USING SELF-ALIGNED QUADRUPLE PATTERNING

Номер патента: US20150170973A1. Автор: Kim Ryan Ryoung Han,CANTONE Jason. Владелец: GLOBALFOUNDRIES, Inc.. Дата публикации: 2015-06-18.

SOURCE AND DRAIN FORMATION USING SELF-ALIGNED PROCESSES

Номер патента: US20190164756A1. Автор: LEE YUN SEOG,KIM Seyoung,Sadana Devendra,de Souza Joel. Владелец: . Дата публикации: 2019-05-30.

THRESHOLD VOLTAGE TUNING USING SELF-ALIGNED CONTACT CAP

Номер патента: US20150194350A1. Автор: Kim Hoon,Park Chanro,CAI Xiuyu Harry. Владелец: . Дата публикации: 2015-07-09.

Method for manufacturing side contact in semiconductor device using self aligned damascene process

Номер патента: KR101096184B1. Автор: 이상오. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-12-22.

Global planarization using self aligned polishing or spacer technique and isotropic etch process

Номер патента: EP0718874A3. Автор: Reinhard J Stengl,Matthias L Peschke. Владелец: SIEMENS AG. Дата публикации: 1997-02-05.

Method for making very low Vt metal-gate/high-k CMOSFETs using self-aligned low temperature shallow junctions

Номер патента: TW200947622A. Автор: Feng-De Jing. Владелец: Univ Nat Chiao Tung. Дата публикации: 2009-11-16.

Methods for fabricating integrated circuits using self-aligned quadruple patterning

Номер патента: US20150170973A1. Автор: Jason CANTONE,Ryan Ryoung Han Kim. Владелец: Globalfoundries Inc. Дата публикации: 2015-06-18.

Method for manufacturing CCD type solid image pickup device using self-alignment process

Номер патента: US5441910A. Автор: Yasutaka Nakashiba. Владелец: NEC Corp. Дата публикации: 1995-08-15.

Methods for fabricating integrated circuits using self-aligned quadruple patterning

Номер патента: US09620380B1. Автор: Huang Liu,Xintuo Dai,Jin Ping Liu,Jiong Li. Владелец: Globalfoundries Inc. Дата публикации: 2017-04-11.

Gate Structure and Methods of Forming Metal Gate Isolation

Номер патента: US20190334003A1. Автор: Meng-Fang Hsu,Chun-Sheng Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-10-31.

Salicide formation using a cap layer

Номер патента: US09978604B2. Автор: Ling-Sung Wang,Ching-Hua Chu,Chih-Hsun Lin,Mei-Hsuan LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-22.

Method of forming a FinFET device

Номер патента: US09953881B2. Автор: Kuo-Cheng Ching,Ying-Keung Leung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-24.

Method of integrating a charge-trapping gate stack into a CMOS flow

Номер патента: US9196496B2. Автор: Krishnaswamy Ramkumar. Владелец: Cypress Semiconductor Corp. Дата публикации: 2015-11-24.

Method of integrating a charge-trapping gate stack into a cmos flow

Номер патента: US20190067313A1. Автор: Krishnaswamy Ramkumar. Владелец: Cypress Semiconductor Corp. Дата публикации: 2019-02-28.

Method of integrating a charge-trapping gate stack into a cmos flow

Номер патента: US20160099253A1. Автор: Krishnaswamy Ramkumar. Владелец: Cypress Semiconductor Corp. Дата публикации: 2016-04-07.

Method of integrating a charge-trapping gate stack into a cmos flow

Номер патента: US20140235046A1. Автор: Krishnaswamy Ramkumar. Владелец: Cypress Semiconductor Corp. Дата публикации: 2014-08-21.

Method of forming DRAM circuitry

Номер патента: US20030017680A1. Автор: Gurtej Sandhu,Cem Basceri,Garo Derderian,M. Visokay,J. Drynan. Владелец: Individual. Дата публикации: 2003-01-23.

Method of fabricating a charge-trapping gate stack using a CMOS process flow

Номер патента: US09496144B2. Автор: Krishnaswamy Ramkumar,Hui-Mei Shih. Владелец: Cypress Semiconductor Corp. Дата публикации: 2016-11-15.

Semiconductor device and method of making including cap layer and nitride semiconductor layer

Номер патента: US20160163822A1. Автор: Kohji Ishikura. Владелец: Renesas Electronics Corp. Дата публикации: 2016-06-09.

Metal Gate Scheme for Device and Methods of Forming

Номер патента: US20180226485A1. Автор: Chi-Wen Liu,Chun Che Lin,Shiu-Ko Jangjian,Chih-Nan Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-08-09.

Method of fabricating polysilicon film

Номер патента: US20060258065A1. Автор: Mao-Yi Chang. Владелец: AU OPTRONICS CORP. Дата публикации: 2006-11-16.

Method of fabricating polysilicon film

Номер патента: US7393734B2. Автор: Mao-Yi Chang. Владелец: AU OPTRONICS CORP. Дата публикации: 2008-07-01.

Capacitors, methods of forming capacitors, and methods of forming capacitor dielectric layers

Номер патента: US20030045050A1. Автор: John Moore,Scott DeBoer. Владелец: Individual. Дата публикации: 2003-03-06.

Metal gate scheme for device and methods of forming

Номер патента: US09941376B2. Автор: Chi-Wen Liu,Chun Che Lin,Shiu-Ko Jangjian,Chih-Nan Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-10.

Integrated circuits and methods of forming the same with effective dummy gate cap removal

Номер патента: US09917016B2. Автор: Klaus Hempel,Dina Triyoso. Владелец: Globalfoundries Inc. Дата публикации: 2018-03-13.

FinFET semiconductor structures and methods of fabricating same

Номер патента: US09812336B2. Автор: Michael Ganz,Sruthi Muralidharan,Bingwu Liu,Johannes Marinus VAN MEER. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-07.

Vertical field-effect transistor devices and methods of forming the same

Номер патента: US20240170338A1. Автор: Min Gyu Kim,Hwi Chan Jun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-05-23.

Vertical field-effect transistor devices and methods of forming the same

Номер патента: US20220165623A1. Автор: Min Gyu Kim,Hwi Chan Jun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-05-26.

Method of forming epitaxial features

Номер патента: US12068318B2. Автор: Yung Feng Chang,Bao-Ru Young,Tung-Heng Hsieh,Ming-Yang Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Method of forming a capacitor dielectric layer

Номер патента: US20030207592A1. Автор: John Moore,Scott DeBoer. Владелец: Individual. Дата публикации: 2003-11-06.

Integrated circuit with replacement gate stacks and method of forming same

Номер патента: US09922884B2. Автор: Ruqiang Bao,Siddarth A. Krishnan. Владелец: International Business Machines Corp. Дата публикации: 2018-03-20.

Structure and method of forming semiconductor device

Номер патента: US09496385B2. Автор: Chun Hsiung Tsai,Tsan-Chun Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-15.

Method of forming high-voltage transistor with thin gate poly

Номер патента: US12029041B2. Автор: Unsoon Kim,Chun Chen,Sung-taeg Kang,Kuo Tung CHANG,James Pak,Inkuk Kang. Владелец: Infineon Technologies LLC. Дата публикации: 2024-07-02.

Method of forming high-voltage transistor with thin gate poly

Номер патента: US11690227B2. Автор: Unsoon Kim,Chun Chen,Sung-taeg Kang,Kuo Tung CHANG,James Pak,Inkuk Kang. Владелец: Cypress Semiconductor Corp. Дата публикации: 2023-06-27.

Method of fabricating an electrical contact for use on a semiconductor device

Номер патента: US09934978B2. Автор: Sadiki Jordan. Владелец: Infineon Technologies North America Corp. Дата публикации: 2018-04-03.

Metal gate scheme for device and methods of forming

Номер патента: US09871114B2. Автор: Hsueh Wen Tsau,Chia-Ching Lee,Da-Yuan Lee,Chung-Chiang WU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-16.

Methods of forming strained epitaxial semiconductor material(S) above a strain-relaxed buffer layer

Номер патента: US09490123B2. Автор: YI Qi,David Paul Brunco. Владелец: Globalfoundries Inc. Дата публикации: 2016-11-08.

Devices and methods of forming higher tunability FinFET varactor

Номер патента: US09437713B2. Автор: Andy Wei,Jagar Singh,Amaury Gendron,Gopal Srinivasan. Владелец: Globalfoundries Inc. Дата публикации: 2016-09-06.

Method of forming pattern of semiconductor device

Номер патента: US20150118852A1. Автор: Yool Kang,Hyung-Rae Lee,Seong-Ji Kwon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-04-30.

Semiconductor device structure and methods of forming the same

Номер патента: US20240243015A1. Автор: Cheng-Wei Chang,Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-18.

Methods of forming layers over substrates; and methods of forming trenched isolation regions

Номер патента: US20040266197A1. Автор: Demetrius Sarigiannis,Cem Basceri,Garo Derderian. Владелец: Individual. Дата публикации: 2004-12-30.

Method of forming polysilicon film and film forming apparatus

Номер патента: US20200161130A1. Автор: Atsushi Endo,Yutaka Motoyama. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-05-21.

Methods of forming electronic devices by ion implanting

Номер патента: US20090155726A1. Автор: Eric Apelgren,Nabil R. Yazdani. Владелец: SPANSION LLC. Дата публикации: 2009-06-18.

Method of forming a shallow pinned photodiode

Номер патента: US09685479B2. Автор: Eric G. Stevens. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2017-06-20.

Method of fabricating a silicon-on-insulator structure

Номер патента: EP1846321B1. Автор: Philippe Renaud,Isabelle Bertrand. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2010-12-22.

Methods for forming interconnection line using screen printing technique

Номер патента: US8951810B2. Автор: Kyu Won Lee,Ji Eun Kim,Hee Min SHIN,Cheol Ho JOH,Chong Ho CHO. Владелец: SK hynix Inc. Дата публикации: 2015-02-10.

Semiconductor Device and Method of Forming Air Gap Adjacent to Stress Sensitive Region of the Die

Номер патента: US20130093068A1. Автор: Reza A. Pagaila. Владелец: Stats Chippac Pte Ltd. Дата публикации: 2013-04-18.

Methods of forming diodes

Номер патента: US11916129B2. Автор: Gurtej S. Sandhu,Chandra Mouli. Владелец: Micron Technology Inc. Дата публикации: 2024-02-27.

Semiconductor arrangement and method of manufacture

Номер патента: US20240258374A1. Автор: Cheng-Bo Shu,Tsung-Yu Yang,Yun-Chi Wu,Chien Hung Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-01.

Method of making a trench capacitor

Номер патента: US20200161416A1. Автор: Yu-Hsiang Tsai,Chia-Ping Lai,Chung-Chuan Tseng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-05-21.

Method of forming a high-k gate dielectric layer

Номер патента: US20110006375A1. Автор: Manfred Ramin,Husam Alshareef,Michael F. Pas. Владелец: Texas Instruments Inc. Дата публикации: 2011-01-13.

Transistor structure and fabrication methods with an epitaxial layer over multiple halo implants

Номер патента: US09768074B2. Автор: Samar K. Saha. Владелец: Individual. Дата публикации: 2017-09-19.

Method of forming a semiconductor device including a pitch multiplication

Номер патента: US09741582B2. Автор: Lionel LUPO. Владелец: Micron Technology Inc. Дата публикации: 2017-08-22.

Transistor device and a method of manufacturing same

Номер патента: US09646892B2. Автор: Markus Mueller,Jacob C. Hooker. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-09.

Methods of forming embedded source/drain regions on finFET devices

Номер патента: US09530869B2. Автор: Murat Kerem Akarvardar,Jody A. FRONHEISER,Steven Bentley. Владелец: Globalfoundries Inc. Дата публикации: 2016-12-27.

Methods of forming diodes

Номер патента: US09520478B2. Автор: Gurtej S. Sandhu,Chandra Mouli. Владелец: Micron Technology Inc. Дата публикации: 2016-12-13.

FinFET Devices and Methods of Forming

Номер патента: US20190123181A1. Автор: Chia-Cheng Chen,Liang-Yin Chen,Huicheng Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-04-25.

Semiconductor device having work-function metal and method of forming the same

Номер патента: US20200335403A1. Автор: Juyoun Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-10-22.

Semiconductor device having work-function metal and method of forming the same

Номер патента: US20210287947A1. Автор: Juyoun Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-09-16.

Buffer layer and method of forming buffer layer

Номер патента: US20130032900A1. Автор: Kuang-Yuan Hsu,Wei-Yang Lee,Xiong-Fei Yu,Cheng-Hao Hou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-02-07.

Methods of forming silicon-containing layers

Номер патента: WO2020051116A1. Автор: Abhishek Dube,Steven C.H. Hung,Benjamin Colombeau,Johanes F. Swenberg. Владелец: Applied Materials, Inc.. Дата публикации: 2020-03-12.

Embedded memory and methods of forming the same

Номер патента: US09929168B2. Автор: Harry-Hak-Lay Chuang,Wei Cheng Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-27.

Methods of forming a gate contact above an active region of a semiconductor device

Номер патента: US09780178B2. Автор: Andreas Knorr,Ruilong Xie,Andre Labonte. Владелец: Globalfoundries Inc. Дата публикации: 2017-10-03.

Devices and methods of forming SADP on SRAM and SAQP on logic

Номер патента: US09761452B1. Автор: Jinping Liu,Daniel Jaeger,Jiehui SHU,Garo Jacques DERDERIAN,Haifeng Sheng. Владелец: Globalfoundries Inc. Дата публикации: 2017-09-12.

Semiconductor device having work-function metal and method of forming the same

Номер патента: US09627500B2. Автор: Juyoun Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-04-18.

Gate Structure of Semiconductor Device and Method of Forming Same

Номер патента: US20240379812A1. Автор: Weng Chang,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-14.

Method of forming a salicide layer for a semiconductor device

Номер патента: US7763533B2. Автор: Hyun Su SHIN. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2010-07-27.

Method Of Making Split Gate Non-volatile Flash Memory Cell

Номер патента: US20200013882A1. Автор: Chunming Wang,Nhan Do,Xian Liu,Andy Liu,Leo XING,Melvin DIAO. Владелец: Silicon Storage Technology Inc. Дата публикации: 2020-01-09.

Method of making split gate non-volatile flash memory cell

Номер патента: EP3913656A1. Автор: Chunming Wang,Nhan Do,Xian Liu,Andy Liu,Leo XING,Melvin DIAO. Владелец: Silicon Storage Technology Inc. Дата публикации: 2021-11-24.

Method Of Making Split Gate Non-volatile Flash Memory Cell

Номер патента: US20190198647A1. Автор: Chunming Wang,Nhan Do,Xian Liu,Andy Liu,Leo XING,Melvin DIAO. Владелец: Silicon Storage Technology Inc. Дата публикации: 2019-06-27.

Method Of Making Split Gate Non-volatile Flash Memory Cell

Номер патента: US20170338330A1. Автор: Chunming Wang,Nhan Do,Xian Liu,Andy Liu,Leo XING,Melvin DIAO. Владелец: Silicon Storage Technology Inc. Дата публикации: 2017-11-23.

Method Of Making Split Gate Non-volatile Flash Memory Cell

Номер патента: US20200013883A1. Автор: Chunming Wang,Nhan Do,Xian Liu,Andy Liu,Leo XING,Melvin DIAO. Владелец: Silicon Storage Technology Inc. Дата публикации: 2020-01-09.

Method Of Making Split Gate Non-volatile Flash Memory Cell

Номер патента: US20200020789A1. Автор: Chunming Wang,Nhan Do,Xian Liu,Andy Liu,Leo XING,Melvin DIAO. Владелец: Silicon Storage Technology Inc. Дата публикации: 2020-01-16.

Method of making split gate non-volatile flash memory cell

Номер патента: US10644139B2. Автор: Chunming Wang,Nhan Do,Xian Liu,Andy Liu,Leo XING,Melvin DIAO. Владелец: Silicon Storage Technology Inc. Дата публикации: 2020-05-05.

Method of making split gate non-volatile flash memory cell

Номер патента: US10833179B2. Автор: Chunming Wang,Nhan Do,Xian Liu,Andy Liu,Leo XING,Melvin DIAO. Владелец: Silicon Storage Technology Inc. Дата публикации: 2020-11-10.

Method of making split gate non-volatile flash memory cell

Номер патента: US10615270B2. Автор: Chunming Wang,Nhan Do,Xian Liu,Andy Liu,Leo XING,Melvin DIAO. Владелец: Silicon Storage Technology Inc. Дата публикации: 2020-04-07.

Method of making split gate non-volatile flash memory cell

Номер патента: US10276696B2. Автор: Chunming Wang,Nhan Do,Xian Liu,Andy Liu,Leo XING,Melvin DIAO. Владелец: Silicon Storage Technology Inc. Дата публикации: 2019-04-30.

Method of manufacturing semiconductor element, semiconductor element, and substrate

Номер патента: US12065760B2. Автор: Masahiro Araki. Владелец: Kyocera Corp. Дата публикации: 2024-08-20.

Method of Forming a Salicide Layer for a Semiconductor Device

Номер патента: US20090221121A1. Автор: Hyun Su SHIN. Владелец: Individual. Дата публикации: 2009-09-03.

Epitaxial source/drain structure and method of forming same

Номер патента: US12062720B2. Автор: Hsueh-Chang Sung,Kun-Mu Li. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-13.

Epitaxial Source/Drain Structure and Method of Forming Same

Номер патента: US20240363753A1. Автор: Hsueh-Chang Sung,Kun-Mu Li. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Method of forming ultra-thin nanowires

Номер патента: US09978834B2. Автор: Martin Christopher Holland,Blandine Duriez. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-22.

Method of forming low height split gate memory cells

Номер патента: US09972493B2. Автор: Hieu Van Tran,Chun-Ming Chen,Nhan Do,Jeng-Wei Yang,Man-Tang Wu,Chien-Sheng Su. Владелец: Silicon Storage Technology Inc. Дата публикации: 2018-05-15.

Semiconductor structure and method of forming

Номер патента: US09793230B1. Автор: Chen-Hua Yu,Hung-Jui Kuo,Yu-Hsiang Hu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-17.

Method of forming ultra-thin nanowires

Номер патента: US09653288B1. Автор: Martin Christopher Holland,Blandine Duriez. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-16.

Method of selectively deglazing p205

Номер патента: US20140054727A1. Автор: Hong-Gap Chua,Yee-Chung Chan,Mei-Yu Muk. Владелец: STMICROELECTRONICS PTE LTD. Дата публикации: 2014-02-27.

Methods of forming photonic devices

Номер патента: US20230152521A1. Автор: Shih-Chi Kuo,Tsai-Hao Hung,Tao-Cheng Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-05-18.

Methods of forming photonic devices

Номер патента: US12013570B2. Автор: Shih-Chi Kuo,Tsai-Hao Hung,Tao-Cheng Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-18.

Schottky diode and method of fabrication thereof

Номер патента: US20240258439A1. Автор: Cheng-Wei Wu,Yu-Chi LIAO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-01.

Memory arrays; methods of forming memory arrays; and methods of forming contacts to bitlines

Номер патента: WO2005117121A2. Автор: Luan C. Tran,Fred D. Fishburn. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2005-12-08.

Method of Forming Multiple Patterning Spacer Structures

Номер патента: US20150340239A1. Автор: Shau-Lin Shue,Chung-Ju Lee,Chih Wei Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-11-26.

Method of Forming Multiple Patterning Spacer Structures

Номер патента: US20160314971A1. Автор: Shau-Lin Shue,Chung-Ju Lee,Chih Wei Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-27.

Method of Forming Multiple Patterning Spacer Structures

Номер патента: US20150096958A1. Автор: Shau-Lin Shue,Chung-Ju Lee,Chih Wei Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-04-09.

Semiconductor package and method of forming the same

Номер патента: US09768133B1. Автор: Jie Chen,Hsien-Wei Chen,Der-Chyang Yeh,Chi-Hsi Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-19.

Method of forming multiple patterning spacer structures

Номер патента: US09741567B2. Автор: Shau-Lin Shue,Chung-Ju Lee,Chih Wei Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-08-22.

Method of forming self-aligned top gate channel barrier region in ion-implanted JFET

Номер патента: US5120669A. Автор: Gregory A. Schrantz. Владелец: HARRIS CORP. Дата публикации: 1992-06-09.

Method of fabricating a heterojunction bipolar transistor

Номер патента: WO2006109208A3. Автор: Joost Melai,Philippe Meunier-Beillard,Erwin Hijzen,Johannes J T M Donkers. Владелец: Johannes J T M Donkers. Дата публикации: 2007-02-15.

Method of fabricating a heterojunction bipolar transistor

Номер патента: EP1875494A2. Автор: Johannes J. T. M. Donkers,Joost Melai,Philippe Meunier-Beillard,Erwin Hijzen. Владелец: NXP BV. Дата публикации: 2008-01-09.

Method of fabricating a heterojunction bipolar transistor

Номер патента: WO2006109208A2. Автор: Johannes J. T. M. Donkers,Joost Melai,Philippe Meunier-Beillard,Erwin Hijzen. Владелец: NXP B.V.. Дата публикации: 2006-10-19.

Methods of forming self aligned spacers for nanowire device structures

Номер патента: EP3394898B1. Автор: Anand Murthy,Karthik Jambunathan,Seiyon Kim,Jun Sung Kang,Glenn Glass. Владелец: Intel Corp. Дата публикации: 2023-09-20.

Fabrication method of trenched power MOSFET with low gate impedance

Номер патента: US7608511B1. Автор: Hsiu Wen Hsu. Владелец: Niko Semiconductor Co Ltd. Дата публикации: 2009-10-27.

METHOD OF FORMING SELF ALIGNED GRIDS IN BSI IMAGE SENSOR

Номер патента: US20200135798A1. Автор: Lu Jiech-Fun,Tsao Tsun-Kai,Wu Wei Chuang,Chou Shih-Pei. Владелец: . Дата публикации: 2020-04-30.

Method of Forming Self-Alignment Contact

Номер патента: US20170170292A1. Автор: HSU Hung-Chang,Jang Syun-Ming,Lin Sheng-Hsuan,SU Hung-Wen,Lee Ya-Lien,LIN Rueijer,TSAI Ming-Hsing,Liu Hsiao-Ping,Kao Yen-Shou. Владелец: . Дата публикации: 2017-06-15.

METHOD OF FORMING SELF ALIGNED GRIDS IN BSI IMAGE SENSOR

Номер патента: US20210280630A1. Автор: Lu Jiech-Fun,Tsao Tsun-Kai,Wu Wei Chuang,Chou Shih-Pei. Владелец: . Дата публикации: 2021-09-09.

METHODS OF FORMING SELF ALIGNED SPACERS FOR NANOWIRE DEVICE STRUCTURES

Номер патента: US20180358436A1. Автор: Murthy Anand,Kim Seiyon,JAMBUNATHAN KARTHIK,KANG Jun Sung,GLASS Glenn. Владелец: Intel Corporation. Дата публикации: 2018-12-13.

Method of forming self-aligned poly for embedded flash

Номер патента: US20050127435A1. Автор: Han-Ping Chen,Chung-Yi Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2005-06-16.

Method of forming self-aligned poly for embedded flash

Номер патента: US7153744B2. Автор: Han-Ping Chen,Chung-Yi Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2006-12-26.

Method of forming self-aligning contacting hole

Номер патента: JPS60216582A. Автор: シエン テン スウ. Владелец: RCA Corp. Дата публикации: 1985-10-30.

Method of forming self-aligned stacked capacitor

Номер патента: US20020022321A1. Автор: Ching-ming Lee,Wunn-Shien Liao,Ky Yang. Владелец: United Microelectronics Corp. Дата публикации: 2002-02-21.

METHODS AND APPARATUSES TO FORM SELF-ALIGNED CAPS

Номер патента: US20150270224A1. Автор: Singh Kanwal Jit,Boyanov Boyan. Владелец: . Дата публикации: 2015-09-24.

Bidirectional jfet and a process of forming the same

Номер патента: US20180061998A1. Автор: Prasad Venkatraman,Balaji Padmanabhan. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2018-03-01.

Method of making stacked capacitor in memory device

Номер патента: US6358795B1. Автор: Horng-Huei Tseng. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2002-03-19.

FORMING SELF-ALIGNED CONDUCTIVE LINES FOR RESISTIVE RANDOM ACCESS MEMORIES

Номер патента: US20160028002A1. Автор: Tortorelli Innocenzo,Petruzza Pietro,Pellizzer Fabio. Владелец: . Дата публикации: 2016-01-28.

METHOD FOR FORMING SELF-ALIGNED AIRGAP INTERCONNECT STRUCTURES

Номер патента: US20150054122A1. Автор: Lin Qinghuang,Fletcher Benjamin L.,Cabral Cyril. Владелец: . Дата публикации: 2015-02-26.

FORMING SELF-ALIGNED CONTACTS ON PILLAR STRUCTURES

Номер патента: US20180261649A1. Автор: "OSullivan Eugene J.",EDELSTEIN Daniel C.,Utomo Henry K.,Annunziata Anthony J.. Владелец: . Дата публикации: 2018-09-13.

FORMING SELF-ALIGNED CONDUCTIVE LINES FOR RESISTIVE RANDOM ACCESS MEMORIES

Номер патента: US20160293842A1. Автор: Tortorelli Innocenzo,Petruzza Pietro,Pellizzer Fabio. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2016-10-06.

FORMING SELF-ALIGNED CONTACTS ON PILLAR STRUCTURES

Номер патента: US20180308897A1. Автор: "OSullivan Eugene J.",EDELSTEIN Daniel C.,Utomo Henry K.,Annunziata Anthony J.. Владелец: . Дата публикации: 2018-10-25.

FORMING SELF-ALIGNED CONTACTS ON PILLAR STRUCTURES

Номер патента: US20180308898A1. Автор: "OSullivan Eugene J.",EDELSTEIN Daniel C.,Utomo Henry K.,Annunziata Anthony J.. Владелец: . Дата публикации: 2018-10-25.

RECESSING RMG METAL GATE STACK FOR FORMING SELF-ALIGNED CONTACT

Номер патента: US20160372576A1. Автор: Cheng Kangguo,Khakifirooz Ali,Xie Ruilong,Cai Xiuyu. Владелец: . Дата публикации: 2016-12-22.

method for forming self aligned field effect transistor structure

Номер патента: KR101070408B1. Автор: 백규하,도이미. Владелец: 한국전자통신연구원. Дата публикации: 2011-10-06.

Method for forming self-aligned Schottky junctions for semiconductor devices

Номер патента: JP5001295B2. Автор: ミュラー マーカス. Владелец: NXP BV. Дата публикации: 2012-08-15.

Method of forming poly insulator poly capacitors by using a self-aligned salicide process

Номер патента: US20050085046A1. Автор: Hao Fang,Jung-Cheng Kao. Владелец: Individual. Дата публикации: 2005-04-21.

Method of inspecting a defect on a translucid film

Номер патента: US5907397A. Автор: Anchor Chen. Владелец: United Semiconductor Corp. Дата публикации: 1999-05-25.

Method of fabricating semiconductor device

Номер патента: US20230378233A1. Автор: FAN YANG,Sheng Hu. Владелец: Wuhan Xinxin Semiconductor Manufacturing Co Ltd. Дата публикации: 2023-11-23.

Method of making an insulated gate bipolar transistor with high-energy P+ im

Номер патента: US5843796A. Автор: Donald Ray Disney. Владелец: Delco Electronics LLC. Дата публикации: 1998-12-01.

MEMORY ELEMENTS USING SELF-ALIGNED PHASE CHANGE MATERIAL LAYERS AND METHODS OF MANUFACTURING SAME

Номер патента: US20130248810A1. Автор: Liu Jun,Daley Jon,Violette Mike. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2013-09-26.

INSULATING INDUCTOR CONDUCTORS WITH AIR GAP USING ENERGY EVAPORATION MATERIAL (EEM)

Номер патента: US20200013551A1. Автор: Singh Sunil K.,SINGH Jagar. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2020-01-09.

MEMORY ELEMENTS USING SELF-ALIGNED PHASE CHANGE MATERIAL LAYERS AND METHODS OF MANUFACTURING SAME

Номер патента: US20140117300A1. Автор: Liu Jun. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2014-05-01.

MEMORY ELEMENTS USING SELF-ALIGNED PHASE CHANGE MATERIAL LAYERS AND METHODS OF MANUFACTURING SAME

Номер патента: US20160035977A1. Автор: Liu Jun. Владелец: . Дата публикации: 2016-02-04.

Memory elements using self-aligned phase change material layers and methods of manufacturing same

Номер патента: US9595672B2. Автор: Jun Liu. Владелец: US Bank NA. Дата публикации: 2017-03-14.

Memory elements using self-aligned phase change material layers and methods of manufacturing same

Номер патента: US9178141B2. Автор: Jun Liu. Владелец: Micron Technology Inc. Дата публикации: 2015-11-03.

Insulating inductor conductors with air gap using energy evaporation material (EEM)

Номер патента: US10453605B2. Автор: Jagar Singh,Sunil K. Singh. Владелец: Globalfoundries Inc. Дата публикации: 2019-10-22.

DEVICES, STRUCTURES, AND METHODS USING SELF-ALIGNED RESISTIVE SOURCE EXTENSIONS

Номер патента: US20140117367A1. Автор: Zeng Jun,Blanchard Richard A.,Darwish Mohamed N.. Владелец: . Дата публикации: 2014-05-01.

Solar Cell Emitter Region Fabrication Using Self-Aligned Implant and Cap

Номер патента: US20150162484A1. Автор: Weidman Timothy. Владелец: . Дата публикации: 2015-06-11.

Solar Cell Emitter Region Fabrication Using Self-Aligned Implant and Cap

Номер патента: US20170162729A1. Автор: Timothy Weidman. Владелец: Individual. Дата публикации: 2017-06-08.

METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICES USING SELF-ALIGNED PROCESS TO INCREASE DEVICE PACKING DENSITY

Номер патента: US20150194500A1. Автор: Chiu Tzu-Yin. Владелец: . Дата публикации: 2015-07-09.

Method for forming thin film photovoltaic interconnects using self-aligned process

Номер патента: US20070232057A1. Автор: David Eaglesham,Peter Borden. Владелец: Applied Materials Inc. Дата публикации: 2007-10-04.

Charge coupled device manufacturing method using self-align process

Номер патента: KR920010433B1. Автор: 손동균. Владелец: 금성일렉트론 주식회사. Дата публикации: 1992-11-27.

Fabrication method for flash memory device using self-aligned non-exposure pattern formation process

Номер патента: KR100393228B1. Автор: 이재한,이대엽. Владелец: 삼성전자주식회사. Дата публикации: 2003-07-31.

Method for forming thin film photovoltaic interconnects using self-aligned process

Номер патента: US7547570B2. Автор: David Eaglesham,Peter Borden. Владелец: Applied Materials Inc. Дата публикации: 2009-06-16.

Method for fabricating ccd using self-align

Номер патента: KR930000720B1. Автор: 이서규,용 박. Владелец: 금성일렉트론 주식회사. Дата публикации: 1993-01-30.

Capacitor Manufacturing Method Using Self Alignment

Номер патента: KR970012990A. Автор: 문철연. Владелец: 김광호. Дата публикации: 1997-03-29.

Fabrication of solar cell emitter area using self-aligned implantation and capping

Номер патента: JP6543856B2. Автор: ウェイドマン、ティモシー. Владелец: SunPower Corp. Дата публикации: 2019-07-17.

Backside gate via structure using self-aligned scheme

Номер патента: US20240297098A1. Автор: Tao Li,Ruilong Xie,Tsung-Sheng KANG,Leon Sigal. Владелец: International Business Machines Corp. Дата публикации: 2024-09-05.

Organic light-emitting diode display and method of manufacturing the same

Номер патента: US09640761B2. Автор: Chungi You. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-05-02.

Method of forming a metal pattern and method of manufacturing a display substrate

Номер патента: US20150380443A1. Автор: Hong-Sick Park,Young-Min Moon. Владелец: Samsung Display Co Ltd. Дата публикации: 2015-12-31.

Method of fabricating a bond pad structure

Номер патента: US9601446B2. Автор: Shin-puu Jeng,Hsien-Wei Chen,Hao-Yi Tsai,Yu-Wen Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-03-21.

Method of forming poly pattern in r-string of lcd drive ic and structure of the same

Номер патента: US20090096064A1. Автор: Byung-Ho Kim. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-04-16.

Method of forming poly pattern in R-string of LCD drive IC and structure of the same

Номер патента: US7713830B2. Автор: Byung-Ho Kim. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2010-05-11.

Method of forming a gate spacer

Номер патента: US09876098B2. Автор: Yu-Lien Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-23.

Integrated magnetic core inductor and methods of fabrications thereof

Номер патента: US09799721B2. Автор: Yen-Shuo Su,Jiech-Fun Lu,Chun-Tsung Kuo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-24.

Method of fabricating a bond pad structure

Номер патента: US09601446B2. Автор: Shin-puu Jeng,Hsien-Wei Chen,Hao-Yi Tsai,Yu-Wen Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-03-21.

Light coupling device and methods of forming same

Номер патента: US09478939B2. Автор: Tien-I Bao,Hai-Ching Chen,Ying-hao Kuo,Jui Hsieh Lai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-25.

Method of forming a dielectric collar for semiconductor wires

Номер патента: WO2021069310A1. Автор: Wei Sin Tan,Pierre Tchoulfian,Pamela Rueda Fonseca. Владелец: Aledia. Дата публикации: 2021-04-15.

Method of forming memory device with physical vapor deposition system

Номер патента: US12035538B2. Автор: Chin-Szu Lee,Yu-Jen Chien,I-Pin CHIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Contact pad structure and method of forming the same

Номер патента: US20210384219A1. Автор: HAO Zhang,Zhiliang Xia,Di Wang,Kun Zhang,Wenxi Zhou,Yonggang YANG,Yiming AI. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2021-12-09.

Method of forming monolithic cmos-mems hybrid integrated, packaged structures

Номер патента: WO2011003057A3. Автор: Nishit A. Choksi,Joseph M. Chalil,Krishna G. Kumar. Владелец: Advanced Microfab, LLC. Дата публикации: 2011-04-14.

Method of forming an insulator layer in a semiconductor structure and structures resulting therefrom

Номер патента: US20110272756A1. Автор: Michael D. Church. Владелец: INTERSIL AMERICAS LLC. Дата публикации: 2011-11-10.

Method of forming an insulator layer in a semiconductor structure and structures resulting therefrom

Номер патента: US20150235968A1. Автор: Michael D. Church. Владелец: INTERSIL AMERICAS LLC. Дата публикации: 2015-08-20.

Light emitting diode array with inactive implanted isolation regions and methods of forming the same

Номер патента: EP4427272A1. Автор: Saket Chadda,Zhen Chen,Shuke YAN. Владелец: GLO Technologies LLC. Дата публикации: 2024-09-11.

Method of forming an integrated circuit device including a pillar capped by barrier layer

Номер патента: US09627339B2. Автор: Wei Sen CHANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-18.

Method of forming a memory and method of forming a memory array

Номер патента: US09362498B2. Автор: Robert Strenz,Klaus Knobloch. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-06-07.

Transistor and method of manufacturing the same

Номер патента: US9917268B1. Автор: Cheng-Hang Hsu,Hsiao-Wen Zan,Shao-Fu Peng. Владелец: E Ink Holdings Inc. Дата публикации: 2018-03-13.

Transistor and method of manufacturing the same

Номер патента: US20180076402A1. Автор: Cheng-Hang Hsu,Hsiao-Wen Zan,Shao-Fu Peng. Владелец: E Ink Holdings Inc. Дата публикации: 2018-03-15.

Semiconductor device, and method of forming same

Номер патента: US12093627B2. Автор: Chung-Hui Chen,Wan-Te CHEN,Tzu Ching CHANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-17.

Magnetic memory devices and methods of forming the same

Номер патента: US09583697B2. Автор: Minah Kang,Yong Sung Park,Sechung Oh,Keewon Kim,Soonoh Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-02-28.

Led device and method of manufacture

Номер патента: US20230290806A1. Автор: Muhammad Ali,Tongtong ZHU,Yingjun Liu. Владелец: Poro Technologies Ltd. Дата публикации: 2023-09-14.

Method of creating cigs photodiode for image sensor applications

Номер патента: US20210111297A1. Автор: Seshadri Ramaswami,Philip Hsin-Hua LI. Владелец: Applied Materials Inc. Дата публикации: 2021-04-15.

Method of forming post-passivation interconnect structure

Номер патента: US09953891B2. Автор: Ming-Che Ho,Chung-Shi Liu,Zheng-Yi Lim,Yi-Wen WU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-24.

Method of making photovoltaic device having high quantum efficiency

Номер патента: US09583655B2. Автор: Tzu-Huan CHENG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-28.

Transistor devices having an anti-fuse configuration and methods of forming the same

Номер патента: US09431497B2. Автор: Eng Huat Toh,Shyue Seng Tan,Elgin Quek. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2016-08-30.

Method of making an image sensor with sidewall protection

Номер патента: US20210043675A1. Автор: Chia-Ping Lai,Chung-Chuan Tseng,Chiao-Chi Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-02-11.

Method of making an image sensor with sidewall protection

Номер патента: US11961866B2. Автор: Chia-Ping Lai,Chung-Chuan Tseng,Chiao-Chi Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-16.

Methods of forming semiconductor constructions

Номер патента: US7470590B2. Автор: Werner Juengling,Kunal R. Parekh,Steven M. McDonald. Владелец: Micron Technology Inc. Дата публикации: 2008-12-30.

Methods of forming semiconductor constructions

Номер патента: US20060231528A1. Автор: Werner Juengling,Steven McDonald,Kunal Parekh. Владелец: Individual. Дата публикации: 2006-10-19.

Methods of forming semiconductor constructions

Номер патента: US20050255701A1. Автор: Werner Juengling,Steven McDonald,Kunal Parekh. Владелец: Individual. Дата публикации: 2005-11-17.

Package redistribution layer structure and method of forming same

Номер патента: US09548283B2. Автор: Yi-Wen WU,Hung-Jui Kuo,Tsung-Shu Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-17.

Package Structure and Methods of Forming Same

Номер патента: US20150212270A1. Автор: Tien-I Bao,Hai-Ching Chen,Ying-hao Kuo,Jui Hsieh Lai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-07-30.

Package Structure and Methods of Forming Same

Номер патента: US20190219762A1. Автор: Tien-I Bao,Hai-Ching Chen,Ying-hao Kuo,Jui Hsieh Lai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-07-18.

INSULATING INDUCTOR CONDUCTORS WITH AIR GAP USING ENERGY EVAPORATION MATERIAL (EEM)

Номер патента: US20190108942A1. Автор: Singh Sunil K.,SINGH Jagar. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2019-04-11.

Method of forming self-aligned mask ROM

Номер патента: US6420235B1. Автор: Ling-Sung Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2002-07-16.

Memory cell, memory device, and methods of forming the same

Номер патента: SG11201806324UA. Автор: XINPENG WANG,Hideaki Fukuzawa,Jun Yu,Michael Han,Vladimir Bliznetsov. Владелец: Agency Science Tech & Res. Дата публикации: 2018-08-30.

Micromagnetic device and method of forming the same

Номер патента: US20230307165A1. Автор: Amrit Panda,Trifon LIAKOPOULOS. Владелец: Enachip Inc. Дата публикации: 2023-09-28.

Nano Bubble Water Generator with Self-aligned Air Gap Structure

Номер патента: KR102150865B1. Автор: 윤상. Владелец: 주식회사 이앤에이치. Дата публикации: 2020-09-02.

Method of forming metal ion transistor

Номер патента: US20100184280A1. Автор: Fen Chen,Armin Fischer. Владелец: Individual. Дата публикации: 2010-07-22.

Diodes, and methods of forming diodes

Номер патента: US8323995B2. Автор: Gurtej S. Sandhu,Bhaskar Srinivasan. Владелец: Micron Technology Inc. Дата публикации: 2012-12-04.

Method for forming self-aligned thermal isolation cell for a variable resistance memory array

Номер патента: TW200725810A. Автор: Erh-Kun Lai,Chia-Hua Ho,Kuang-Yeu Hsieh. Владелец: Macronix Int Co Ltd. Дата публикации: 2007-07-01.

Method to form self-aligned split gate flash with L-shaped wordline spacers

Номер патента: US6784039B2. Автор: Chia-Ta Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2004-08-31.

Cross-point magnetoresistive random memory array and method of making thereof using self-aligned patterning

Номер патента: US12041787B2. Автор: Lei Wan,Jordan Katine. Владелец: SanDisk Technologies LLC. Дата публикации: 2024-07-16.

1 bit local SONOS memory cell using self aligned etching and method of fabricating the same

Номер патента: KR100498507B1. Автор: 김용태,전희석,윤승범. Владелец: 삼성전자주식회사. Дата публикации: 2005-07-01.

Phase change memory elements using self-aligned phase change material layers and methods of making and using the same

Номер патента: EP2325911A3. Автор: Jun Liu. Владелец: Micron Technology Inc. Дата публикации: 2011-07-06.

Method for fabricating non-volatile memory device using self-aligned source process

Номер патента: KR100295149B1. Автор: 최정혁. Владелец: 윤종용. Дата публикации: 2001-07-12.

Method for manufacturing non-volatile memory device using self-aligned source process

Номер патента: KR19990075948A. Автор: 최정혁. Владелец: 윤종용. Дата публикации: 1999-10-15.

Nonvolatile memory cell formed using self aligned source implant

Номер патента: US5656513A. Автор: Hsingya Arthur Wang,James Juen Hsu. Владелец: Advanced Micro Devices Inc. Дата публикации: 1997-08-12.

Phase change memory fabricated using self-aligned processing

Номер патента: KR100807677B1. Автор: 토마스 하프,울리케 그루에닝 폰 쉬버린. Владелец: 키몬다 아게. Дата публикации: 2008-02-28.

Method for manufacturing local SONOS device using self aligning

Номер патента: KR100585107B1. Автор: 김성균,최용석,김재황,윤승범. Владелец: 삼성전자주식회사. Дата публикации: 2006-05-30.

Phase change memory fabricated using self-aligned processing

Номер патента: US7362608B2. Автор: Thomas Happ,Ulrike Gruening-Von Schwerin. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2008-04-22.

Method of forming self-aligned V-grooves and waveguides

Номер патента: IE913491A1. Автор: Anthony David Welbourn. Владелец: British Telecomm. Дата публикации: 1992-04-22.

Method of forming self-aligned v-grooves and waveguides

Номер патента: IE79653B1. Автор: Anthony David Welbourn. Владелец: British Telecomm. Дата публикации: 1998-05-20.

Magnetic tunnel junction and method of fabrication

Номер патента: WO2010048259A1. Автор: Xia Li,Seung H. Kang,Xiaochun Zhu,Kangho Lee,Matthew Nowak. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2010-04-29.

Phase-change memory and method of forming same

Номер патента: US12075713B2. Автор: Jau-Yi Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-27.

Hinged MEMS diaphragm, and method of manufacture thereof

Номер патента: US09906869B2. Автор: Ronald N. Miles,Weili Cui. Владелец: Research Foundation of State University of New York. Дата публикации: 2018-02-27.

Method of forming a non-volatile memory array

Номер патента: US5661054A. Автор: Roger Lee,Ralph Kauffman. Владелец: Micron Technology Inc. Дата публикации: 1997-08-26.

Method of forming conformal barrier layers for protection of thermoelectric materials

Номер патента: EP2643494A1. Автор: Charles A. Paulson. Владелец: Corning Inc. Дата публикации: 2013-10-02.

Method of forming conformal barrier layers for protection of thermoelectric materials

Номер патента: WO2012071173A1. Автор: Charles A. Paulson. Владелец: CORNING INCORPORATED. Дата публикации: 2012-05-31.

Method of manufacturing component built-in module and component built-in module

Номер патента: US20120218721A1. Автор: Shigeo Nishimura. Владелец: Murata Manufacturing Co Ltd. Дата публикации: 2012-08-30.

Method for forming self-aligned overlay mark

Номер патента: US8664077B2. Автор: David Pratt,Vinay Nair,Christopher Hawk,Richard Housley. Владелец: Nanya Technology Corp. Дата публикации: 2014-03-04.

Method for forming self aligning contact window structure on semiconductor substrate

Номер патента: CN1365137A. Автор: 曾鸿辉. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2002-08-21.

Self-aligning cap nut for use with a spinal rod anchor

Номер патента: CA2398118A1. Автор: Rolando M. Puno,Philip A. Mellinger,Joseph A. Perra. Владелец: Individual. Дата публикации: 2001-08-16.

Methods of Patterning Wafers Using Self-Aligned Double Patterning Processes

Номер патента: US20150193570A1. Автор: JEONG MOON-GYU. Владелец: . Дата публикации: 2015-07-09.

Optical fiber interconnections using self-aligned core extensions

Номер патента: WO1995014947A1. Автор: SANG K SHEEM. Владелец: Sheem, Sang, K.. Дата публикации: 1995-06-01.

Optical fiber interconnections using self-aligned core extensions

Номер патента: AU1259895A. Автор: Sang K. Sheem. Владелец: Individual. Дата публикации: 1995-06-13.

Optical fiber couplers using self-aligned core-extensions, and their manufacturing methods

Номер патента: GB9401365D0. Автор: . Владелец: SHEEM SUSAN K. Дата публикации: 1994-03-23.

Optical fiber couplers using self-aligned core-extensions, and their manufacturing methods

Номер патента: AU5489994A. Автор: Sang Keun Sheem,Susan Kim Sheem. Владелец: Individual. Дата публикации: 1995-08-10.

Phase change of a recording layer under a temporary capping layer

Номер патента: EP1265235A3. Автор: Heon Lee,Robert Bicknell-Tassius. Владелец: Hewlett Packard Co. Дата публикации: 2003-09-17.

Method of manufacturing an analytical sample and method of analyzing an analytical sample

Номер патента: US7932097B2. Автор: Satoshi Toriumi. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2011-04-26.

Method of manufacturing an analytical sample and method of analyzing an analytical sample

Номер патента: US20100171034A1. Автор: Satoshi Toriumi. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2010-07-08.

Method of manufacturing an analytical sample and method of analyzing an analytical sample

Номер патента: US20110031390A1. Автор: Satoshi Toriumi. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2011-02-10.

Method of manufacturing an analytical sample and method of analyzing an analytical sample

Номер патента: US7846741B2. Автор: Satoshi Toriumi. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2010-12-07.

Mechanical layer and methods of making the same

Номер патента: WO2012148777A1. Автор: FAN Zhong,YI Tao,Hojin Lee. Владелец: QUALCOMM MEMS Technologies, Inc.. Дата публикации: 2012-11-01.

A method of forming a composite article in a mold

Номер патента: CA2648485A1. Автор: David DeBiasi,Jon P. Pavlinac. Владелец: Individual. Дата публикации: 2007-10-18.

Method of forming super-paramagnetic cladding material on conductive lines of MRAM devices

Номер патента: US20070014146A1. Автор: Po-Kang Wang,Yimin Guo. Владелец: MagIC Technologies Inc. Дата публикации: 2007-01-18.

Implantable devices and methods of forming the same

Номер патента: EP2077797A2. Автор: S. Eric Ryan,Richard Sahagian. Владелец: Cornova Inc. Дата публикации: 2009-07-15.

Method of forming super-paramagnetic cladding material on conductive lines of mram devices

Номер патента: WO2007008280A2. Автор: Po-Kang Wang,Yimin Guo. Владелец: MAGIC TECHNOLOGIES, INC.. Дата публикации: 2007-01-18.

Implantable devices and methods of forming the same

Номер патента: WO2008027871A3. Автор: Richard Sahagian,S Eric Ryan. Владелец: S Eric Ryan. Дата публикации: 2008-11-27.

Self-sealing fuel tank and method of forming a self-sealing fuel tank

Номер патента: CA3217967A1. Автор: Larry W. Stuck,Colin G. Vogel,Flavien P. Montange,Daniel T. Bruder. Владелец: HUTCHINSON SA. Дата публикации: 2022-11-10.

Silver-containing solution and method of forming silver catalyst layer in chemical plating

Номер патента: US12023653B2. Автор: Hung-Yuan Hsieh. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2024-07-02.

Edge coupler and method of forming the same

Номер патента: US20240069276A1. Автор: Chewn-Pu Jou,Cheng-Tse Tang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-29.

Composites and methods of forming composites having an increased volume of ceramic particles

Номер патента: US12036782B2. Автор: Christopher T. Kirkpatrick. Владелец: Goodrich Corp. Дата публикации: 2024-07-16.

Decoration article having clear layer and method of forming the same

Номер патента: US09789895B2. Автор: Takayuki Ito,Kenichiro Yasui,Kazuya WATAKABE. Владелец: Toyoda Gosei Co Ltd. Дата публикации: 2017-10-17.

METHOD OF REDUCING EROSION OF A METAL CAP LAYER DURING VIA PATTERNING IN SEMICONDUCTOR DEVICES

Номер патента: US20120003832A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method of forming self-aligned rugged crown-shaped capacitor of high-density dynamic random access memory

Номер патента: TW425702B. Автор: Shie-Lin Wu. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2001-03-11.

Fabrication method of forming self-aligned salicide

Номер патента: TW281788B. Автор: Ming-Jong Yang,Bor-Ren Jeng. Владелец: United Microelectronics Corp. Дата публикации: 1996-07-21.

Method of forming self-aligned silicide by double ion implantation

Номер патента: TW418449B. Автор: Chi-Ming Yang,Jau-Jie Tsai,Shou-Ren Jang,Jeng-Kuen Lin. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2001-01-11.

Method of forming self-aligned salicide

Номер патента: TW308721B. Автор: Jiunn-Shyan Lin. Владелец: United Microelectronics Corp. Дата публикации: 1997-06-21.

Method of forming self-aligned contacts

Номер патента: TW200423234A. Автор: Pei-Ren Jeng. Владелец: Macronix Int Co Ltd. Дата публикации: 2004-11-01.

Method of forming self-aligned contacts

Номер патента: TWI221639B. Автор: Pei-Ren Jeng. Владелец: Macronix Int Co Ltd. Дата публикации: 2004-10-01.

METHODS OF FORMING SELF-ALIGNED CONTACTS FOR A SEMICONDUCTOR DEVICE FORMED USING REPLACEMENT GATE TECHNIQUES

Номер патента: US20130288468A1. Автор: Chi Min-Hwa. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-10-31.

METHODS OF FORMING SELF-ALIGNED THROUGH SILICON VIA

Номер патента: US20130065393A1. Автор: Gambino Jeffrey P.,Stamper Anthony K.,LEIDY Robert K.. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-03-14.

Method of Forming Self-Aligned Contacts for a Semiconductor Device

Номер патента: US20130189833A1. Автор: Baars Peter,Wei Andy,Geiss Erik,Mazur Martin. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-07-25.

METHODS OF FORMING SELF-ALIGNED CONTACTS FOR A SEMICONDUCTOR DEVICE

Номер патента: US20130288471A1. Автор: Chi Min-Hwa. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-10-31.

Method of forming self-aligned metal silicide

Номер патента: TWI251272B. Автор: Erh-Kun Lai,Tung-Cheng Kuo,Shou-Wei Hwang,Yu-Ping Huang. Владелец: Macronix Int Co Ltd. Дата публикации: 2006-03-11.

Method of forming self-aligned salicide

Номер патента: TW304279B. Автор: Jenn-Hwa Yu. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 1997-05-01.

Manufacturing method of forming self-aligned twin-tub well on semiconductor substrate

Номер патента: TW301769B. Автор: Jyi-Shyi Wu. Владелец: Winbond Electronics Corp. Дата публикации: 1997-04-01.

Integrally formed self aligning bearing

Номер патента: AU220369B2. Автор: Mills Ready Graeme. Владелец: Individual. Дата публикации: 1957-11-07.

Method for forming self-aligned trench isolation

Номер патента: TW492140B. Автор: Horng-Huei Tseng. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2002-06-21.

Structure and method for forming self-aligned bipolar junction transistor with expitaxy base

Номер патента: TW546809B. Автор: Shu-Ya Chuang. Владелец: United Microelectronics Corp. Дата публикации: 2003-08-11.

Integrally formed self aligning bearing

Номер патента: AU2197556A. Автор: Mills Ready Graeme. Владелец: Individual. Дата публикации: 1957-11-07.

Process for forming self-aligned multi-level interconnect structure

Номер патента: TW444335B. Автор: Hsu-Li Cheng,Erik S Jeng. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2001-07-01.

METHODS TO FORM SELF-ALIGNED PERMANENT ON-CHIP INTERCONNECT STRUCTURES

Номер патента: US20120018891A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-01-26.

METHOD FOR FORMING SELF-ALIGNED CONTACT

Номер патента: US20120267727A1. Автор: . Владелец: NANYA TECHNOLOGY CORPORATION. Дата публикации: 2012-10-25.

METHODS TO FORM SELF-ALIGNED PERMANENT ON-CHIP INTERCONNECT STRUCTURES

Номер патента: US20130001801A1. Автор: Lin Qinghuang. Владелец: INTERNATIOANL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-01-03.

METHOD FOR FORMING SELF-ALIGNED OVERLAY MARK

Номер патента: US20130210213A1. Автор: Pratt David,Nair Vinay,Hawk Christopher,Housley Richard. Владелец: . Дата публикации: 2013-08-15.

How to form self-aligned contacts

Номер патента: KR950025876A. Автор: 남종완,권성우. Владелец: 현대전자산업 주식회사. Дата публикации: 1995-09-18.

Method for forming self-aligned metallic silicide

Номер патента: CN102044422B. Автор: 聂佳相,卢炯平,杨瑞鹏,孔祥涛. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2012-07-04.

How to form self-aligned contacts

Номер патента: KR19990074362A. Автор: 염계희. Владелец: 윤종용. Дата публикации: 1999-10-05.

How to form self-aligned contacts

Номер патента: KR950007100A. Автор: 성진모. Владелец: 현대전자산업 주식회사. Дата публикации: 1995-03-21.

How to form self-aligned contacts

Номер патента: KR940007990A. Автор: 김진웅,손곤. Владелец: 현대전자산업 주식회사. Дата публикации: 1994-04-28.

Method for forming self-aligning contact window structure

Номер патента: CN1290158C. Автор: 董明圣,李岳川. Владелец: Promos Technologies Inc. Дата публикации: 2006-12-13.

Method for using dual damascene trench to form self-aligned mask ROM

Номер патента: TW477003B. Автор: Pei-Ren Jeng,Tzung-Ye Li,Wei-Min Jung. Владелец: Macronix Int Co Ltd. Дата публикации: 2002-02-21.

Method for forming self-alignment metal salicide CMOS semi transistor

Номер патента: TW366566B. Автор: Shye-Lin Wu. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 1999-08-11.

Making process for forming self-alignment contact plug useful in an embedded random access memory

Номер патента: TW385529B. Автор: Jen-Ye Shr. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2000-03-21.

Method for forming self-aligned metal oxide semiconductor transistor

Номер патента: TW563189B. Автор: Ding-Jang Jang,Huang-Jung Jeng,Jeng-Jie Yang. Владелец: United Microelectronics Corp. Дата публикации: 2003-11-21.

Manufacturing method of mask read only memory capable of using self-aligned silicide

Номер патента: TWI251336B. Автор: Shuang-Feng Ye. Владелец: Grace Semiconductor Mfg Corp. Дата публикации: 2006-03-11.

Manufacturing method of mask read only memory capable of using self-aligned silicide

Номер патента: TW200623397A. Автор: Shuang-Feng Ye. Владелец: Grace Semiconductor Mfg Corp. Дата публикации: 2006-07-01.

Method of using self-aligned metal silicide bridging to form interconnects

Номер патента: TWI225284B. Автор: Shr-De Tzeng,Guo-Hau Rau. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2004-12-11.

Method for manufacturing capacitor using self-aligned etching process

Номер патента: TW473992B. Автор: Ching-Yu Jang. Владелец: Macronix Int Co Ltd. Дата публикации: 2002-01-21.

METHODS OF FORMING NANOSCALE FLOATING GATE

Номер патента: US20120001248A1. Автор: Sandhu Gurtej S.,Ramaswamy D.V. Nirmal. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2012-01-05.

METHOD OF FABRICATING AN INTEGRATED CIRCUIT DEVICE

Номер патента: US20120003806A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-01-05.

GATE STRUCTURES AND METHOD OF FABRICATING SAME

Номер патента: US20120001266A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-01-05.

ULTRAHIGH DENSITY VERTICAL NAND MEMORY DEVICE & METHOD OF MAKING THEREOF

Номер патента: US20120001249A1. Автор: Alsmeier Johann,Samachisa George. Владелец: SanDisk Corporation. Дата публикации: 2012-01-05.

MEMORY CELL THAT EMPLOYS A SELECTIVELY FABRICATED CARBON NANO-TUBE REVERSIBLE RESISTANCE-SWITCHING ELEMENT AND METHODS OF FORMING THE SAME

Номер патента: US20120001150A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ULTRAHIGH DENSITY VERTICAL NAND MEMORY DEVICE AND METHOD OF MAKING THEREOF

Номер патента: US20120001247A1. Автор: Alsmeier Johann. Владелец: SanDisk Corporation. Дата публикации: 2012-01-05.

ULTRAHIGH DENSITY VERTICAL NAND MEMORY DEVICE AND METHOD OF MAKING THEREOF

Номер патента: US20120001250A1. Автор: Alsmeier Johann. Владелец: SanDisk Corporation. Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер патента: US20120003795A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

PHOTOVOLTAIC MODULE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120000506A1. Автор: Kim Dong-Jin,KANG Ku-Hyun,NAM Yuk-Hyun,Lee Jung-Eun. Владелец: . Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING CRYSTALLINE SILICON SOLAR CELLS USING EPITAXIAL DEPOSITION

Номер патента: US20120000511A1. Автор: . Владелец: Applied Materials, Inc.. Дата публикации: 2012-01-05.

Manufacturing Method of Electrode Material

Номер патента: US20120001120A1. Автор: Yamakaji Masaki,Miwa Takuya. Владелец: . Дата публикации: 2012-01-05.

ELECTRODE STRUCTURE, METHOD OF FABRICATING THE SAME, AND SEMICONDUCTOR DEVICE INCLUDING THE ELECTRODE STRUCTURE

Номер патента: US20120001267A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120001333A1. Автор: HWANG Chang Youn. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

DRIVING METHOD OF LIQUID CRYSTAL DISPLAY DEVICE

Номер патента: US20120002132A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

METHOD OF MAKING A FUEL CELL DEVICE

Номер патента: US20120003571A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

WOUND DRESSING APPARATUS AND METHOD OF USE

Номер патента: US20120004628A1. Автор: . Владелец: Smith & Nephew PLC. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE, METHOD OF FABRICATING THE SAME, AND SEMICONDUCTOR MODULE AND ELECTRONIC SYSTEM INCLUDING THE SEMICONDUCTOR DEVICE

Номер патента: US20120001272A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD OF FABRICATING A LIGHT EMITTING DIODE CHIP HAVING PHOSPHOR COATING LAYER

Номер патента: US20120003758A1. Автор: HSIEH Chung-Chuan. Владелец: . Дата публикации: 2012-01-05.

METHOD OF FORMING FINE PATTERNS USING A BLOCK COPOLYMER

Номер патента: US20120003587A1. Автор: . Владелец: Samsung Electronics Co., Ltd. Дата публикации: 2012-01-05.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20120003829A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Driving Method of Input/Output Device

Номер патента: US20120001847A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF ENERGY STORAGE DEVICE

Номер патента: US20120003383A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

Method Of Refining The Grain Structure Of Alloys

Номер патента: US20120000317A1. Автор: Flemings Merton C.,Ragone David V.. Владелец: . Дата публикации: 2012-01-05.

Engine systems and methods of operating an engine

Номер патента: US20120000435A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер патента: US20120000484A1. Автор: . Владелец: Denso Corporation. Дата публикации: 2012-01-05.

STAMPER, METHOD OF MANUFACTURING THE STAMPER, AND MAGNETIC RECORDING MEDIUM MANUFACTURING METHOD USING THE STAMPER

Номер патента: US20120000885A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

Antireflective Coatings for Via Fill and Photolithography Applications and Methods of Preparation Thereof

Номер патента: US20120001135A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120001182A1. Автор: Choi Jong-Hyun,Lee Dae-Woo. Владелец: Samsung Mobile Display Co., Ltd.. Дата публикации: 2012-01-05.

ORGANIC EL DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120001186A1. Автор: ONO Shinya,KONDOH Tetsuro. Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

THIN FILM TRANSISTOR AND METHOD OF FABRICATING SAME

Номер патента: US20120001190A1. Автор: Yaneda Takeshi,Aita Tetsuya,Harumoto Yoshiyuki,Inoue Tsuyoshi,OKABE Tohru. Владелец: SHARP KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

ARRAY SUBSTRATE, METHOD OF MANUFACTURING THE ARRAY SUBSTRATE, AND DISPLAY APPARATUS INCLUDING THE ARRAY SUBSTRATE

Номер патента: US20120001191A1. Автор: . Владелец: Samsung Mobile Display Co., Ltd.. Дата публикации: 2012-01-05.

RADIATION IMAGE DETECTION APPARATUS AND MANUFACTURING METHOD OF RADIATION IMAGE DETECTOR

Номер патента: US20120001201A1. Автор: . Владелец: FUJIFILM Corporation. Дата публикации: 2012-01-05.

GROUP III NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME, AND LAMP

Номер патента: US20120001220A1. Автор: . Владелец: SHOWA DENKO K.K.. Дата публикации: 2012-01-05.

ETCHANTS AND METHODS OF FABRICATING SEMICONDUCTOR DEVICES USING THE SAME

Номер патента: US20120001264A1. Автор: YANG Jun-Kyu,Kim Hong-Suk,Hwang Ki-Hyun,Ahn Jae-Young,Lim Hun-Hyeong,KIM Jin-Gyun. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING THE SAME, AND SOLID-STATE IMAGE SENSOR

Номер патента: US20120001291A1. Автор: Kokumai Kazuo. Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

DRIVING METHOD OF LIQUID CRYSTAL DISPLAY DEVICE

Номер патента: US20120001881A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SYSTEM AND METHOD OF ILLUMINATING INTERFEROMETRIC MODULATORS USING BACKLIGHTING

Номер патента: US20120001962A1. Автор: Tung Ming-Hau,Chui Clarence. Владелец: QUALCOMM MEMS Technologies, Inc.. Дата публикации: 2012-01-05.

Nanostructured Mn-Al Permanent Magnets And Methods of Producing Same

Номер патента: US20120003114A1. Автор: Zeng Qi,Baker Ian. Владелец: . Дата публикации: 2012-01-05.

METHOD OF FABRICATING AN ELECTROCHEMICAL DEVICE USING ULTRAFAST PULSED LASER DEPOSITION

Номер патента: US20120003395A1. Автор: CHE Yong,HU Zhendong. Владелец: IMRA AMERICA, INC.. Дата публикации: 2012-01-05.

Antistatic laminate, optical film, polarizing plate, image display device and production method of antistatic laminate

Номер патента: US20120003467A1. Автор: . Владелец: FUJI FILM Corporation. Дата публикации: 2012-01-05.

MAGNETIC RECORDING MEDIUM AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120003503A1. Автор: . Владелец: FUJIFILM Corporation. Дата публикации: 2012-01-05.

METHOD OF MAKING A FUEL CELL DEVICE

Номер патента: US20120003570A1. Автор: Devoe Alan,Devoe Lambert. Владелец: . Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING WAX-CONTAINING POLYMER PARTICLES

Номер патента: US20120003581A1. Автор: Yang Xiqiang,Bennett James R.. Владелец: . Дата публикации: 2012-01-05.

CANCER BIOMARKERS AND METHODS OF USE THEREOF

Номер патента: US20120003639A1. Автор: KERLIKOWSKE KARLA,TLSTY THEA D.,GAUTHIER MONA L.,BERMAN HAL K.,BREMER TROY,MOLINARO ANNETTE M.. Владелец: . Дата публикации: 2012-01-05.

METHOD OF PRODUCING ORGANIC LIGHT-EMITTING DEVICE

Номер патента: US20120003764A1. Автор: Koike Atsushi,Kameyama Makoto. Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

Methods of manufacturing semiconductor devices with Si and SiGe epitaxial layers

Номер патента: US20120003799A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR MEMORY DEVICE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120003808A1. Автор: Lee Sang-yun. Владелец: BESANG INC.. Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003812A1. Автор: Sasaki Makoto,NISHIGUCHI Taro,HARADA Shin,Okita Kyoko,Namikawa Yasuo. Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

SEMICONDUCTOR STRUCTURE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120003815A1. Автор: Lee Sang-yun. Владелец: BESANG INC.. Дата публикации: 2012-01-05.

METHOD OF FORMING STRAINED EPITAXIAL CARBON-DOPED SILICON FILMS

Номер патента: US20120003825A1. Автор: Dip Anthony. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-05.

SEMICONDUCTOR MEMORY DEVICE AND METHOD OF FORMING THE SAME

Номер патента: US20120003828A1. Автор: Chang Sung-Il,Choe Byeong-In,KANG Changseok. Владелец: . Дата публикации: 2012-01-05.

Methods of Forming Nonvolatile Memory Devices Using Nonselective and Selective Etching Techniques to Define Vertically Stacked Word Lines

Номер патента: US20120003831A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Field emission electrode, method of manufacturing the same, and field emission device comprising the same

Номер патента: US20120003895A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

COMPOSITIONS AND METHODS OF DELIVERY OF PHARMACOLOGICAL AGENTS

Номер патента: US20120004177A1. Автор: Trieu Vuong,Desai Neil P.,Soon-Shiong Patrick. Владелец: . Дата публикации: 2012-01-05.

Polymer and Method of Forming a Polymer

Номер патента: US20120004338A1. Автор: Hywel-Evans Duncan. Владелец: Adbruf Ltd.. Дата публикации: 2012-01-05.