形成自对准通孔的方法

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method of selective film deposition for forming fully self-aligned vias

Номер патента: US20190157149A1. Автор: Kandabara Tapily. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-05-23.

Method of selective deposition for forming fully self-aligned vias

Номер патента: US20210074584A1. Автор: Kandabara Tapily. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-03-11.

Fully self aligned via integration processes

Номер патента: WO2023027827A1. Автор: Xintuo Dai. Владелец: Applied Materials, Inc.. Дата публикации: 2023-03-02.

Method for forming low dielectric constant fluorine-doped layers

Номер патента: US7579271B2. Автор: Ting Cheong Ang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2009-08-25.

Method of forming self-aligned metal lines and vias

Номер патента: US09607893B1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-28.

Methods for forming self-aligned contacts using spin-on silicon carbide

Номер патента: WO2022177828A1. Автор: Lior HULI,Junling Sun,Angelique RALEY,Andrew Metz. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2022-08-25.

Methods for Forming Self-Aligned Contacts Using Spin-on Silicon Carbide

Номер патента: US20220262679A1. Автор: Lior HULI,Junling Sun,Angelique RALEY,Andrew Metz. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-08-18.

Back end of line integration for self-aligned vias

Номер патента: US11916010B2. Автор: Guillaume Bouche,Andy Chih-Hung Wei. Владелец: Intel Corp. Дата публикации: 2024-02-27.

Methods, apparatus and system for forming a dielectric field for dual orientation self aligned vias

Номер патента: US9530691B1. Автор: Xunyuan Zhang,Errol Todd Ryan. Владелец: Globalfoundries Inc. Дата публикации: 2016-12-27.

Method for producing self-aligned vias

Номер патента: US09484258B1. Автор: Lei Sun,Wenhui Wang,Ryan Ryoung-Han Kim,Yulu Chen,Erik Verduijn. Владелец: Globalfoundries Inc. Дата публикации: 2016-11-01.

Double self aligned via patterning

Номер патента: US20140363969A1. Автор: Hsueh-Chung Chen,Yongan Xu,Yunpeng Yin,Ailian Zhao. Владелец: International Business Machines Corp. Дата публикации: 2014-12-11.

Double self-aligned via patterning

Номер патента: US20150364372A1. Автор: Hsueh-Chung Chen,Yongan Xu,Yunpeng Yin,Ailian Zhao. Владелец: International Business Machines Corp. Дата публикации: 2015-12-17.

Method of Forming Self-Aligned Contacts for a Semiconductor Device

Номер патента: US20130189833A1. Автор: Peter Baars,Andy Wei,Martin Mazur,Erik Geiss. Владелец: Globalfoundries Inc. Дата публикации: 2013-07-25.

Interconnect integration scheme with fully self-aligned vias

Номер патента: US20210118733A1. Автор: Terry A. Spooner,Shyng-Tsong Chen. Владелец: International Business Machines Corp. Дата публикации: 2021-04-22.

Integrated circuits and methods for fabricating integrated circuits with self-aligned vias

Номер патента: US09520321B2. Автор: Errol Todd Ryan,Sean X. Lin. Владелец: Globalfoundries Inc. Дата публикации: 2016-12-13.

Method of forming self-aligned via

Номер патента: US10923396B2. Автор: Mihaela Balseanu,Suketu Arun Parikh. Владелец: Applied Materials Inc. Дата публикации: 2021-02-16.

Method Of Forming Self-Aligned Via

Номер патента: US20200144117A1. Автор: Mihaela Balseanu,Suketu Arun Parikh. Владелец: Applied Materials Inc. Дата публикации: 2020-05-07.

Self-aligned via and air gap

Номер патента: US09842801B2. Автор: Mark A. Zaleski,Andy Chih-Hung Wei. Владелец: Globalfoundries Inc. Дата публикации: 2017-12-12.

Self-aligned via and air gap

Номер патента: US20160260666A1. Автор: Mark A. Zaleski,Andy Chih-Hung Wei. Владелец: Globalfoundries Inc. Дата публикации: 2016-09-08.

Interconnect structures including self aligned vias

Номер патента: US20210090942A1. Автор: Chih-Chao Yang,Terry A. Spooner,Shyng-Tsong Chen,Koichi Motoyama. Владелец: International Business Machines Corp. Дата публикации: 2021-03-25.

Self-aligned via for gate contact of semiconductor devices

Номер патента: WO2016003595A1. Автор: Kern Rim,Stanley Seungchul SONG,Zhongze Wang,Choh fei Yeap. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2016-01-07.

Self-aligned via and plug patterning for back end of line (BEOL) interconnects

Номер патента: US09666451B2. Автор: Charles H. Wallace,Paul A. Nyhus. Владелец: Intel Corp. Дата публикации: 2017-05-30.

Forming self-aligned dual patterning mandrel and non-mandrel interconnects

Номер патента: US09659818B1. Автор: Carl Radens,Lawrence A. Clevenger,John Zhang. Владелец: International Business Machines Corp. Дата публикации: 2017-05-23.

Self-aligned via forming to conductive line and related wiring structure

Номер патента: US20180211871A1. Автор: Brent A. Anderson,Edward J. Nowak. Владелец: Globalfoundries Inc. Дата публикации: 2018-07-26.

Self-aligned via forming to conductive line and related wiring structure

Номер патента: US20170186682A1. Автор: Brent A. Anderson,Edward J. Nowak. Владелец: Globalfoundries Inc. Дата публикации: 2017-06-29.

Method of filling a via hole and apparatus for performing the same

Номер патента: US10777458B2. Автор: Yoon Ki Sa,Mong Ryong Lee. Владелец: Semes Co Ltd. Дата публикации: 2020-09-15.

Method of filling a via hole and apparatus for performing the same

Номер патента: US20180182669A1. Автор: Yoon Ki Sa,Mong Ryong Lee. Владелец: Semes Co Ltd. Дата публикации: 2018-06-28.

Method for forming self-aligned isolation trenches in semiconductor substrate and semiconductor device

Номер патента: US20150171162A1. Автор: Tzung-Han Lee,Yaw-Wen Hu. Владелец: Inotera Memories Inc. Дата публикации: 2015-06-18.

Method for forming self-aligned contact window

Номер патента: US6015741A. Автор: Water Lur,Shih-Wei Sun,Tony Lin. Владелец: United Microelectronics Corp. Дата публикации: 2000-01-18.

Method for forming self aligned contacts

Номер патента: US20020098640A1. Автор: Ii-Wook Kim,Jong-Sam Kim,Dong-kuk Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-07-25.

Forming self-aligned NiSi placement with improved performance and yield

Номер патента: US09607989B2. Автор: XIN Wang,LUN Zhao,Xusheng Wu,Yong Meng Lee,Yue Hu,Wen-Pin Peng,Wei-Hua TONG. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-28.

Methods to form self-aligned permanent on-chip interconnect structures

Номер патента: US20120018891A1. Автор: Qinghuang Lin. Владелец: International Business Machines Corp. Дата публикации: 2012-01-26.

Interconnection with self-aligned via plug

Номер патента: US5596230A. Автор: Gary Hong. Владелец: United Microelectronics Corp. Дата публикации: 1997-01-21.

Method of forming self-aligned contacts and local interconnects

Номер патента: US20090280633A1. Автор: An Chyi Wei. Владелец: Macronix International Co Ltd. Дата публикации: 2009-11-12.

Bottom self-aligned via

Номер патента: US09859208B1. Автор: Naftali E. Lustig,Matthew S. Angyal,Rasit O. TOPALOGLU. Владелец: International Business Machines Corp. Дата публикации: 2018-01-02.

Transistor with reduced gate resistance and improved process margin of forming self-aligned contact

Номер патента: US20220051939A1. Автор: Sheng-hui Yang. Владелец: Nanya Technology Corp. Дата публикации: 2022-02-17.

Fully self-aligned via with selective bilayer dielectric regrowth

Номер патента: US20200006140A1. Автор: Jeffrey Smith,Kandabara Tapily. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-01-02.

Via-hole etching method

Номер патента: US09564354B2. Автор: Donghua Jiang,Wuyang ZHAO,Chundong LI,Byung Chun Lee,Yongyi FU. Владелец: Chengdu BOE Optoelectronics Technology Co Ltd. Дата публикации: 2017-02-07.

Method for forming self-aligned contact hole in semiconductor device

Номер патента: KR100441998B1. Автор: 서준,송종희. Владелец: 삼성전자주식회사. Дата публикации: 2004-07-30.

Cut first alternative for 2D self-aligned via

Номер патента: US09852984B2. Автор: Guillaume Bouche,Sudharshanan RAGHUNATHAN,Andy Wei. Владелец: Globalfoundries Inc. Дата публикации: 2017-12-26.

SEMICONDUCTOR DEVICE WITH SELF-ALIGNED VIAS

Номер патента: US20220285216A1. Автор: Chen Chien-Han,Liang Ming-Chung,Chiu Chien-Chih. Владелец: . Дата публикации: 2022-09-08.

SEMICONDUCTOR DEVICE WITH SELF-ALIGNED VIAS

Номер патента: US20200135562A1. Автор: Chen Chien-Han,Liang Ming-Chung,Chiu Chien-Chih. Владелец: . Дата публикации: 2020-04-30.

Methods Of Producing Fully Self-Aligned Vias And Contacts

Номер патента: US20180374750A1. Автор: ZHANG YING,Ingle Nitin K.,Mallick Abhijit Basu,Hwang Ho-yung,Freed Regina,Mitra Uday,Natarajan Sanjay. Владелец: . Дата публикации: 2018-12-27.

Method for forming self-alignment insulation structure

Номер патента: US20090283873A1. Автор: Hon-Chun Wang. Владелец: Individual. Дата публикации: 2009-11-19.

Method for forming conducting via and damascene structure

Номер патента: US09728445B2. Автор: Ming-Chung Liang,Wen-Kuo HSIEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-08-08.

Method for forming a horizontal surface spacer and devices formed thereby

Номер патента: MY117065A. Автор: Toshiharu Furukawa,Mark C Hakey,Steven J Holmes,David V Horak,Paul A Rabidoux. Владелец: Ibm. Дата публикации: 2004-04-30.

Forming self-aligned vias and air-gaps in semiconductor fabrication

Номер патента: US20210202313A1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: Tessera LLC. Дата публикации: 2021-07-01.

Forming self-aligned vias and air-gaps in semiconductor fabrication

Номер патента: US10242911B2. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: International Business Machines Corp. Дата публикации: 2019-03-26.

Method for forming self-aligned contacts/vias with high corner selectivity

Номер патента: US20150170965A1. Автор: PENG Wang,Eric A. Hudson,Ananth INDRAKANTI. Владелец: Lam Research Corp. Дата публикации: 2015-06-18.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09570583B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2017-02-14.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09455330B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2016-09-27.

Forming self-aligned vias and air-gaps in semiconductor fabrication

Номер патента: US20220406658A1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: Tessera LLC. Дата публикации: 2022-12-22.

Forming self-aligned vias and air-gaps in semiconductor fabrication

Номер патента: US09911652B1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: International Business Machines Corp. Дата публикации: 2018-03-06.

Methods for forming self-aligned interconnect structures

Номер патента: US20240347384A1. Автор: Ru-Gun Liu,Shih-Ming Chang,Hoi-Tou Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-17.

FORMING SELF-ALIGNED VIAS AND AIR-GAPS IN SEMICONDUCTOR FABRICATION

Номер патента: US20210202313A1. Автор: Clevenger Lawrence A.,Radens Carl J.,Zhang John H.. Владелец: . Дата публикации: 2021-07-01.

Methods Of Forming Self-Aligned Vias And Air Gaps

Номер патента: US20180358260A1. Автор: GOPALRAJA Praburam,Mallick Abhijit Basu,Duan Ziqing,Roy Susmit Singha. Владелец: . Дата публикации: 2018-12-13.

Methods of forming self-aligned vias and air gaps

Номер патента: US10840186B2. Автор: Ziqing Duan,Abhijit Basu Mallick,Susmit Singha Roy,Praburam Gopalraja. Владелец: Applied Materials Inc. Дата публикации: 2020-11-17.

Methods of forming self-aligned vias and air gaps

Номер патента: US10403542B2. Автор: Ziqing Duan,Abhijit Basu Mallick,Susmit Singha Roy,Praburam Gopalraja. Владелец: Applied Materials Inc. Дата публикации: 2019-09-03.

Methods for Forming Self-Aligned Interconnect Structures

Номер патента: US20210035862A1. Автор: Liu Ru-Gun,Chang Shih-Ming,Ng Hoi-Tou. Владелец: . Дата публикации: 2021-02-04.

METHOD FOR FORMING SELF-ALIGNED CONTACTS/VIAS WITH HIGH CORNER SELECTIVITY

Номер патента: US20150325479A1. Автор: WANG Peng,Hudson Eric A.,INDRAKANTI Ananth. Владелец: . Дата публикации: 2015-11-12.

Method for forming self-aligned contact of semiconductor device

Номер патента: KR101046717B1. Автор: 이성권,정태우. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-07-05.

A method for forming self-aligned contact of semiconductor device

Номер патента: KR100276387B1. Автор: 이재구,조창현,정홍식. Владелец: 윤종용. Дата публикации: 2000-12-15.

Method for forming self aligned contact in semiconductor device with buried gate

Номер патента: KR101075526B1. Автор: 신종한,박점용. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-10-20.

Method for forming self aligned contact hole in semiconductor

Номер патента: KR100839527B1. Автор: 전재영. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-06-19.

Method for forming self align contact of semiconductor device

Номер патента: KR101073130B1. Автор: 이민석,이성권. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-10-12.

Methods for forming self-aligned interconnect structures

Номер патента: US11289376B2. Автор: Ru-Gun Liu,Shih-Ming Chang,Hoi-Tou Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-03-29.

Method for forming self-aligned interconnect structure

Номер патента: CN112309963A. Автор: 张世明,刘如淦,伍海涛. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-02-02.

Method for forming self-aligned contacts using a hard mask

Номер патента: US6265296B1. Автор: Erik S. Jeng,Hung-Yi Luo,Hao-Chieh Liu,Tzu-Shih Yen. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2001-07-24.

Method for forming self-aligned contact of semiconductor device

Номер патента: JP5084074B2. Автор: 眞 雄 金. Владелец: SK hynix Inc. Дата публикации: 2012-11-28.

Methods for forming self-aligned interconnect structures

Номер патента: US12020984B2. Автор: Ru-Gun Liu,Shih-Ming Chang,Hoi-Tou Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-25.

METHODS OF FORMING SELF-ALIGNED DEVICE LEVEL CONTACT STRUCTURES

Номер патента: US20170047253A1. Автор: Kim Hoon,Xie Ruilong,Park Chanro,Sung Min Gyu. Владелец: . Дата публикации: 2017-02-16.

Process Integration Techniques Using A Carbon Layer To Form Self-Aligned Structures

Номер патента: US20180308753A1. Автор: Mosden Aelan,KUMAR KAUSHIK. Владелец: . Дата публикации: 2018-10-25.

Methods of forming self-aligned contacts

Номер патента: US20200373309A1. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2020-11-26.

Methods of forming self-aligned contacts

Номер патента: US20220189965A1. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2022-06-16.

Methods of forming self-aligned contacts

Номер патента: US20200373309A1. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2020-11-26.

Methods of forming self-aligned contacts comprising reusing hardmask materials and lithography reticles

Номер патента: US11302699B2. Автор: Russell Chin Yee Teo. Владелец: Applied Materials Inc. Дата публикации: 2022-04-12.

Forming self-aligned contacts

Номер патента: GB202001682D0. Автор: . Владелец: International Business Machines Corp. Дата публикации: 2020-03-25.

Cut first alternative for 2D self-aligned via

Номер патента: US09425097B1. Автор: Guillaume Bouche,Sudharshanan RAGHUNATHAN,Andy Wei. Владелец: Globalfoundries Inc. Дата публикации: 2016-08-23.

Method for fully self-aligned via formation using a directed self assembly (dsa) process

Номер патента: US20180130708A1. Автор: Elliott Franke,Richard Farrell,Nihar Mohanty. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-05-10.

METHOD FOR FULLY SELF-ALIGNED VIA FORMATION USING A DIRECTED SELF ASSEMBLY (DSA) PROCESS

Номер патента: US20180130708A1. Автор: Mohanty Nihar,FRANKE Elliott,Farrell Richard. Владелец: . Дата публикации: 2018-05-10.

INTEGRATED CIRCUITS AND METHODS FOR FABRICATING INTEGRATED CIRCUITS WITH SELF-ALIGNED VIAS

Номер патента: US20160254185A1. Автор: RYAN Errol Todd,Lin Sean X.. Владелец: . Дата публикации: 2016-09-01.

Method For Creating A Fully Self-Aligned Via

Номер патента: US20190378756A1. Автор: Ingle Nitin K.,Hwang Ho-Yung David,Wang Xikun,Freed Regina,Mitra Uday,Mullick Amrita B.. Владелец: . Дата публикации: 2019-12-12.

A method for creating a fully self-aligned via

Номер патента: WO2019236350A1. Автор: Xikun Wang,Regina FREED,Nitin K. Ingle,Uday Mitra,Ho-Yung David Hwang,Amrita B. Mullick. Владелец: Micromaterials LLC. Дата публикации: 2019-12-12.

Method for creating a fully self-aligned via

Номер патента: US10699953B2. Автор: Xikun Wang,Regina FREED,Nitin K. Ingle,Uday Mitra,Ho-Yung David Hwang,Amrita B. Mullick. Владелец: Micromaterials LLC. Дата публикации: 2020-06-30.

Method for creating a fully self-aligned via

Номер патента: US10892187B2. Автор: Regina FREED,Uday Mitra,Sanjay Natarajan. Владелец: Micromaterials LLC. Дата публикации: 2021-01-12.

Method of fabricating an ultra low-k dielectric self-aligned via

Номер патента: US20140024220A1. Автор: Nikolaos Bekiaris,Sean S. Kang,Chih-Yang Chang,Chia-Ling Kao. Владелец: Individual. Дата публикации: 2014-01-23.

Self-aligned via fuse

Номер патента: US20150048479A1. Автор: Wai-Kin Li,Junjing Bao,Samuel S. Choi. Владелец: International Business Machines Corp. Дата публикации: 2015-02-19.

Methods Of Producing Self-Aligned Vias

Номер патента: US20190067102A1. Автор: ZHANG YING,Hwang Ho-Yung David,Ren He,Mallick Abhijit Basu,Zhou Qingjun,Lin Yung-Chen,Mitra Uday. Владелец: . Дата публикации: 2019-02-28.

Methods Of Producing Self-Aligned Vias

Номер патента: US20190074219A1. Автор: ZHANG YING,Ingle Nitin K.,Hwang Ho-yung,Freed Regina,Mitra Uday. Владелец: . Дата публикации: 2019-03-07.

Self-Aligned Via Formation Using Spacers

Номер патента: US20220102212A1. Автор: Su Yi-Nien,SHIEH JYU-HORNG. Владелец: . Дата публикации: 2022-03-31.

Self-aligned via interconnect structures

Номер патента: US20160163587A1. Автор: Joyeeta Nag,Benjamin C. BACKES,Brian A. Cohen,Carl J. Radens. Владелец: International Business Machines Corp. Дата публикации: 2016-06-09.

SELF-ALIGNED VIA FORMING TO CONDUCTIVE LINE AND RELATED WIRING STRUCTURE

Номер патента: US20170186682A1. Автор: Nowak Edward J.,ANDERSON Brent A.. Владелец: . Дата публикации: 2017-06-29.

SELF-ALIGNED VIA INTERCONNECT STRUCTURES

Номер патента: US20160197013A1. Автор: Radens Carl J.,BACKES Benjamin C.,COHEN Brian A.,NAG Joyeeta. Владелец: . Дата публикации: 2016-07-07.

Selectively Etched Self-Aligned Via Processes

Номер патента: US20190189510A1. Автор: Ying Zhang,Regina FREED,Uday Mitra,Yung-Chen Lin,Qingjun Zhou,Ho-Yung David Hwang. Владелец: Micromaterials LLC. Дата публикации: 2019-06-20.

SELF-ALIGNED VIA FORMING TO CONDUCTIVE LINE AND RELATED WIRING STRUCTURE

Номер патента: US20180211871A1. Автор: Nowak Edward J.,ANDERSON Brent A.. Владелец: . Дата публикации: 2018-07-26.

CUT FIRST ALTERNATIVE FOR 2D SELF-ALIGNED VIA

Номер патента: US20160322298A1. Автор: Wei Andy,Bouche Guillaume,Raghunathan Sudharshanan. Владелец: . Дата публикации: 2016-11-03.

SELF-ALIGNED VIA INTERCONNECT STRUCTURES

Номер патента: US20190326170A1. Автор: Radens Carl J.,BACKES Benjamin C.,COHEN Brian A.,NAG Joyeeta. Владелец: . Дата публикации: 2019-10-24.

DOUBLE SELF-ALIGNED VIA PATTERNING

Номер патента: US20150364372A1. Автор: Yin Yunpeng,Chen Hsueh-Chung,Xu Yongan,ZHAO Ailian. Владелец: . Дата публикации: 2015-12-17.

SELF ALIGNED VIA IN INTEGRATED CIRCUIT

Номер патента: US20160379929A1. Автор: Liebmann Lars W.,Mignot Yann,Spooner Terry A.,LEE JOE,Feurprier Yannick,TRICKETT Douglas M.,Yilmaz Mehmet. Владелец: . Дата публикации: 2016-12-29.

Methods of producing self-aligned vias

Номер патента: WO2019046399A1. Автор: Ying Zhang,Abhijit Basu Mallick,Ho-Yung Hwang,Uday Mitra,Yung-Chen Lin,Qingjun Zhou,He REN. Владелец: Micromaterials LLC. Дата публикации: 2019-03-07.

Methods of producing self-aligned vias

Номер патента: WO2019050735A1. Автор: Ying Zhang,Regina FREED,Nitin K. Ingle,Ho-Yung Hwang,Uday Mitra. Владелец: Micromaterials LLC. Дата публикации: 2019-03-14.

Selectively etched self-aligned via processes

Номер патента: EP3499557A1. Автор: Ying Zhang,Regina FREED,Uday Mitra,Yung-Chen Lin,Qingjun Zhou,Ho-Yung David Hwang. Владелец: Micromaterials LLC. Дата публикации: 2019-06-19.

Methods of producing self-aligned vias

Номер патента: US10510602B2. Автор: Ying Zhang,Abhijit Basu Mallick,Uday Mitra,Yung-Chen Lin,Qingjun Zhou,He REN,Ho-Yung David Hwang. Владелец: Mirocmaterials LLC. Дата публикации: 2019-12-17.

Selectively etched self-aligned via processes

Номер патента: US10593594B2. Автор: Ying Zhang,Regina FREED,Uday Mitra,Yung-Chen Lin,Qingjun Zhou,Ho-Yung David Hwang. Владелец: Micromaterials LLC. Дата публикации: 2020-03-17.

Methods of producing self-aligned vias

Номер патента: US10600688B2. Автор: Ying Zhang,Regina FREED,Nitin K. Ingle,Uday Mitra,Ho-Yung David Hwang. Владелец: Micromaterials LLC. Дата публикации: 2020-03-24.

Self-aligned via interconnect structures

Номер патента: US10727122B2. Автор: Joyeeta Nag,Benjamin C. BACKES,Brian A. Cohen,Carl J. Radens. Владелец: International Business Machines Corp. Дата публикации: 2020-07-28.

Semiconductor device with self-aligned vias

Номер патента: US11502001B2. Автор: Chien-Han Chen,Chien-Chih Chiu,Ming-Chung Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-15.

Self-aligned via interconnect structures

Номер патента: US11348832B2. Автор: Joyeeta Nag,Benjamin C. BACKES,Brian A. Cohen,Carl J. Radens. Владелец: International Business Machines Corp. Дата публикации: 2022-05-31.

Self-aligned via fuse

Номер патента: US9240376B2. Автор: Wai-Kin Li,Junjing Bao,Samuel S. Choi. Владелец: Globalfoundries Inc. Дата публикации: 2016-01-19.

Selectively Etched Self-Aligned Via Processes

Номер патента: KR102355958B1. Автор: 잉 장,영-첸 린,케빈 조우,호-영 황. Владелец: 어플라이드 머티어리얼스, 인코포레이티드. Дата публикации: 2022-01-25.

Methods Of Forming Self-Aligned Vias

Номер патента: US20190013202A1. Автор: Thompson David,Anthis Jeffrey W.,Mallick Abhijit Basu,Schmiege Benjamin,Duan Ziqing,Roy Susmit Singha. Владелец: . Дата публикации: 2019-01-10.

Methods Of Forming Self-Aligned Vias

Номер патента: US20180096847A1. Автор: Thompson David,Anthis Jeffrey W.,Mallick Abhijit Basu,Schmiege Benjamin,Duan Ziqing,Roy Susmit Singha. Владелец: . Дата публикации: 2018-04-05.

Method for forming self-aligned contacts and local interconnects simultaneously

Номер патента: US20070235798A1. Автор: Kuang-Chao Chen,Tuung Luoh,Ling-Wuu Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2007-10-11.

Self-aligned formation and method for semiconductors

Номер патента: US6165896A. Автор: Rainer F. Schnabel,Zhijian Lu,Jeffrey Gambino. Владелец: SIEMENS AG. Дата публикации: 2000-12-26.

Method for forming self-aligning local interconnects in integrated circuits

Номер патента: EP2592649B1. Автор: Michael C Smayling,Scott T Becker. Владелец: Tela Innovations Inc. Дата публикации: 2015-04-29.

Method for forming self aligned vias in multi level metal integrated circuit

Номер патента: KR100272499B1. Автор: 바실리 킷치. Владелец: 클라크 3세 존 엠.. Дата публикации: 2000-12-01.

Methods for forming 2-dimensional self-aligned vias

Номер патента: US09847252B2. Автор: Srinivas D. Nemani,Mehul Naik,Bencherki Mebarki. Владелец: Applied Materials Inc. Дата публикации: 2017-12-19.

Method for forming self-aligned contact and integrated circuit with self-aligned contact

Номер патента: TW201243956A. Автор: Yi-Nan Chen,Hsien-Wen Liu,Jar-Ming Ho. Владелец: Nanya Technology Corp. Дата публикации: 2012-11-01.

Forming self-aligned conductive lines for resistive random access memories

Номер патента: US09705080B2. Автор: Fabio Pellizzer,Innocenzo Tortorelli,Pietro Petruzza. Владелец: Micron Technology Inc. Дата публикации: 2017-07-11.

Methods of forming self-aligned device level contact structures

Номер патента: US09653356B2. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-16.

Methods and apparatuses to form self-aligned caps

Номер патента: US09627321B2. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corp. Дата публикации: 2017-04-18.

Methods and apparatuses to form self-aligned caps

Номер патента: US09373584B2. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corp. Дата публикации: 2016-06-21.

Method for improved aluminium-copper deposition and robust via contact resistance

Номер патента: US5736458A. Автор: Ming-Chang Teng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 1998-04-07.

Method Of Forming Self-Aligned Via

Номер патента: US20210166973A1. Автор: Parikh Suketu Arun,Balseanu Mihaela A.. Владелец: Applied Materials, Inc.. Дата публикации: 2021-06-03.

Method Of Forming Self-Aligned Via

Номер патента: US20200144117A1. Автор: Balseanu Mihaela,Parikh Suketu Arun. Владелец: . Дата публикации: 2020-05-07.

Method Of Forming Self-Aligned Via

Номер патента: US20200219768A1. Автор: Balseanu Mihaela,Parikh Suketu Arun. Владелец: . Дата публикации: 2020-07-09.

Method of forming self-aligned via structure

Номер патента: US6372641B1. Автор: Chuen-Der Lien. Владелец: Integrated Device Technology Inc. Дата публикации: 2002-04-16.

METHOD FOR FORMING SELF-ALIGNED CONTACTS/VIAS WITH HIGH CORNER SELECTIVITY

Номер патента: US20150170965A1. Автор: WANG Peng,Hudson Eric A.,INDRAKANTI Ananth. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2015-06-18.

Method for forming self-aligned contact of semiconductor device

Номер патента: KR100268443B1. Автор: 조창현,김기남,정태영. Владелец: 윤종용. Дата публикации: 2000-10-16.

Method for forming self alignment contact

Номер патента: CN1244727A. Автор: 林炳俊. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-02-16.

Method for forming self-aligned contacts in semiconductor devices

Номер патента: KR970018058A. Автор: 최창원. Владелец: 김광호. Дата публикации: 1997-04-30.

Method for forming self-aligning contact structure in semiconductor IC device

Номер патента: CN1319886A. Автор: 金允基,朴东建,朴钟佑. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2001-10-31.

Method for forming self align contact hole of semiconductor device

Номер патента: KR100745058B1. Автор: 조영재. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-08-01.

Methods for forming 2-dimensional self-aligned vias

Номер патента: US20170294348A1. Автор: Srinivas D. Nemani,Mehul Naik,Bencherki Mebarki. Владелец: Applied Materials Inc. Дата публикации: 2017-10-12.

Enlarged sacrificial gate caps for forming self-aligned contacts

Номер патента: US10008385B1. Автор: Haiting Wang,Chih-Chiang Chang,Ashish Kumar JHA,Mitchell Rutkowski. Владелец: Globalfoundries Inc. Дата публикации: 2018-06-26.

Methods to form self-aligned permanent on-chip interconnect structures

Номер патента: US20130001801A1. Автор: Qinghuang Lin. Владелец: International Business Machines Corp. Дата публикации: 2013-01-03.

A method to form self-aligned anti-via interconnects

Номер патента: SG118126A1. Автор: Gupta Subhash,Hong Sangki,Kwok Keung Ho Paul. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2006-01-27.

METHODS AND APPARATUSES TO FORM SELF-ALIGNED CAPS

Номер патента: US20130256899A1. Автор: Singh Kanwal Jit,Boyanov Boyan. Владелец: . Дата публикации: 2013-10-03.

Forming Self-Aligned Contact with Spacer First

Номер патента: US20190027580A1. Автор: Xie Ruilong,Fan Su Chen,Pranatharthiharan Balasubramanian,Greene Andrew M.,Lian Sean,Raymond Mark V.. Владелец: . Дата публикации: 2019-01-24.

TRANSISTOR WITH REDUCED GATE RESISTANCE AND IMPROVED PROCESS MARGIN OF FORMING SELF-ALIGNED CONTACT

Номер патента: US20220051939A1. Автор: YANG SHENG-HUI. Владелец: . Дата публикации: 2022-02-17.

METHODS AND APPARATUSES TO FORM SELF-ALIGNED CAPS

Номер патента: US20220270978A1. Автор: Singh Kanwal Jit,Boyanov Boyan. Владелец: . Дата публикации: 2022-08-25.

METHODS AND APPARATUSES TO FORM SELF-ALIGNED CAPS

Номер патента: US20170207120A1. Автор: Singh Kanwal Jit,Boyanov Boyan. Владелец: . Дата публикации: 2017-07-20.

Method of Forming Self-Aligned Contacts Using a Replacement Metal Gate Process in a Semiconductor Device

Номер патента: US20150263131A1. Автор: Metz Andrew. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2015-09-17.

Methods and apparatuses to form self-aligned caps

Номер патента: US20200321282A1. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corp. Дата публикации: 2020-10-08.

FORMING SELF-ALIGNED MULTI-METAL INTERCONNECTS

Номер патента: US20200357748A1. Автор: De Silva Ekmini Anuja,Dutta Ashim. Владелец: . Дата публикации: 2020-11-12.

Methods and apparatuses to form self-aligned caps

Номер патента: US20190393157A1. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corp. Дата публикации: 2019-12-26.

Methods and apparatuses to form self-aligned caps

Номер патента: KR101684310B1. Автор: 보얀 보야노브,칸왈 지트 싱흐. Владелец: 인텔 코포레이션. Дата публикации: 2016-12-08.

Method of forming self-aligned contact in semiconductor device

Номер патента: KR0164497B1. Автор: 최창원. Владелец: 김광호. Дата публикации: 1999-02-01.

Methods and apparatuses to form self-aligned caps

Номер патента: KR102151585B1. Автор: 보얀 보야노브,칸왈 지트 싱흐. Владелец: 인텔 코포레이션. Дата публикации: 2020-09-03.

Method of forming self-aligned contact structure with locally etched gate conductive layer

Номер патента: US6855610B2. Автор: Ming-Sheng Tung,Yueh-Chuan Lee. Владелец: Promos Technologies Inc. Дата публикации: 2005-02-15.

Forming self-aligned multi-metal interconnects

Номер патента: US11355442B2. Автор: Ekmini Anuja De Silva,Ashim Dutta. Владелец: International Business Machines Corp. Дата публикации: 2022-06-07.

A method of forming self-aligned contacts portion

Номер патента: CN106531684B. Автор: 赵超,朱慧珑,殷华湘,赵治国. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2019-07-16.

Methods and apparatuses to form self-aligned caps

Номер патента: KR102306796B1. Автор: 보얀 보야노브,칸왈 지트 싱흐. Владелец: 인텔 코포레이션. Дата публикации: 2021-09-30.

Method of forming self-aligned contacts for a semiconductor device

Номер патента: US8927407B2. Автор: Peter Baars,Andy Wei,Martin Mazur,Erik Geiss. Владелец: Globalfoundries Inc. Дата публикации: 2015-01-06.

Methods and apparatuses to form self-aligned caps

Номер патента: WO2013066356A1. Автор: Kanwal Jit Singh,Boyan Boyanov. Владелец: Intel Corporation. Дата публикации: 2013-05-10.

Method of forming self-aligned contacts and local interconnects

Номер патента: US20070004187A1. Автор: An Chyi Wei. Владелец: Macronix International Co Ltd. Дата публикации: 2007-01-04.

Methods and apparatuses to form self-aligned caps

Номер патента: TWI792018B. Автор: 肯瓦爾 辛格,伯葉 伯葉諾夫. Владелец: 美商英特爾股份有限公司. Дата публикации: 2023-02-11.

Method of forming self-aligned contacts in a semi-conductor process

Номер патента: GB2269938B. Автор: James A Matthews. Владелец: Microunity Systems Engineering Inc. Дата публикации: 1994-09-07.

Forming self-aligned multi-metal interconnects

Номер патента: US11923311B2. Автор: Ekmini Anuja De Silva,Ashim Dutta. Владелец: International Business Machines Corp. Дата публикации: 2024-03-05.

Self-aligned via to metal line for interconnect

Номер патента: US12080640B2. Автор: Tao Li,Alexander Reznicek,Ruilong Xie,Tsung-Sheng KANG. Владелец: International Business Machines Corp. Дата публикации: 2024-09-03.

Manufacturing method of self-aligned via hole in the multilevel interconnects

Номер патента: TW415060B. Автор: Hua-Shu Wu,Jiun-Hung Peng. Владелец: United Microelectronics Corp. Дата публикации: 2000-12-11.

Self-aligned via hole and plug patterning for back segment (BEOL) interconnection

Номер патента: CN105518837B. Автор: C·H·华莱士,P·A·尼许斯. Владелец: Intel Corp. Дата публикации: 2019-04-16.

METHODS FOR FORMING 2-DIMENSIONAL SELF-ALIGNED VIAS

Номер патента: US20170294348A1. Автор: Nemani Srinivas D.,Naik Mehul,MEBARKI BENCHERKI. Владелец: . Дата публикации: 2017-10-12.

Self aligned via dual damascene

Номер патента: US5795823A. Автор: Steven Avanzino,Subhash Gupta,Ming-Ren Lin,Rich Klein,Scott D. Luning. Владелец: Advanced Micro Devices Inc. Дата публикации: 1998-08-18.

Self-Aligned Via to Metal Line for Interconnect

Номер патента: US20230077878A1. Автор: Tao Li,Alexander Reznicek,Ruilong Xie,Tsung-Sheng KANG. Владелец: International Business Machines Corp. Дата публикации: 2023-03-16.

Self-aligned via structures and methods

Номер патента: US20240339396A1. Автор: Chieh-Han Wu,Cheng-Hsiung Tsai,Chung-Ju Lee,Chih Wei Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-10.

Self-aligned via process flow

Номер патента: US09502293B2. Автор: Guillaume Bouche,Andy C. Wei,Sudharshanan Raghunthathan. Владелец: Globalfoundries Inc. Дата публикации: 2016-11-22.

METHOD OF SELECTIVE FILM DEPOSITION FOR FORMING FULLY SELF-ALIGNED VIAS

Номер патента: US20190157149A1. Автор: Tapily Kandabara. Владелец: . Дата публикации: 2019-05-23.

Self-aligned via structures with barrier layers

Номер патента: US11251118B2. Автор: Chieh-Han Wu,Cheng-Hsiung Tsai,Chung-Ju Lee,Chih Wei Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-02-15.

Self-aligned via process flow

Номер патента: US20160141206A1. Автор: Guillaume Bouche,Andy C. Wei,Sudharshanan Raghunthathan. Владелец: Globalfoundries Inc. Дата публикации: 2016-05-19.

Buried power rails with self-aligned vias to trench contacts

Номер патента: US12094822B2. Автор: Guillaume Bouche,Andy Chih-Hung Wei,Changyok Park. Владелец: Intel Corp. Дата публикации: 2024-09-17.

The method and apparatus for forming autoregistration cap

Номер патента: CN107968069A. Автор: B.博亚诺夫,K.J.辛格. Владелец: Intel Corp. Дата публикации: 2018-04-27.

Hybrid interconnect structure for self aligned via

Номер патента: US20230335486A1. Автор: Ming-Han Lee,Shau-Lin Shue,Shin-Yi Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-19.

Hybrid interconnect structure for self aligned via

Номер патента: US20220319984A1. Автор: Ming-Han Lee,Shau-Lin Shue,Shin-Yi Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-10-06.

Method For Creating A Fully Self-Aligned Via

Номер патента: US20190355620A1. Автор: Freed Regina,Mitra Uday,Natarajan Sanjay. Владелец: . Дата публикации: 2019-11-21.

Semiconductor device with spacers for self aligned vias

Номер патента: US20240297077A1. Автор: Chia-Tien Wu,Hsin-Ping Chen,Pokuan Ho. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-05.

SELF-ALIGNED VIA INTERCONNECT USING RELAXED PATTERNING EXPOSURE

Номер патента: US20140015135A1. Автор: Moroz Victor,Rieger Michael L.. Владелец: Synopsys, Inc.. Дата публикации: 2014-01-16.

SELF-ALIGNED VIA PROCESS FLOW

Номер патента: US20170004999A1. Автор: Bouche Guillaume,Wei Andy C.,Raghunthathan Sudharshanan. Владелец: . Дата публикации: 2017-01-05.

FULLY SELF-ALIGNED VIA WITH SELECTIVE BILAYER DIELECTRIC REGROWTH

Номер патента: US20200006140A1. Автор: Smith Jeffrey,Tapily Kandabara. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2020-01-02.

Interconnect structures including self aligned vias

Номер патента: US20220093453A1. Автор: Chih-Chao Yang,Terry A. Spooner,Shyng-Tsong Chen,Koichi Motoyama. Владелец: International Business Machines Corp. Дата публикации: 2022-03-24.

Self-Aligned Via Structures and Methods

Номер патента: US20210082804A1. Автор: Lee Chung-Ju,Tsai Cheng-Hsiung,Wu Chieh-Han,Lu Chih Wei. Владелец: . Дата публикации: 2021-03-18.

Self-aligned vias formed using sacrificial metal caps

Номер патента: US20150091181A1. Автор: Chih-Chao Yang,Juntao Li,Yunpeng Yin. Владелец: International Business Machines Corp. Дата публикации: 2015-04-02.

INTERCONNECT STRUCTURES INCLUDING SELF ALIGNED VIAS

Номер патента: US20210090942A1. Автор: Yang Chih-Chao,Chen Shyng-Tsong,Spooner Terry A.,Motoyama Koichi. Владелец: . Дата публикации: 2021-03-25.

FULLY SELF-ALIGNED VIA

Номер патента: US20200098633A1. Автор: ZHANG YING,Ingle Nitin K.,Hwang Ho-Yung David,Mallick Abhijit Basu,Freed Regina,Mitra Uday. Владелец: Micromaterials LLC. Дата публикации: 2020-03-26.

SEMICONDUCTOR DEVICE WITH SPACERS FOR SELF ALIGNED VIAS

Номер патента: US20220181207A1. Автор: WU Chia-Tien,Chen Hsin-Ping,Ho Pokuan. Владелец: . Дата публикации: 2022-06-09.

ANTI-FUSE WITH SELF ALIGNED VIA PATTERNING

Номер патента: US20200118927A1. Автор: Shu Jiehui,ZHANG Xiaoqiang,Ning Guoxiang. Владелец: . Дата публикации: 2020-04-16.

SELF-ALIGNED VIA PROCESS FLOW

Номер патента: US20160141206A1. Автор: Bouche Guillaume,Wei Andy C.,Raghunthathan Sudharshanan. Владелец: . Дата публикации: 2016-05-19.

FINFET DEVICES WITH BACKSIDE POWER RAIL AND BACKSIDE SELF-ALIGNED VIA

Номер патента: US20220310841A1. Автор: Wang Chih-hao,Ju Shi Ning,Cheng Kuan-Lun,Chiang Kuo-Cheng. Владелец: . Дата публикации: 2022-09-29.

Fully Self-Aligned Via

Номер патента: US20190189512A1. Автор: ZHANG YING,Ingle Nitin K.,Hwang Ho-Yung David,Mallick Abhijit Basu,Freed Regina,Mitra Uday. Владелец: . Дата публикации: 2019-06-20.

Self-Aligned Via and Plug Patterning for Back End of Line (BEOL) Interconnects

Номер патента: US20170221810A1. Автор: Charles H. Wallace,Paul A. Nyhus. Владелец: Intel Corp. Дата публикации: 2017-08-03.

FULLY SELF-ALIGNED VIA WITH SELECTIVE BILAYER DIELECTRIC REGROWTH

Номер патента: US20210249305A1. Автор: Smith Jeffrey,Tapily Kandabara. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2021-08-12.

SELF-ALIGNED VIA AND PLUG PATTERNING FOR BACK END OF LINE (BEOL) INTERCONNECTS

Номер патента: US20190252208A1. Автор: Wallace Charles H.,NYHUS Paul A.. Владелец: . Дата публикации: 2019-08-15.

DOUBLE SELF ALIGNED VIA PATTERNING

Номер патента: US20140363969A1. Автор: Yin Yunpeng,Chen Hsueh-Chung,Xu Yongan,ZHAO Ailian. Владелец: . Дата публикации: 2014-12-11.

Self-Aligned Via Interconnect Using Relaxed Patterning Exposure

Номер патента: US20140367855A1. Автор: Moroz Victor,Rieger Michael L.. Владелец: . Дата публикации: 2014-12-18.

Fully Self-Aligned Via

Номер патента: US20180286749A1. Автор: ZHANG YING,Ingle Nitin K.,Mallick Abhijit Basu,Hwang Ho-yung,Freed Regina,Mitra Uday. Владелец: . Дата публикации: 2018-10-04.

2d self-aligned via first process flow

Номер патента: US20160329278A1. Автор: Guillaume Bouche,Sudharshanan RAGHUNATHAN,Andy Wei. Владелец: Globalfoundries Inc. Дата публикации: 2016-11-10.

SELF-ALIGNED VIA

Номер патента: US20190311984A1. Автор: BLACKWELL JAMES M.,HOURANI Rami,BRISTOL Robert L.,LIN Kevin L.. Владелец: Intel Corporation. Дата публикации: 2019-10-10.

TRIBLOCK COPOLYMERS FOR SELF-ALIGNING VIAS OR CONTACTS

Номер патента: US20180323104A1. Автор: BLACKWELL JAMES M.,HAN Eungnak,YOUNKIN Todd R.,HARLSON Shane M.. Владелец: Intel Corporation. Дата публикации: 2018-11-08.

DOUBLE SELF ALIGNED VIA PATTERNING

Номер патента: US20150371896A1. Автор: Yin Yunpeng,Chen Hsueh-Chung,Xu Yongan,ZHAO Ailian. Владелец: . Дата публикации: 2015-12-24.

Fully Self-Aligned Via

Номер патента: US20190348323A1. Автор: ZHANG YING,Ingle Nitin K.,Mallick Abhijit Basu,Hwang Ho-yung,Freed Regina,Mitra Uday. Владелец: . Дата публикации: 2019-11-14.

INTERCONNECT STRUCTURE WITH FULLY SELF-ALIGNED VIA PATTERN FORMATION

Номер патента: US20190385910A1. Автор: Yang Chih-Chao,LAI Kafai. Владелец: . Дата публикации: 2019-12-19.

SELF-ALIGNED CUT PROCESS FOR SELF-ALIGNED VIA PROCESS WINDOW

Номер патента: US20200402852A1. Автор: Yang Chih-Chao,Cheng Kangguo,Guo Jing,Xie Ruilong. Владелец: . Дата публикации: 2020-12-24.

Self-aligned via structure and process for producing the same

Номер патента: TW345720B. Автор: Ming-Lun Zhang. Владелец: Winbond Electronics Corp. Дата публикации: 1998-11-21.

Self-aligned vias in an integrated circuit structure

Номер патента: US6787875B2. Автор: Paul M. Gillespie,Kenneth D. Brennan. Владелец: Texas Instruments Inc. Дата публикации: 2004-09-07.

Self-aligned via and plug patterning for back end of line (BEOL) interconnects

Номер патента: US10991599B2. Автор: Charles H. Wallace,Paul A. Nyhus. Владелец: Intel Corp. Дата публикации: 2021-04-27.

Method of etching self-aligned vias to metal using a silicon nitride spacer

Номер патента: US6287952B1. Автор: Edward Belden Harris. Владелец: Agere Systems Guardian Corp. Дата публикации: 2001-09-11.

Air gap dielectric in self-aligned via structures

Номер патента: US6281585B1. Автор: Subhas Bothra. Владелец: Philips Electronics North America Corp. Дата публикации: 2001-08-28.

Self-aligned via and plug patterning for back end of line (beol) interconnects

Номер патента: EP3050085A4. Автор: Charles H. Wallace,Paul A. Nyhus. Владелец: Intel Corp. Дата публикации: 2017-05-24.

Interconnect structure with fully self-aligned via pattern formation

Номер патента: US10727123B2. Автор: Chih-Chao Yang,Kafai Lai. Владелец: International Business Machines Corp. Дата публикации: 2020-07-28.

Self-aligned via

Номер патента: CN110024103B. Автор: R.L.布里斯托尔,J.M.布莱克维尔,K.L.林,R.霍拉尼. Владелец: Intel Corp. Дата публикации: 2023-06-30.

Semiconductor device with spacers for self aligned vias

Номер патента: US20220181207A1. Автор: Chia-Tien Wu,Hsin-Ping Chen,Pokuan Ho. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-06-09.

Semiconductor device with spacers for self aligned vias

Номер патента: US20210134672A1. Автор: Chia-Tien Wu,Hsin-Ping Chen,Pokuan Ho. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-05-06.

Semiconductor device with spacers for self aligned vias

Номер патента: US11984359B2. Автор: Chia-Tien Wu,Hsin-Ping Chen,Pokuan Ho. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-14.

System and method for manufacturing contact

Номер патента: US8828867B2. Автор: TAO Han,Jianguo Fan. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2014-09-09.

System and method for manufacturing contact

Номер патента: US20080138981A1. Автор: TAO Han,Jianguo Fan. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2008-06-12.

Method for forming semiconductor device structure

Номер патента: US09842768B2. Автор: Chih-Lin Wang,Kang-Min Kuo,Shu-Cheng Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-12-12.

Method of forming self-aligned silicide layers on semiconductor devices

Номер патента: US20020182860A1. Автор: Jerry Lin,Cheng-Kuo Yuan,Chi-Wei Chou. Владелец: SILICON INTEGRATED SYSTEMS CORP. Дата публикации: 2002-12-05.

METHOD FOR FORMING SELF-ALIGNED ISOLATION TRENCHES IN SEMICONDUCTOR SUBSTRATE AND SEMICONDUCTOR DEVICE

Номер патента: US20150171162A1. Автор: LEE TZUNG-HAN,Hu Yaw-Wen. Владелец: INOTERA MEMORIES, INC.. Дата публикации: 2015-06-18.

Method for forming self-aligned trench

Номер патента: CN113488430A. Автор: 杨军. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2021-10-08.

Apparatus and method for forming self-aligned trench isolation

Номер патента: WO1988004106A1. Автор: Bing Yeh,Daniel Charles Guterman. Владелец: Xicor, Inc.. Дата публикации: 1988-06-02.

Process for forming self-aligned dielectric isolation

Номер патента: EP0062170A2. Автор: Klaus Dietrich Beyer,Joseph Skinner Logan. Владелец: International Business Machines Corp. Дата публикации: 1982-10-13.

Process for forming self-aligned dielectric isolation

Номер патента: EP0062170B1. Автор: Klaus Dietrich Beyer,Joseph Skinner Logan. Владелец: International Business Machines Corp. Дата публикации: 1988-12-07.

The method and apparatus for forming silicon oxide film

Номер патента: CN109509698A. Автор: 菱屋晋吾,高京硕,岛裕巳,木镰英司. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-03-22.

Self-Aligned Via and Plug Patterning for Back End of Line (BEOL) Interconnects

Номер патента: US20160204002A1. Автор: Wallace Charles H.,NYHUS Paul A.. Владелец: Intel Corporation. Дата публикации: 2016-07-14.

Semiconductor device and method for forming the same

Номер патента: US09786593B1. Автор: Po-Chun Lin. Владелец: Nanya Technology Corp. Дата публикации: 2017-10-10.

Method for forming fin field effect transistor device structure

Номер патента: US12107012B2. Автор: Yi-Hsun CHIU,Yi-Hsiung Lin,Shang-Wen Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-01.

Semiconductor device and method for manufacturing same

Номер патента: US20150364427A1. Автор: Takashi KANSAKU. Владелец: Longitude Semiconductor SARL. Дата публикации: 2015-12-17.

Semiconductor memory structure and method for forming the same

Номер патента: US11521975B2. Автор: Ying-Chu YEN. Владелец: Winbond Electronics Corp. Дата публикации: 2022-12-06.

Method for forming contact window

Номер патента: US20010046782A1. Автор: Chien-Li Kuo,Wei-Wu Liao. Владелец: Individual. Дата публикации: 2001-11-29.

Method for forming hybrid bonding with through substrate via (tsv)

Номер патента: US20180005977A1. Автор: Jing-Cheng Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-04.

Method For Forming Semiconductor Structure And A Semiconductor

Номер патента: US20240268104A1. Автор: Chih-Cheng Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-08-08.

Methods for forming semiconductor structures and semiconductor structures

Номер патента: US12051618B2. Автор: Yuchen Wang,Nianwang YANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-30.

Digital Isolator Structure and Method for Forming the Same

Номер патента: US20230420496A1. Автор: Hongxu Yang,Hualun CHEN,Hongfeng JIN. Владелец: Hua Hong Semiconductor Wuxi Co Ltd. Дата публикации: 2023-12-28.

Semiconductor device and method for forming semiconductor device

Номер патента: US12100657B2. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Semiconductor structure and method for forming same

Номер патента: US12125749B2. Автор: Yuanhao Gao. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-10-22.

Method for forming semiconductor device structure

Номер патента: US09425087B1. Автор: Che-Cheng Chang,Wei-Ting Chen,Wei-Yin Shiao,Tai-Shin Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-23.

Methods of forming self aligned transistor structure having polycrystalline contacts

Номер патента: US3847687A. Автор: U Davidsohn,A Ajamie. Владелец: Motorola Inc. Дата публикации: 1974-11-12.

Method of forming self-aligned silicides

Номер патента: US20060121708A1. Автор: Steven Huang,Yei-Hsiung Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2006-06-08.

Method for forming self-aligned double pattern and semiconductor structures

Номер патента: US12100593B2. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Method for forming self-aligned double pattern, and semiconductor structure

Номер патента: EP4181172A1. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-05-17.

Methods of forming self-aligned contacts on FinFET devices

Номер патента: US09627274B1. Автор: Huang Liu,Xintuo Dai,Jinping Liu,Haifeng Sheng. Владелец: Globalfoundries Inc. Дата публикации: 2017-04-18.

Method to form self-aligned high density nanocrystals

Номер патента: US20160071943A1. Автор: Sung-taeg Kang,Euhngi Lee. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2016-03-10.

Method for forming semiconductor device structure

Номер патента: US09799665B2. Автор: Shih-Chang Liu,Chang-Ming Wu,Tsung-Hsueh Yang,Chung-Chiang Min. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-24.

Method for forming flash memory devices

Номер патента: US09431405B2. Автор: XINPENG WANG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-08-30.

Method for forming metal wires in semiconductor device

Номер патента: US20050233579A1. Автор: Ihl Cho. Владелец: Individual. Дата публикации: 2005-10-20.

Method for forming self-aligned, dual silicon nitride liner for cmos devices

Номер патента: WO2006093730A1. Автор: Haining Yang,Thomas W. Dyer. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2006-09-08.

Method for forming self-aligned, dual silicon nitride liner for cmos devices

Номер патента: EP1856726A1. Автор: Haining Yang,Thomas W. Dyer. Владелец: International Business Machines Corp. Дата публикации: 2007-11-21.

Methods of forming self aligned spacers for nanowire device structures

Номер патента: EP3394898A1. Автор: Anand Murthy,Karthik Jambunathan,Seiyon Kim,Jun Sung Kang,Glenn Glass. Владелец: Intel Corp. Дата публикации: 2018-10-31.

Method for forming silicon dots

Номер патента: US20100260944A1. Автор: Hirokazu Kaki,Eiji Takahashi,Atsushi Tomyo. Владелец: Nissin Electric Co Ltd. Дата публикации: 2010-10-14.

Method for forming monocrystalline diamond film

Номер патента: EP4442867A1. Автор: Weifeng Qu,Shizuo Igawa,Ken Sunakawa. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-10-09.

METHOD TO FORM SELF-ALIGNED HIGH DENSITY NANOCRYSTALS

Номер патента: US20160071943A1. Автор: Kang Sung-Taeg,LEE Euhngi. Владелец: Freescale Semiconductor, Inc.. Дата публикации: 2016-03-10.

Method of forming self aligned grids in BSI image sensor

Номер патента: US11776985B2. Автор: Jiech-Fun Lu,Shih-Pei Chou,Tsun-Kai Tsao,Wei Chuang Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-03.

Method for forming self-aligned double pattern, and semiconductor structure

Номер патента: EP4181172A4. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-12-27.

Method for forming an absorber layer of a thin film solar cell

Номер патента: US8569101B2. Автор: Tadahiro Kubota,Daisuke Okamura,Katustoshi Nosaki. Владелец: Honda Motor Co Ltd. Дата публикации: 2013-10-29.

RECESSING RMG METAL GATE STACK FOR FORMING SELF-ALIGNED CONTACT

Номер патента: US20160149015A1. Автор: Cheng Kangguo,Khakifirooz Ali,Xie Ruilong,Cai Xiuyu. Владелец: . Дата публикации: 2016-05-26.

FORMING SELF-ALIGNED NiSi PLACEMENT WITH IMPROVED PERFORMANCE AND YIELD

Номер патента: US20160163702A1. Автор: WANG Xin,HU Yue,Wu Xusheng,Lee Yong Meng,ZHAO Lun,PENG Wen-Pin,TONG Wei-Hua. Владелец: . Дата публикации: 2016-06-09.

Method of forming self aligned double pattern

Номер патента: KR100714305B1. Автор: 홍창기,윤보언,최재광,권병호,박준상,윤세라. Владелец: 삼성전자주식회사. Дата публикации: 2007-05-02.

Method of forming self-aligned thin film transistor

Номер патента: CA2228037A1. Автор: James F. Farrell. Владелец: Individual. Дата публикации: 1997-02-13.

Method to form self aligned, L-shaped sidewall spacers

Номер патента: EP1164636B1. Автор: Gupta Subhash,Pradeep Yelehanka,Chhagan Vijai. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2007-12-19.

Method of forming self align silicide in semiconductor device

Номер патента: KR100953489B1. Автор: 강양범. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2010-04-19.

Method for forming self-aligned wells to support tight spacing

Номер патента: US20090042377A1. Автор: Seetharaman Sridhar. Владелец: Texas Instruments Inc. Дата публикации: 2009-02-12.

Method for forming self-aligned wells to support tight spacing

Номер патента: WO2009021187A3. Автор: Seetharaman Sridhar. Владелец: Seetharaman Sridhar. Дата публикации: 2009-04-09.

Method for forming self-aligned wells to support tight spacing

Номер патента: WO2009021187A2. Автор: Seetharaman Sridhar. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2009-02-12.

Method for forming self-aligned, dual silicon nitride liner for CMOS devices

Номер патента: TW200710993A. Автор: Thomas W Dyer,hai-ning Yang. Владелец: Ibm. Дата публикации: 2007-03-16.

Method for forming self-aligned silicide layers on sub-quarter micron VLSI circuits

Номер патента: US6100191A. Автор: Jiun-Yuan Wu,Water Lur,Tony Lin,Hsiao-Lin Lu. Владелец: United Microelectronics Corp. Дата публикации: 2000-08-08.

Methods Of Forming Self-Aligned Vias And Air Gaps

Номер патента: US20190348368A1. Автор: GOPALRAJA Praburam,Mallick Abhijit Basu,Duan Ziqing,Roy Susmit Singha. Владелец: . Дата публикации: 2019-11-14.

Method for forming self-aligned double-layer pattern

Номер патента: CN110459465B. Автор: 张志刚,徐灵芝,陆神洲. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2022-03-04.

Method for forming self-aligned contact of semiconductor device

Номер патента: KR940016879A. Автор: 박해성. Владелец: 현대전자산업 주식회사. Дата публикации: 1994-07-25.

Method for Forming Self-Aligned Silcide of Semiconductor Device

Номер патента: KR100628253B1. Автор: 심규철,이완규. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2006-09-27.

Method for forming self-align silicide in semiconductor device

Номер патента: KR100271948B1. Автор: 이응준,서태욱,이수근,구자흠,김철성,정주혁. Владелец: 윤종용. Дата публикации: 2000-11-15.

A bicmos process for forming self-aligned npn emitters and bases and mosfet/source drains

Номер патента: EP0293731A3. Автор: Robert H. Havemann. Владелец: Texas Instruments Inc. Дата публикации: 1989-09-27.

Method of forming self-aligned silicides

Номер патента: TWI310211B. Автор: Steven Huang,Yeihsiung Lin. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2009-05-21.

Method and structure of forming self-aligned RMG gate for VFET

Номер патента: US09780208B1. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2017-10-03.

Radio frequency (rf) amplifier device on silicon-on-insulator (soi) and method for fabricating thereof

Номер патента: US20210375941A1. Автор: Timothy Lee. Владелец: Metamos Solutions. Дата публикации: 2021-12-02.

Low loss power device and method for fabricating thereof

Номер патента: US20210376146A1. Автор: Timothy Lee. Владелец: Metamos Solutions. Дата публикации: 2021-12-02.

Low loss power device and method for fabricating thereof

Номер патента: EP3916799A1. Автор: Timothy Lee. Владелец: Metamos Solutions Inc. Дата публикации: 2021-12-01.

Radio frequency (rf) switch device on silicon-on-insulator (soi) and method for fabricating thereof

Номер патента: EP3916798A1. Автор: Timothy Lee. Владелец: Metamos Solutions Inc. Дата публикации: 2021-12-01.

Radio frequency (rf) switch device on silicon-on-insulator (soi) and method for fabricating thereof

Номер патента: US20210376148A1. Автор: Timothy Lee. Владелец: Metamos Solutions. Дата публикации: 2021-12-02.

Method and structure of forming self-aligned rmg gate for vfet

Номер патента: US20180019337A1. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2018-01-18.

STRUCTURE AND METHOD OF FORMING SELF ALIGNED CONTACTS IN SEMICONDUCTOR DEVICE

Номер патента: US20190181047A1. Автор: Sung Min Gyu. Владелец: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.. Дата публикации: 2019-06-13.

USING SELECTIVELY FORMED CAP LAYERS TO FORM SELF-ALIGNED CONTACTS TO SOURCE/DRAIN REGIONS

Номер патента: US20210280690A1. Автор: Cheng Kangguo,Xie Ruilong,Park Chanro,Lee Choonghyun. Владелец: . Дата публикации: 2021-09-09.

Method to form self-aligned silicide with reduced sheet resistance

Номер патента: US6509264B1. Автор: Weining Li,Yung Tao Lin. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2003-01-21.

A method to form self-aligned silicide with reduced sheet resistance

Номер патента: SG107556A1. Автор: Yung Tao Lin,Wei Ning Li. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2004-12-29.

Method of forming self-aligned contact of semiconductor device

Номер патента: KR100245136B1. Автор: 김정호,김승준,이동덕,김일욱. Владелец: 현대전자산업주식회사. Дата публикации: 2000-03-02.

Method of forming self-aligned silicides

Номер патента: TW200620405A. Автор: Steven Huang,Yei-Hsiung Lin. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2006-06-16.

Package substrate with dual damascene based self-aligned vias

Номер патента: US20240128181A1. Автор: Hiroki Tanaka,Srinivas V. Pietambaram,Brandon C. MARIN,Haobo Chen,Jeremy ECTON. Владелец: Intel Corp. Дата публикации: 2024-04-18.

METHOD OF SELECTIVE DEPOSITION FOR FORMING FULLY SELF-ALIGNED VIAS

Номер патента: US20190164749A1. Автор: Tapily Kandabara. Владелец: . Дата публикации: 2019-05-30.

Method for Forming Mixed Substrate

Номер патента: US20240186140A1. Автор: Tao Wang. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2024-06-06.

Method for forming semiconductor structure and semiconductor structure

Номер патента: US12094723B2. Автор: Yuejiao Shu,Ming-Pu Tsai. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-17.

Method for forming deposition film

Номер патента: EP4443478A1. Автор: Daisuke Sato,Yuki Oka,Moe TANIWAKI. Владелец: Resonac Corp. Дата публикации: 2024-10-09.

Method for producing a composition for forming an organic film

Номер патента: US10047244B2. Автор: Tsutomu Ogihara,Motoaki Iwabuchi,Daisuke Kori. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2018-08-14.

Method for forming gate oxide layer in semiconductor device

Номер патента: US20050142770A1. Автор: Yong Choi. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Method for forming semiconductor device structure with gate

Номер патента: US20160190013A1. Автор: Po-Chi WU,Chai-Wei Chang,Wen-Han Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-06-30.

Cleaning liquid for lithography and method for forming wiring

Номер патента: US09920286B2. Автор: Tomoya Kumagai,Takahiro Eto. Владелец: Tokyo Ohka Kogyo Co Ltd. Дата публикации: 2018-03-20.

Method for forming semiconductor device structure with gate

Номер патента: US09799565B2. Автор: Po-Chi WU,Chai-Wei Chang,Wen-Han Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-24.

Methods for gate formation in circuit structures

Номер патента: US09640402B1. Автор: Xintuo Dai,Jiong Li. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-02.

Method for forming semiconductor nanowire transistors

Номер патента: US09520484B2. Автор: ZHONGSHAN Hong. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-12-13.

Method for forming an electrically conductive oxide film, an electrically conductive oxide film, and uses for the same

Номер патента: US09892814B2. Автор: Jarmo Maula. Владелец: BENEQ OY. Дата публикации: 2018-02-13.

Method of forming self-aligned top gate channel barrier region in ion-implanted JFET

Номер патента: US5120669A. Автор: Gregory A. Schrantz. Владелец: HARRIS CORP. Дата публикации: 1992-06-09.

Method for forming self-aligned Schottky junctions for semiconductor devices

Номер патента: JP5001295B2. Автор: ミュラー マーカス. Владелец: NXP BV. Дата публикации: 2012-08-15.

METHOD FOR FORMING SELF-ALIGNED AIRGAP INTERCONNECT STRUCTURES

Номер патента: US20150054122A1. Автор: Lin Qinghuang,Fletcher Benjamin L.,Cabral Cyril. Владелец: . Дата публикации: 2015-02-26.

method for forming self aligned field effect transistor structure

Номер патента: KR101070408B1. Автор: 백규하,도이미. Владелец: 한국전자통신연구원. Дата публикации: 2011-10-06.

Cover, display device, and method for manufacturing display device

Номер патента: US20210405432A1. Автор: Tao Song,Pengfei Yu. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2021-12-30.

RECESSING RMG METAL GATE STACK FOR FORMING SELF-ALIGNED CONTACT

Номер патента: US20160372576A1. Автор: Cheng Kangguo,Khakifirooz Ali,Xie Ruilong,Cai Xiuyu. Владелец: . Дата публикации: 2016-12-22.

Method for forming structure for reducing noise in cmos image sensors

Номер патента: US20130023083A1. Автор: Tsung-Yi Lin,Tien-Chi Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-01-24.

Method for forming structure for reducing noise in cmos image sensors

Номер патента: US20140252525A1. Автор: Tsung-Yi Lin,Tien-Chi Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-09-11.

Method for forming structure for reducing noise in CMOS image sensors

Номер патента: US9263486B2. Автор: Tsung-Yi Lin,Tien-Chi Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-02-16.

Methods of forming self aligned spacers for nanowire device structures

Номер патента: EP3394898B1. Автор: Anand Murthy,Karthik Jambunathan,Seiyon Kim,Jun Sung Kang,Glenn Glass. Владелец: Intel Corp. Дата публикации: 2023-09-20.

FORMING SELF-ALIGNED CONDUCTIVE LINES FOR RESISTIVE RANDOM ACCESS MEMORIES

Номер патента: US20160028002A1. Автор: Tortorelli Innocenzo,Petruzza Pietro,Pellizzer Fabio. Владелец: . Дата публикации: 2016-01-28.

METHOD OF FORMING SELF ALIGNED GRIDS IN BSI IMAGE SENSOR

Номер патента: US20200135798A1. Автор: Lu Jiech-Fun,Tsao Tsun-Kai,Wu Wei Chuang,Chou Shih-Pei. Владелец: . Дата публикации: 2020-04-30.

Method of Forming Self-Alignment Contact

Номер патента: US20170170292A1. Автор: HSU Hung-Chang,Jang Syun-Ming,Lin Sheng-Hsuan,SU Hung-Wen,Lee Ya-Lien,LIN Rueijer,TSAI Ming-Hsing,Liu Hsiao-Ping,Kao Yen-Shou. Владелец: . Дата публикации: 2017-06-15.

METHOD OF FORMING SELF ALIGNED GRIDS IN BSI IMAGE SENSOR

Номер патента: US20210280630A1. Автор: Lu Jiech-Fun,Tsao Tsun-Kai,Wu Wei Chuang,Chou Shih-Pei. Владелец: . Дата публикации: 2021-09-09.

METHODS AND APPARATUSES TO FORM SELF-ALIGNED CAPS

Номер патента: US20150270224A1. Автор: Singh Kanwal Jit,Boyanov Boyan. Владелец: . Дата публикации: 2015-09-24.

FORMING SELF-ALIGNED CONTACTS ON PILLAR STRUCTURES

Номер патента: US20180261649A1. Автор: "OSullivan Eugene J.",EDELSTEIN Daniel C.,Utomo Henry K.,Annunziata Anthony J.. Владелец: . Дата публикации: 2018-09-13.

FORMING SELF-ALIGNED CONDUCTIVE LINES FOR RESISTIVE RANDOM ACCESS MEMORIES

Номер патента: US20160293842A1. Автор: Tortorelli Innocenzo,Petruzza Pietro,Pellizzer Fabio. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2016-10-06.

FORMING SELF-ALIGNED CONTACTS ON PILLAR STRUCTURES

Номер патента: US20180308897A1. Автор: "OSullivan Eugene J.",EDELSTEIN Daniel C.,Utomo Henry K.,Annunziata Anthony J.. Владелец: . Дата публикации: 2018-10-25.

FORMING SELF-ALIGNED CONTACTS ON PILLAR STRUCTURES

Номер патента: US20180308898A1. Автор: "OSullivan Eugene J.",EDELSTEIN Daniel C.,Utomo Henry K.,Annunziata Anthony J.. Владелец: . Дата публикации: 2018-10-25.

METHODS OF FORMING SELF ALIGNED SPACERS FOR NANOWIRE DEVICE STRUCTURES

Номер патента: US20180358436A1. Автор: Murthy Anand,Kim Seiyon,JAMBUNATHAN KARTHIK,KANG Jun Sung,GLASS Glenn. Владелец: Intel Corporation. Дата публикации: 2018-12-13.

Method of forming self-aligned poly for embedded flash

Номер патента: US20050127435A1. Автор: Han-Ping Chen,Chung-Yi Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2005-06-16.

Method of forming self-aligned poly for embedded flash

Номер патента: US7153744B2. Автор: Han-Ping Chen,Chung-Yi Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2006-12-26.

Method of forming self-aligning contacting hole

Номер патента: JPS60216582A. Автор: シエン テン スウ. Владелец: RCA Corp. Дата публикации: 1985-10-30.

Method of forming self-aligned stacked capacitor

Номер патента: US20020022321A1. Автор: Ching-ming Lee,Wunn-Shien Liao,Ky Yang. Владелец: United Microelectronics Corp. Дата публикации: 2002-02-21.

SELF-ALIGNED VIA FOR GATE CONTACT OF SEMICONDUCTOR DEVICES

Номер патента: US20160005822A1. Автор: Wang Zhongze,Yeap Choh Fei,SONG Stanley Seungchul,RIM Kern. Владелец: . Дата публикации: 2016-01-07.

SELF ALIGNED VIA FUSE

Номер патента: US20160104677A1. Автор: Bao Junjing,Choi Samuel S.,Li Wai-Kin. Владелец: . Дата публикации: 2016-04-14.

SELF-ALIGNED VIA INTERCONNECT STRUCTURES

Номер патента: US20160197038A1. Автор: Radens Carl J.,BACKES Benjamin C.,COHEN Brian A.,NAG Joyeeta. Владелец: . Дата публикации: 2016-07-07.

SELF-ALIGNED VIA AND AIR GAP

Номер патента: US20160260666A1. Автор: Wei Andy Chih-Hung,Zaleski Mark A.. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2016-09-08.

SEMICONDUCTOR DEVICES WITH BACKSIDE POWER RAIL AND BACKSIDE SELF-ALIGNED VIA

Номер патента: US20210305381A1. Автор: Wang Chih-hao,Ju Shi Ning,Chuang Cheng-Chi,Cheng Kuan-Lun,Chiang Kuo-Cheng. Владелец: . Дата публикации: 2021-09-30.

High density memory array with self-aligned via

Номер патента: WO2017052586A1. Автор: Yih Wang,Kevin J. Lee. Владелец: Intel Corporation. Дата публикации: 2017-03-30.

Method for manufacturing semiconductor device

Номер патента: US20150179528A1. Автор: Shukun Yu,Qingsong WEI. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2015-06-25.

Method for fabricating semiconductor devices

Номер патента: US20020106863A1. Автор: In-Chul Jung,Seung-Hoon Sa. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-08-08.

Semiconductor device structure and method for forming the same

Номер патента: US20210313449A1. Автор: Pinyen Lin,Li-Te Lin,Han-Yu Lin,Tze-Chung Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-10-07.

Method for forming semiconductor structure with etched fin structure

Номер патента: US09659766B2. Автор: Shiang-Bau Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-23.

Method for forming semiconductor structure

Номер патента: US12034061B2. Автор: Yee-Chia Yeo,Chien-Wei Lee,Hsueh-Chang Sung,Yen-Ru LEE. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Methods and apparatus for forming resist pattern using EUV light with electric field

Номер патента: US11754925B2. Автор: Bu Geun KI. Владелец: SK hynix Inc. Дата публикации: 2023-09-12.

Methods and apparatus for forming resist pattern using euv light with electric field

Номер патента: US20220244646A1. Автор: Bu Geun KI. Владелец: SK hynix Inc. Дата публикации: 2022-08-04.

Method for forming conductive patterns using microwave

Номер патента: US20110185934A1. Автор: Jung-Ho Park,Joon-Hyung Kim. Владелец: LG Chem Ltd. Дата публикации: 2011-08-04.

Method for forming semiconductor device having epitaxial channel layer using laser treatment

Номер патента: US20020001890A1. Автор: Jung-Ho Lee. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2002-01-03.

Transistor and method for forming the same

Номер патента: US20120104486A1. Автор: Huilong Zhu,Haizhou Yin,Zhijong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-05-03.

Method for forming package structure

Номер патента: US12094819B2. Автор: Shin-puu Jeng,Yi-Wen WU,Po-Hao Tsai,Po-Yao Chuang,Meng-Liang Lin,Techi WONG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-17.

FinFET and method for forming the same

Номер патента: US09893182B2. Автор: YONG Li. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-02-13.

Display panel and method for forming micro component support

Номер патента: US10403493B2. Автор: Yi-Cheng Liu,Chin-Yuan Ho. Владелец: AU OPTRONICS CORP. Дата публикации: 2019-09-03.

Display panel and method for forming micro component support

Номер патента: US20180366320A1. Автор: Yi-Cheng Liu,Chin-Yuan Ho. Владелец: AU OPTRONICS CORP. Дата публикации: 2018-12-20.

Method for forming a package-on-package structure

Номер патента: US20100032847A1. Автор: Vincent Ho,Asri bin Yusof. Владелец: EEMS Asia Pte Ltd. Дата публикации: 2010-02-11.

Semiconductor structure and method for forming the same

Номер патента: US20240371864A1. Автор: Chih-Yang Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-07.

LTPS array substrate and method for producing the same

Номер патента: US09893096B2. Автор: Peng Du,Cong Wang. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-02-13.

Fabrication of self-aligned via holes in polymer thin films

Номер патента: EP2006930A3. Автор: Klaus Dimmler,Siddharth Mohapatra,Patrick H Jenkins. Владелец: Weyerhaeuser Co. Дата публикации: 2011-03-23.

Method for forming a multiple sectional ring

Номер патента: US3562898A. Автор: Leonard D Minutillo. Владелец: Griffiths Electronics Inc. Дата публикации: 1971-02-16.

Method for inspecting via hole of wafer

Номер патента: US20240264091A1. Автор: Dae Hwan Kim,Jae Yeol Lee,Ho Cheol Shin,Seung Gyun Back. Владелец: GOOIL ENGINEERING Co Ltd. Дата публикации: 2024-08-08.

Array substrate and the method for making the same, and display device

Номер патента: US09576989B2. Автор: Wei Qin,Wenqi Li. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2017-02-21.

Method for forming capacitor opening hole, and method for forming memory capacitor

Номер патента: EP4002504A1. Автор: ChihCheng LIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-05-25.

Method for manufacturing ESD device, ESD device and display panel

Номер патента: US09443884B2. Автор: Zhenfei Cai,Zhaohui Hao. Владелец: Beijing BOE Display Technology Co Ltd. Дата публикации: 2016-09-13.

System and method for forming solder bumps

Номер патента: WO2021018466A1. Автор: Jae-Woong Nah,Jeng-Bang Yau,Peter Jerome Sorce,Eric Peter Lewandowski. Владелец: Ibm United Kingdom Limited. Дата публикации: 2021-02-04.

Semiconductor device having stacked capacitor and method for forming the same

Номер патента: US20240268094A1. Автор: Yen-Min RUAN. Владелец: Winbond Electronics Corp. Дата публикации: 2024-08-08.

A method for forming a contoured floating gate cell

Номер патента: EP1091392A3. Автор: Yun Chang,Chin-Yi Huang,Chih-Jen Huang,Samuel C. Pan,James Hsu. Владелец: Macronix International Co Ltd. Дата публикации: 2004-12-15.

Semiconductor structure and method for forming the same

Номер патента: US20240258394A1. Автор: Hsin-Che Chiang,Wei-Chih Kao,Jyun-Hong Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-01.

Method for forming semiconductor structures and semiconductor structure

Номер патента: US12089400B2. Автор: Minki HONG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-10.

Method for forming a semiconductor device having nanocrystal

Номер патента: US20120264277A1. Автор: Sung-taeg Kang,Jane A. Yater. Владелец: Individual. Дата публикации: 2012-10-18.

Methods for forming a memory cell having a top oxide spacer

Номер патента: US20120181601A1. Автор: Gang Xue,Kashmir Sahota,Chun Chen,Scott Bell,Wai Lo,Alexander Nickel,Shenqing Fang,Angela Hui. Владелец: Individual. Дата публикации: 2012-07-19.

Method for Manufacturing Image Sensor

Номер патента: US20070148976A1. Автор: Seong Jeong. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-06-28.

Method for Forming a Semiconductor Device

Номер патента: US20230197831A1. Автор: Hans Mertens,Boon Teik CHAN,Eugenio Dentoni Litta. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-06-22.

Method for Forming a Semiconductor Device

Номер патента: US20230197522A1. Автор: Naoto Horiguchi,Julien Ryckaert,Boon Teik CHAN,Anne Vandooren. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-06-22.

Dual deck three-dimensional nand memory and method for forming the same

Номер патента: US20210225866A1. Автор: FENG Lu,Jing Gao,Wenbin Zhou. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2021-07-22.

Methods for forming a memory cell having a top oxide spacer

Номер патента: US20110233647A1. Автор: Gang Xue,Kashmir Sahota,Chun Chen,Scott Bell,Wai Lo,Alexander Nickel,Shenqing Fang,Angela Hui. Владелец: SPANSION LLC. Дата публикации: 2011-09-29.

Methods for forming image sensors

Номер патента: US20230378218A1. Автор: Chia-Ping Lai,Chung-Chuan Tseng,Chiao-Chi Wang,Yeh-Hsun Fang,Szu-Chien Tseng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

Method for manufacturing a semiconductor device

Номер патента: US09806187B2. Автор: Martin Poelzl. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2017-10-31.

Method for forming conductive electrode patterns and method for manufacturing solar cells comprising the same

Номер патента: US09412524B2. Автор: Kyoung Jin Jeong. Владелец: Hyundai Motor Co. Дата публикации: 2016-08-09.

Method for forming negative electrode and method for manufacturing lithium secondary battery

Номер патента: US09680272B2. Автор: Kiyofumi Ogino. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-06-13.

Method for stabilizing a plasma and an improved ionization chamber

Номер патента: US09601320B2. Автор: Marc Mestres,Paul Ceccato. Владелец: Laser Systems and Solutions of Europe SAS. Дата публикации: 2017-03-21.

High-density field emission elements and a method for forming said emission elements

Номер патента: US20090280585A1. Автор: Seong Jin Koh,Gerald W. Gibson, Jr.. Владелец: Agere Systems LLC. Дата публикации: 2009-11-12.

Method for forming channels on diffusion media for a membrane humidifier

Номер патента: US09742014B2. Автор: David A. Martinchek,Jeffrey M. Guzda. Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2017-08-22.

Method for manufacturing multilayer wiring substrate

Номер патента: US09516764B2. Автор: Nobuyuki Yoshida. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2016-12-06.

Method for forming self-aligned thermal isolation cell for a variable resistance memory array

Номер патента: TW200725810A. Автор: Erh-Kun Lai,Chia-Hua Ho,Kuang-Yeu Hsieh. Владелец: Macronix Int Co Ltd. Дата публикации: 2007-07-01.

Method for manufacturing a printed circuit board

Номер патента: EP2405726A3. Автор: Hiroshi Kajio. Владелец: Toshiba Corp. Дата публикации: 2012-08-29.

Method of forming self-aligned mask ROM

Номер патента: US6420235B1. Автор: Ling-Sung Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2002-07-16.

Method for forming resist pattern, printed wiring board obtained by the method, and apparatus for forming the same

Номер патента: JP2865578B2. Автор: 克美 内川. Владелец: Fujitsu Ltd. Дата публикации: 1999-03-08.

Method to form self-aligned split gate flash with L-shaped wordline spacers

Номер патента: US6784039B2. Автор: Chia-Ta Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2004-08-31.

The method and apparatus for forming virtual subdistrict in a wireless communication system

Номер патента: CN103931109B. Автор: 黄必龙. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-07-07.

method for manufacturing the rigid-flexible substrate having the micro via hole

Номер патента: KR100567095B1. Автор: 이병호,명범영,양덕진,이양제,임재옥. Владелец: 삼성전기주식회사. Дата публикации: 2006-03-31.

Method for fabricating multi-layered flexible printed circuit board without via holes

Номер патента: US20080005896A1. Автор: Syh-Tau Yeh,Yao-Ming Chen. Владелец: TeamChem Co. Дата публикации: 2008-01-10.

Self-aligned via contact for magnetic memory element

Номер патента: AU2003212446A1. Автор: Kelly Kyler,Saied N Tehrani,Gregory W Grynkewich,Mark A Durlam,Brian Butcher,John J D'Urso. Владелец: Motorola Inc. Дата публикации: 2003-09-29.

Method of forming self-aligned V-grooves and waveguides

Номер патента: IE913491A1. Автор: Anthony David Welbourn. Владелец: British Telecomm. Дата публикации: 1992-04-22.

Method of forming self-aligned v-grooves and waveguides

Номер патента: IE79653B1. Автор: Anthony David Welbourn. Владелец: British Telecomm. Дата публикации: 1998-05-20.

Method for forming via hole in substrate for flexible printed circuit board

Номер патента: EP1884147A1. Автор: Hideo Yamazaki,Kazuo Satoh. Владелец: 3M Innovative Properties Co. Дата публикации: 2008-02-06.

Method For Forming Via Hole in Substrate For Flexible Printed Circuit Board

Номер патента: US20080210661A1. Автор: Hideo Yamazaki,Kazuo Satoh. Владелец: 3M Innovative Properties Co. Дата публикации: 2008-09-04.

Method for manufacturing a printed circuit board

Номер патента: US09491866B2. Автор: Sang Myung Lee,Yeong Uk Seo,Jin Su Kim,Sung Woon Yoon,Myoung Hwa Nam,Byeong Ho Kim. Владелец: LG Innotek Co Ltd. Дата публикации: 2016-11-08.

Single-cap via-in-pad and methods for forming thereof

Номер патента: US8772647B1. Автор: Chien Te Chen. Владелец: Marvell International Ltd. Дата публикации: 2014-07-08.

Method for forming a semiconductor device with a single-sided buried strap

Номер патента: US20080268590A1. Автор: Neng-Tai Shih,Ming-Cheng Chang. Владелец: Nanya Technology Corp. Дата публикации: 2008-10-30.

Circuit board with a substrate made of silicon and the methods for forming the same

Номер патента: US20190191554A1. Автор: Wen Yao Chang. Владелец: Individual. Дата публикации: 2019-06-20.

Production method for copper-clad laminated sheet

Номер патента: EP1227710A4. Автор: Fujio Kuwako,Tomohiro Ishino. Владелец: Mitsui Mining and Smelting Co Ltd. Дата публикации: 2004-04-14.

Mask ROM, method for fabricating the same, and method for coding the same

Номер патента: US20060194394A1. Автор: Heung Kim. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2006-08-31.

Mask ROM, method for fabricating the same, and method for coding the same

Номер патента: US7645672B2. Автор: Heung Jin Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2010-01-12.

Apparatus and method for forming a resist fine pattern

Номер патента: US20230182488A1. Автор: Jong-Gyun Lee,Seog-Soon Kim,Hee-Jun Han. Владелец: Unijet Co ltd. Дата публикации: 2023-06-15.

Methods for forming porous-surfaced polymeric bodies

Номер патента: CA1319232C. Автор: Eldon Eugene Frisch. Владелец: Dow Corning Corp. Дата публикации: 1993-06-22.

Method for identifying risk of melanoma and treatments thereof

Номер патента: CA2504903A1. Автор: Andreas Braun,Richard B. Roth,Matthew Roberts Nelson,Stefan M. Kammerer. Владелец: Individual. Дата публикации: 2004-05-27.

Method for forming thin film

Номер патента: WO2003041142A1. Автор: Won-Yong Koh,Choon-Soo Lee. Владелец: Genitech Co., Ltd.. Дата публикации: 2003-05-15.

Method for forming thin film

Номер патента: EP1454347A1. Автор: Won-Yong 105-605 Hanul Apt. KOH,Choon-Soo 113-402 Hanmaeul Apt. 200-4 LEE. Владелец: Genitech Co Ltd. Дата публикации: 2004-09-08.

Method for forming high-quality film by cvd process

Номер патента: US20240200187A1. Автор: Dan Li,Tiezhu Xu. Владелец: Piotech Inc. Дата публикации: 2024-06-20.

Method and device for forming cigarette filter rod

Номер патента: US09936728B2. Автор: XU Wang,Ming HOU,Yigang Tong,Yong Si. Владелец: SHANGHAI TABACCO GROUP CO Ltd. Дата публикации: 2018-04-10.

Method for construction of caisson blocks and structure from caisson blocks

Номер патента: RU2710433C1. Автор: Сан Ки КИМ. Владелец: Юджу Ко., Лтд. Дата публикации: 2019-12-26.

Method for forming self-aligned overlay mark

Номер патента: US8664077B2. Автор: David Pratt,Vinay Nair,Christopher Hawk,Richard Housley. Владелец: Nanya Technology Corp. Дата публикации: 2014-03-04.

Method for forming self aligning contact window structure on semiconductor substrate

Номер патента: CN1365137A. Автор: 曾鸿辉. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2002-08-21.

Bimetallic coin and method for producing the same

Номер патента: US5996262A. Автор: Won Hone Kim. Владелец: Poongsan Corp. Дата публикации: 1999-12-07.

Masking frame plating method for forming masking frame plated layer

Номер патента: US6627390B2. Автор: Kochan Ju,Jei-Wei Chang,Yi-Chun Liu,Yining Hu,Xue Hua Wu. Владелец: Headway Technologies Inc. Дата публикации: 2003-09-30.

Method for prediction of chronic kidney disease risk

Номер патента: RU2733471C2. Автор: Андреас БЕРГМАНН,Олле МЕЛАНДЕР. Владелец: Сфинготек Гмбх. Дата публикации: 2020-10-01.

The method and apparatus for forming the panel with hyperbolicity ripple and surface texture

Номер патента: CN108348977A. Автор: 艾伦·利科克. Владелец: Ulster University. Дата публикации: 2018-07-31.

Packing box, blank, the method and apparatus for forming it

Номер патента: CN107848658A. Автор: M·威斯特伦,R·黑格隆德,M·博德尔. Владелец: SCA Forest Products AB. Дата публикации: 2018-03-27.

The method of manufacture for forming nonwoven mat do exploit for rice straw

Номер патента: KR20010090061A. Автор: 최병국. Владелец: 최병국. Дата публикации: 2001-10-18.

The method and apparatus for forming heat-exchange tube

Номер патента: CN103998890B. Автор: S.本达普迪,P.L.克拉维特,J.J.科文顿. Владелец: Carrier Corp. Дата публикации: 2019-07-16.

The method of manufacture for forming nonwoven mat do exploit for polypropelene resin

Номер патента: KR20010099507A. Автор: 최병국. Владелец: 최병국. Дата публикации: 2001-11-09.

METHODOLOGY FOR MODEL-BASED SELF-ALIGNED VIA AWARENESS IN OPTICAL PROXIMITY CORRECTION

Номер патента: US20180247008A1. Автор: Hamouda Ayman. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2018-08-30.

Method for forming glass products

Номер патента: RU2763578C1. Автор: Вячеслав Владимирович Головин. Владелец: Вячеслав Владимирович Головин. Дата публикации: 2021-12-30.

Method for forming carbon-carbon bond

Номер патента: EP3971156A1. Автор: Hironao Sajiki,Shinji Nakamura,Hitoshi Takada,Tsuyoshi Yamada,Yoshinari Sawama. Владелец: Organo Corp. Дата публикации: 2022-03-23.

Method for forming in-mold coating multi-layer coating film

Номер патента: EP4431192A1. Автор: Takashi Kitamura,Haruka Nakaoka,Katsuto Komura. Владелец: Kansai Paint Co Ltd. Дата публикации: 2024-09-18.

Device and method for forming a non-ground vial

Номер патента: WO2006127843A2. Автор: Herbert A. Gerretz,Wayne Mozzo,Ivan E. Perez. Владелец: Alcan Global Pharmaceutical Packaging Inc.. Дата публикации: 2006-11-30.

Method for forming multilayer coating film

Номер патента: CA3076175A1. Автор: Nobuhiko Narita,Hirokazu OKAZAKI,Tatsuo Kuramochi,Yuya Hirai. Владелец: Kansai Paint Co Ltd. Дата публикации: 2019-03-21.

Method for forming a sheet blank as a workpiece in a forming tool

Номер патента: US12064800B2. Автор: Peter Amborn. Владелец: Hodforming GmbH. Дата публикации: 2024-08-20.

Solventless method for forming a coating on a medical electrical lead body

Номер патента: EP2473211A1. Автор: James Q. Feng,Larry L. Hum,Tolga Tas,Arienne P. Simon. Владелец: Cardiac Pacemakers Inc. Дата публикации: 2012-07-11.

Method for forming metal film

Номер патента: US20220403544A1. Автор: Haruki KONDOH. Владелец: Toyota Motor Corp. Дата публикации: 2022-12-22.

Method for manufacturing powder metallurgical tooling

Номер патента: WO1998047833A9. Автор: Paul D Vawter. Владелец: Paul D Vawter. Дата публикации: 1999-05-06.

Cladding and the method for its formation

Номер патента: RU2759409C1. Автор: Константин Юрьевич Севенард. Владелец: Константин Юрьевич Севенард. Дата публикации: 2021-11-12.

Method for the preparation of optical waveguide devices and optical waveguide devices

Номер патента: US20040228595A1. Автор: Toshihiro Kuroda,Madoka Kondou. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2004-11-18.

Method for forming fixed images

Номер патента: EP2649495A1. Автор: YASUHIRO Hidaka,Akihiro Eida. Владелец: Kao Corp. Дата публикации: 2013-10-16.

Method for manufacturing press-molded article

Номер патента: EP4011517A1. Автор: Shohei Yamazaki,Tohru Yoshida,Ryuichi Nishimura,Koichi Hamada,Takuya Oishi. Владелец: Nippon Steel Corp. Дата публикации: 2022-06-15.

Method for manufacturing constant velocity drive shaft

Номер патента: EP4434652A1. Автор: Tsuyoshi Muramatsu,Munemasa Kamizaiku,Motoharu NUKA,Smit Jaradswong. Владелец: Sigma & Hearts Co Ltd. Дата публикации: 2024-09-25.

Method for manufacturing constant velocity drive shaft

Номер патента: US20240316618A1. Автор: Tsuyoshi Muramatsu,Munemasa Kamizaiku,Motoharu NUKA,Smit Jaradswong. Владелец: Sigma & Hearts Co Ltd. Дата публикации: 2024-09-26.

Manufacturing method for vehicle knuckle using preform

Номер патента: US20230128647A1. Автор: Ki Ho Kim,Se Woong JEONG,Ig Jin KWON,Ju Hyoung Cho,Hyun Tae BANG. Владелец: Iljin Co Ltd. Дата публикации: 2023-04-27.

Method for forming micro-electro-mechanical system (mems) structure

Номер патента: US20190315620A1. Автор: Kai-Fung Chang,Len-Yi Leu,Lien-Yao TSAI. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-10-17.

Method for making mold for forming anti-flare lens

Номер патента: US8454864B2. Автор: Chien-Feng Huang. Владелец: Hon Hai Precision Industry Co Ltd. Дата публикации: 2013-06-04.

Method for blow molding hollow articles

Номер патента: US3816579A. Автор: E Moslo. Владелец: Individual. Дата публикации: 1974-06-11.

Method for forming a panel, particularly skin for a hollow core door, and a method of manufacturing a hollow core door

Номер патента: WO2016081958A3. Автор: Fahiem Amod BUX. Владелец: Bux Fahiem Amod. Дата публикации: 2016-08-25.

Method for forming three-dimensional object

Номер патента: US20180147775A1. Автор: Hirofumi Hara,Masaya Nagahari. Владелец: MIMAKI ENGINEERING CO LTD. Дата публикации: 2018-05-31.

Method for making a bung for a metal cask

Номер патента: AU2001235542A1. Автор: Jean-Claude Carayon,Lucien Brun. Владелец: Gallay SA. Дата публикации: 2001-07-31.

Method for forming a building foundation, building foundation, system, spacer, connector and insulating block

Номер патента: WO2011135354A3. Автор: Philip Major. Владелец: SIG PLC. Дата публикации: 2012-05-03.

Shaped articles for nuclear remediation and methods for forming such shaped articles

Номер патента: WO2015183435A2. Автор: Evgeny Todorov KOLEV. Владелец: UOP LLC. Дата публикации: 2015-12-03.

System and method for forming a concrete wall having a smooth face

Номер патента: AU2022218610A1. Автор: Craig Huggett,Timothy James Orr. Владелец: Meso Systems Pty Ltd. Дата публикации: 2023-03-16.

System and method for forming a concrete wall having a smooth face

Номер патента: AU2021107320A4. Автор: Craig Huggett,Timothy James Orr. Владелец: Meso Systems Pty Ltd. Дата публикации: 2021-12-16.

A method for stuffing articles in envelopes

Номер патента: WO2013054314A1. Автор: Francesco Ponti. Владелец: C.M.C. S.R.L.. Дата публикации: 2013-04-18.

Method for forming resist underlayer film and patterning process

Номер патента: EP4435516A1. Автор: Naoki Kobayashi,Daisuke Kori,Kenta Ishiwata,Nobuhiro Nagamachi. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-09-25.

Method For Forming Resist Underlayer Film And Patterning Process

Номер патента: US20240345483A1. Автор: Naoki Kobayashi,Daisuke Kori,Kenta Ishiwata,Nobuhiro Nagamachi. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-10-17.

Shaped articles for nuclear remediation and methods for forming such shaped articles

Номер патента: US09682369B2. Автор: Evgeny Todorov KOLEV. Владелец: UOP LLC. Дата публикации: 2017-06-20.

Method for forming a foamed product integral with a sheet of covering material

Номер патента: US7323126B2. Автор: Yasushi Asano,Takahito Yabe. Владелец: TS Tech Co Ltd. Дата публикации: 2008-01-29.

Method for Forming a Membrane Holder and Membrane Holder Thus Formed

Номер патента: US20120132580A1. Автор: Hendrik Johan Ferdinand Berends. Владелец: Demand Holding BV. Дата публикации: 2012-05-31.

Method for forming a membrane holder and membrane holder thus formed

Номер патента: WO2010071419A1. Автор: Hendrik Johan Ferdinand Berends. Владелец: Demand Holding B.V.. Дата публикации: 2010-06-24.

Methods for manufacturing panels

Номер патента: EP4382309A3. Автор: Bruno Vermeulen. Владелец: UNILIN BV. Дата публикации: 2024-07-17.

Method for forming pattern and method for fabricating LCD device using the same

Номер патента: US20070148603A1. Автор: Jae Oh,Hye Lee. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2007-06-28.

Method for forming a membrane holder and membrane holder thus formed

Номер патента: EP2379208A1. Автор: Hendrik Johan Ferdinand Berends. Владелец: Demand Holding BV. Дата публикации: 2011-10-26.

Method, device and system for forming fusion model, medium, processor and terminal

Номер патента: EP3828735A1. Автор: He Yu,Qi Wang,Wenjing Zhou. Владелец: SIEMENS AG. Дата публикации: 2021-06-02.

Method for producing brushes and apparatus for performing said method

Номер патента: US20030001427A1. Автор: Armin Seifert,Andreas Lanvers,Erwin Buchholz. Владелец: M&C Schiffer GmbH. Дата публикации: 2003-01-02.

System and method for forming optimized perimeter surveillance

Номер патента: EP2277152A1. Автор: Paul Z. Thunemann,Robert W. Grube,Robert W. Mattikalli. Владелец: Boeing Co. Дата публикации: 2011-01-26.

Method for forming a vehicle reinforcing member

Номер патента: EP3448720A1. Автор: Andrew C Shum. Владелец: BASF East Asia Regional Headquarters Ltd. Дата публикации: 2019-03-06.

A method for forming a deep draw closure cap

Номер патента: NZ782388A. Автор: Thomas Haar. Владелец: Saeta Gmbh & Co Kg. Дата публикации: 2024-07-26.

Method for using expendable flexures for forming composite laminates

Номер патента: EP4442442A2. Автор: Mark Anthony Wadsworth,Robert Raymond Meinders. Владелец: Spirit AeroSystems Inc. Дата публикации: 2024-10-09.

Method for forming a self-aligned Mach-Zehnder interferometer

Номер патента: US09696604B1. Автор: Masaki Kato,Jie Lin,Robb Johnson. Владелец: Inphi Corp. Дата публикации: 2017-07-04.

Method for forming self-aligned trench isolation

Номер патента: TW492140B. Автор: Horng-Huei Tseng. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2002-06-21.

Structure and method for forming self-aligned bipolar junction transistor with expitaxy base

Номер патента: TW546809B. Автор: Shu-Ya Chuang. Владелец: United Microelectronics Corp. Дата публикации: 2003-08-11.

Process for forming self-aligned multi-level interconnect structure

Номер патента: TW444335B. Автор: Hsu-Li Cheng,Erik S Jeng. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2001-07-01.

METHOD FOR FORMING SELF-ALIGNED CONTACT

Номер патента: US20120267727A1. Автор: . Владелец: NANYA TECHNOLOGY CORPORATION. Дата публикации: 2012-10-25.

METHOD FOR FORMING SELF-ALIGNED OVERLAY MARK

Номер патента: US20130210213A1. Автор: Pratt David,Nair Vinay,Hawk Christopher,Housley Richard. Владелец: . Дата публикации: 2013-08-15.

Method for forming self-aligned metallic silicide

Номер патента: CN102044422B. Автор: 聂佳相,卢炯平,杨瑞鹏,孔祥涛. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2012-07-04.

Method for forming self-aligning contact window structure

Номер патента: CN1290158C. Автор: 董明圣,李岳川. Владелец: Promos Technologies Inc. Дата публикации: 2006-12-13.

Method for forming self-alignment metal salicide CMOS semi transistor

Номер патента: TW366566B. Автор: Shye-Lin Wu. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 1999-08-11.

Method for forming self-aligned metal oxide semiconductor transistor

Номер патента: TW563189B. Автор: Ding-Jang Jang,Huang-Jung Jeng,Jeng-Jie Yang. Владелец: United Microelectronics Corp. Дата публикации: 2003-11-21.

Making process for forming self-alignment contact plug useful in an embedded random access memory

Номер патента: TW385529B. Автор: Jen-Ye Shr. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2000-03-21.

Integrally formed self aligning bearing

Номер патента: AU220369B2. Автор: Mills Ready Graeme. Владелец: Individual. Дата публикации: 1957-11-07.

Method of forming self-aligned rugged crown-shaped capacitor of high-density dynamic random access memory

Номер патента: TW425702B. Автор: Shie-Lin Wu. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2001-03-11.

Fabrication method of forming self-aligned salicide

Номер патента: TW281788B. Автор: Ming-Jong Yang,Bor-Ren Jeng. Владелец: United Microelectronics Corp. Дата публикации: 1996-07-21.

Integrally formed self aligning bearing

Номер патента: AU2197556A. Автор: Mills Ready Graeme. Владелец: Individual. Дата публикации: 1957-11-07.

Method of forming self-aligned silicide by double ion implantation

Номер патента: TW418449B. Автор: Chi-Ming Yang,Jau-Jie Tsai,Shou-Ren Jang,Jeng-Kuen Lin. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2001-01-11.

Method of forming self-aligned salicide

Номер патента: TW308721B. Автор: Jiunn-Shyan Lin. Владелец: United Microelectronics Corp. Дата публикации: 1997-06-21.

Method of forming self-aligned contacts

Номер патента: TWI221639B. Автор: Pei-Ren Jeng. Владелец: Macronix Int Co Ltd. Дата публикации: 2004-10-01.

Method of forming self-aligned contacts

Номер патента: TW200423234A. Автор: Pei-Ren Jeng. Владелец: Macronix Int Co Ltd. Дата публикации: 2004-11-01.

Method for using dual damascene trench to form self-aligned mask ROM

Номер патента: TW477003B. Автор: Pei-Ren Jeng,Tzung-Ye Li,Wei-Min Jung. Владелец: Macronix Int Co Ltd. Дата публикации: 2002-02-21.

METHODS TO FORM SELF-ALIGNED PERMANENT ON-CHIP INTERCONNECT STRUCTURES

Номер патента: US20120018891A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-01-26.

METHODS TO FORM SELF-ALIGNED PERMANENT ON-CHIP INTERCONNECT STRUCTURES

Номер патента: US20130001801A1. Автор: Lin Qinghuang. Владелец: INTERNATIOANL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-01-03.

METHODS OF FORMING SELF-ALIGNED THROUGH SILICON VIA

Номер патента: US20130065393A1. Автор: Gambino Jeffrey P.,Stamper Anthony K.,LEIDY Robert K.. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-03-14.

Method of Forming Self-Aligned Contacts for a Semiconductor Device

Номер патента: US20130189833A1. Автор: Baars Peter,Wei Andy,Geiss Erik,Mazur Martin. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-07-25.

METHODS OF FORMING SELF-ALIGNED CONTACTS FOR A SEMICONDUCTOR DEVICE FORMED USING REPLACEMENT GATE TECHNIQUES

Номер патента: US20130288468A1. Автор: Chi Min-Hwa. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-10-31.

METHODS OF FORMING SELF-ALIGNED CONTACTS FOR A SEMICONDUCTOR DEVICE

Номер патента: US20130288471A1. Автор: Chi Min-Hwa. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-10-31.

How to form self-aligned contacts

Номер патента: KR950025876A. Автор: 남종완,권성우. Владелец: 현대전자산업 주식회사. Дата публикации: 1995-09-18.

How to form self-aligned contacts

Номер патента: KR19990074362A. Автор: 염계희. Владелец: 윤종용. Дата публикации: 1999-10-05.

How to form self-aligned contacts

Номер патента: KR950007100A. Автор: 성진모. Владелец: 현대전자산업 주식회사. Дата публикации: 1995-03-21.

Method of forming self-aligned metal silicide

Номер патента: TWI251272B. Автор: Erh-Kun Lai,Tung-Cheng Kuo,Shou-Wei Hwang,Yu-Ping Huang. Владелец: Macronix Int Co Ltd. Дата публикации: 2006-03-11.

How to form self-aligned contacts

Номер патента: KR940007990A. Автор: 김진웅,손곤. Владелец: 현대전자산업 주식회사. Дата публикации: 1994-04-28.

Method of forming self-aligned salicide

Номер патента: TW304279B. Автор: Jenn-Hwa Yu. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 1997-05-01.

Manufacturing method of forming self-aligned twin-tub well on semiconductor substrate

Номер патента: TW301769B. Автор: Jyi-Shyi Wu. Владелец: Winbond Electronics Corp. Дата публикации: 1997-04-01.

The method and structure for forming ring-shaped capacitor of DRAM

Номер патента: TW328633B. Автор: Cherng-Tsong Ni,Jyh-Shyan Wang. Владелец: Mos Electronics Taiwan Inc. Дата публикации: 1998-03-21.

Method for preventing Al-Si-Cu interconnect from protruding through via hole

Номер патента: TW468264B. Автор: Shr-Wen Lu,Chiang-Min Chen,Wen-Guang Dai. Владелец: United Microelectronics Corp. Дата публикации: 2001-12-11.

SELF ALIGNING VIA PATTERNING

Номер патента: US20120302057A1. Автор: Kanakasabapathy Sivananda K.,Yin Yunpeng,Burns Sean D.,Arnold John Christopher. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-11-29.

IMAGE FORMING APPARATUS AND METHOD FOR MAINTAINING HEAD

Номер патента: US20120001976A1. Автор: . Владелец: RICOH COMPANY, LTD.. Дата публикации: 2012-01-05.

Method for Packaging Electronic Devices and Integrated Circuits

Номер патента: US20120003791A1. Автор: . Владелец: WAFER-LEVEL PACKAGING PORTFOLIO LLC. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING EMBEDDED SUBSTRATE

Номер патента: US20120003793A1. Автор: HWANG Sun-Uk,Cho Young-Woong,Yoon Kyoung-Ro. Владелец: . Дата публикации: 2012-01-05.

METHOD AND SYSTEM FOR FORMING A PHOTOVOLTAIC CELL AND A PHOTOVOLTAIC CELL

Номер патента: US20120000529A1. Автор: . Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING A DROPLET DISCHARGE HEAD

Номер патента: US20120000595A1. Автор: Mase Atsushi,Shimizu Hideki,TANAKA Hidehiko. Владелец: NGK Insulators, Ltd.. Дата публикации: 2012-01-05.

METHOD FOR REPAIRING GAS TURBINE BLADES AND GAS TURBINE BLADE

Номер патента: US20120000890A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

FLEXIBLE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001173A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Method for Manufacturing the Same

Номер патента: US20120001180A1. Автор: Yoshizumi Kensuke,YOKOI Tomokazu. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Method for Forming the Same

Номер патента: US20120001229A1. Автор: Zhu Huilong,Liang Qingqing. Владелец: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES. Дата публикации: 2012-01-05.

METHOD FOR PRODUCING SOLID STATE IMAGING DEVICE AND SOLID-STATE IMAGING DEVICE

Номер патента: US20120001292A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

METHOD FOR PRODUCING ANISOPTROPIC BULK MATERIALS

Номер патента: US20120001368A1. Автор: Filippov Andrey V.,Milia Charlotte Diane. Владелец: . Дата публикации: 2012-01-05.

METHOD AND DEVICE FOR FORMING SURFACE PROCESSED DATA

Номер патента: US20120001908A1. Автор: Takahashi Kenji,Kikuta Mamoru,Miura Kenjiro,Uzuyama Daijiro. Владелец: . Дата публикации: 2012-01-05.

OPTICAL ELEMENT AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120002285A1. Автор: Matsuda Manabu. Владелец: FUJITSU LIMITED. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR ATOMIC LAYER DEPOSITION

Номер патента: US20120003396A1. Автор: . Владелец: Nederlandse Organisatie voor toegepast-natuurweten schappelijk onderzoek TNO. Дата публикации: 2012-01-05.

BELTS FOR ELECTROSTATOGRAPHIC APPARATUS AND METHODS FOR MAKING THE SAME

Номер патента: US20120003415A1. Автор: FROMM Paul M.. Владелец: XEROX CORPORATION. Дата публикации: 2012-01-05.

ENERGY STORAGE DEVICE AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003535A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

IMAGE SENSOR AND MANUFACTURING METHOD FOR SAME

Номер патента: US20120003776A1. Автор: Park Sang Hyuk. Владелец: Intellectual Ventures II LLC. Дата публикации: 2012-01-05.

METHOD FOR FORMING IMAGE SENSOR WITH SHIELD STRUCTURES

Номер патента: US20120003782A1. Автор: Byun Jeong Soo,Korobov Vladimir,Pohland Oliver. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING A DROPLET DISCHARGE HEAD

Номер патента: US20120003902A1. Автор: Mase Atsushi,Shimizu Hideki,TANAKA Hidehiko. Владелец: NGK Insulators, Ltd.. Дата публикации: 2012-01-05.

REAGENT AND METHOD FOR PROVIDING COATINGS ON SURFACES

Номер патента: US20120004339A1. Автор: . Владелец: SURMODICS, INC.. Дата публикации: 2012-01-05.

SERIAL VALVES AND HUBS FOR TUBULAR DEVICES AND METHODS FOR MAKING AND USING THEM

Номер патента: US20120004622A1. Автор: . Владелец: AUST DEVELOPMENT, LLC. Дата публикации: 2012-01-05.

Method for Manufacturing Alloy Resistor

Номер патента: US20120000066A1. Автор: . Владелец: VIKING TECH CORPORATION. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR ISOLATING A VIEWPORT

Номер патента: US20120000301A1. Автор: LITTLE Edwin Jackson,PAVOL Mark J.. Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING EASY OPEN END

Номер патента: US20120000340A1. Автор: Kubo Hiroshi,Kojima Katsumi,Yamanaka Yoichiro,Tada Masaki,Iwasa Hiroki. Владелец: JFE STEEL CORPORATION. Дата публикации: 2012-01-05.

Tricalcium Phosphate Coarse Particle Compositions and Methods for Making the Same

Номер патента: US20120000394A1. Автор: Delaney David C.,Jalota Sahil,Yetkinler Duran N.. Владелец: . Дата публикации: 2012-01-05.

SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120000517A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

TRANSPARENT ELECTRICALLY CONDUCTIVE LAYER AND METHOD FOR FORMING SAME

Номер патента: US20120000519A1. Автор: FREY Jonathan Mack. Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

Method for Corrosion Protection Treatment

Номер патента: US20120000591A1. Автор: . Владелец: TESA SE. Дата публикации: 2012-01-05.

METHODS FOR SPUTTERING A RESISTIVE TRANSPARENT BUFFER THIN FILM FOR USE IN CADMIUM TELLURIDE BASED PHOTOVOLTAIC DEVICES

Номер патента: US20120000768A1. Автор: . Владелец: PRIMESTAR SOLAR, INC.. Дата публикации: 2012-01-05.

SPUTTERING TARGETS INCLUDING EXCESS CADMIUM FOR FORMING A CADMIUM STANNATE LAYER

Номер патента: US20120000776A1. Автор: Feldman-Peabody Scott Daniel. Владелец: PRIMESTAR SOLAR, INC.. Дата публикации: 2012-01-05.

CONNECTING MATERIAL, METHOD FOR MANUFACTURING CONNECTING MATERIAL AND SEMICONDUCTOR DEVICE

Номер патента: US20120000965A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ISOLATION REGION, SEMICONDUCTOR DEVICE AND METHODS FOR FORMING THE SAME

Номер патента: US20120001198A1. Автор: Zhu Huilong,Yin Haizhou,Luo Zhijiong. Владелец: . Дата публикации: 2012-01-05.

Method for manufacturing thin film capacitor and thin film capacitor obtained by the same

Номер патента: US20120001298A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Adjusting Method For Recording Condition And Optical Disc Device

Номер патента: US20120002527A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

NITRIDE COMPOUND SEMICONDUCTOR ELEMENT AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120002693A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

DECORATION DEVICE AND METHOD FOR FABRICATING DECORATION DEVICE

Номер патента: US20120003426A1. Автор: . Владелец: SIPIX CHEMICAL INC.. Дата публикации: 2012-01-05.

Method For Producing A Porcelain Enamel Logo On A Porcelain Enamel Background On A Grill Component With Preselected Colors

Номер патента: US20120003445A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ELECTRODE MATERIAL AND METHOD FOR FORMING ELECTRODE MATERIAL

Номер патента: US20120003529A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

Method for Anti-Corrosion Treatment

Номер патента: US20120003598A1. Автор: . Владелец: TESA SE. Дата публикации: 2012-01-05.

OPTICAL MODULATOR AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120003767A1. Автор: . Владелец: NEC Corporation. Дата публикации: 2012-01-05.

SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003781A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

System and Method for Joint Resurface Repair

Номер патента: US20120004663A1. Автор: . Владелец: ARTHROSURFACE INC.. Дата публикации: 2012-01-05.

Device and Method for Fixing a Component in Position on a Component Carrier

Номер патента: US20120000601A1. Автор: Fessler-Knobel Martin,Huttner Roland. Владелец: MTU AERO ENGINES GMBH. Дата публикации: 2012-01-05.

IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME

Номер патента: US20120001234A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

Номер патента: US20120001346A1. Автор: SEO Dae-Young,KIM Doo-Kang. Владелец: . Дата публикации: 2012-01-05.

OPTICAL IMAGE ACQUISITION APPARATUS HAVING ADAPTIVE OPTICS AND CONTROL METHOD FOR THE SAME

Номер патента: US20120002165A1. Автор: Saito Kenichi. Владелец: CANON KABUSHIKI KAISH. Дата публикации: 2012-01-05.

Method for Uses of Protein Precursors as Prodrugs

Номер патента: US20120004398A1. Автор: Wang Yan,SHEN Wei-Chiang. Владелец: UNIVERSITY OF SOUTHERN CALIFORNIA. Дата публикации: 2012-01-05.

DESIGNING METHOD FOR DIMPLE PATTERN OF GOLF BALL

Номер патента: US20120004053A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND ASSOCIATED METHOD FOR PREVENTING OVERFILLING IN A DISHWASHER

Номер патента: US20120000535A1. Автор: Poyner Dennis A.,Mitchell Glen,Duckworth Jason,DeFilippi John,Francisco Virgil J.. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME

Номер патента: US20120001255A1. Автор: PARK JIN WON. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

Method for forming thz images of cancer and skin pathologies

Номер патента: RU2559938C2. Автор: Владимир Анатольевич Макаров,Александр Сергеевич Колесников,Валерий Викторович Тучин,Дарья Кирилловна Тучина,Сергей Рудольфович Утц,Гариф Газизович Акчурин,Георгий Гарифович Акчурин,Александр Николаевич Якунин,Юрий Арташесович Аветисян,Алексей Петрович Попов,Екатерина Михайловна Галкина,Андрей Александрович Ангелуц,Екатерина Александровна Колесникова,Илья Александрович Ожередов,Александр Александрович Скапцов,Александр Павлович Шкуринов,Алексей Вячеславович Балакин,Михаил Маратович Стольниц,Кристина Николаевна Колесникова. Владелец: Федеральное Государственное Бюджетное Образовательное Учреждение Высшего Профессионального Образования "Саратовский Государственный Университет Имени Н.Г. Чернышевского". Дата публикации: 2015-08-20.