Method for forming semiconductor device structure

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method for forming fin field effect transistor device structure

Номер патента: US20230369121A1. Автор: Yi-Hsun CHIU,Yi-Hsiung Lin,Shang-Wen Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-16.

Forming method for floating contact hole, and semiconductor device

Номер патента: US20240290846A1. Автор: Song Zhang,Wenming Zhu,Qun Liu,Yaohui ZHOU,Dejin Wang. Владелец: CSMC Technologies Fab2 Co Ltd. Дата публикации: 2024-08-29.

Semiconductor device and method for fabricating the same

Номер патента: EP2562794A4. Автор: Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-12-18.

Semiconductor device and method for fabricating the same

Номер патента: GB201122185D0. Автор: . Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-02-01.

Integrated circuit semiconductor device

Номер патента: US20240282864A1. Автор: Jinwook Yang,Sungil Park,Jaehyun Park,Daewon HA,Dongkyu LEE,Kyuman HWANG,Cheoljin YUN,Jinchan Yun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-22.

Method for Forming a Power Semiconductor Device and a Power Semiconductor Device

Номер патента: US20170345892A1. Автор: Weis Rolf,Lemke Marko,Rudolf Ralf,Stahrenberg Knut. Владелец: . Дата публикации: 2017-11-30.

Forming method for floating contact hole, and semiconductor device

Номер патента: EP4401119A1. Автор: Song Zhang,Wenming Zhu,Qun Liu,Yaohui ZHOU,Dejin Wang. Владелец: CSMC Technologies Fab2 Co Ltd. Дата публикации: 2024-07-17.

Semiconductor device and method for fabricating the same

Номер патента: US20240072171A1. Автор: Young Gwang YOON. Владелец: SK hynix Inc. Дата публикации: 2024-02-29.

Semiconductor device and method for fabricating the same

Номер патента: US11848383B2. Автор: Young Gwang YOON. Владелец: SK hynix Inc. Дата публикации: 2023-12-19.

Semiconductor device and method for fabricating the same

Номер патента: US20150200152A1. Автор: Sung-Dong Cho,Sin-Woo Kang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-07-16.

Methods for manufacturing a trench type semiconductor device having a thermally sensitive refill material

Номер патента: TW200901325A. Автор: Takeshi Ishiguro. Владелец: Icemos Technology Corp. Дата публикации: 2009-01-01.

Semiconductor device and method for fabricating the same

Номер патента: US20170053921A1. Автор: Soo-Yeon Jeong,Tae-Jong Lee,Dong-gu Yi,Jae-Po Lim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-02-23.

Method for producing pillar-shaped semiconductor device

Номер патента: US20240206146A1. Автор: Kenichi Kanazawa,Yisuo Li. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2024-06-20.

Method for producing a pillar-shaped semiconductor device

Номер патента: US20170236920A1. Автор: Fujio Masuoka,Nozomu Harada. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2017-08-17.

Method for forming inductor of semiconductor device

Номер патента: US20050112838A1. Автор: Hyung Kim,Young Kang. Владелец: MagnaChip Semiconductor Ltd. Дата публикации: 2005-05-26.

DEVICE ARCHITECTURE AND METHOD FOR PRECISION ENHANCEMENT OF VERTICAL SEMICONDUCTOR DEVICES

Номер патента: US20170179024A1. Автор: III Thomas E.,Harrington. Владелец: D3 Semiconductor LLC. Дата публикации: 2017-06-22.

Methods for fabricating electrically-isolated finfet semiconductor devices

Номер патента: US20140213033A1. Автор: David P. Brunco,Witold Maszara. Владелец: Globalfoundries Inc. Дата публикации: 2014-07-31.

Method for interconnecting layers in a semiconductor device using two etching gases

Номер патента: US5234864A. Автор: Chang-lyong Song,Jin-Hong Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1993-08-10.

Method for filling polysilicon gate in semiconductor devices, and semiconductor devices

Номер патента: US20150357340A1. Автор: Chun-Min Cheng,Jung-Yi Guo. Владелец: Macronix International Co Ltd. Дата публикации: 2015-12-10.

Method for producing semiconductor element, and semiconductor device

Номер патента: EP4086941A1. Автор: Katsunori Azuma,Tomohisa Hirayama. Владелец: Kyocera Corp. Дата публикации: 2022-11-09.

Method for producing pillar-shaped semiconductor device

Номер патента: US20190157166A1. Автор: Fujio Masuoka,Nozomu Harada. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2019-05-23.

Power semiconductor devices and a method for forming a power semiconductor device

Номер патента: US10497694B2. Автор: Joachim Mahler,Guenther KOLMEDER. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2019-12-03.

Power Semiconductor Devices and a Method for Forming a Power Semiconductor Device

Номер патента: US20180315744A1. Автор: Joachim Mahler,Guenther KOLMEDER. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-11-01.

Semiconductor device and manufacturing method

Номер патента: US20110318904A1. Автор: Hans-Joachim Schulze,Matthias Stecher,Thomas Neidhart. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2011-12-29.

Manufacturing method of high-voltage semiconductor device with increased breakdown voltage

Номер патента: US11769794B2. Автор: Chao Sun. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2023-09-26.

METHOD FOR PRODUCING LOW-k FILM, SEMICONDUCTOR DEVICE, AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20100289143A1. Автор: Takamaro Kikkawa,Yoshinori Cho. Владелец: Hiroshima University NUC. Дата публикации: 2010-11-18.

Semiconductor chip, method for manufacturing semiconductor chip, and semiconductor device

Номер патента: US20140183704A1. Автор: Akira Ide,Koji Torii. Владелец: Elpida Memory Inc. Дата публикации: 2014-07-03.

Method for forming silicide of semiconductor device

Номер патента: US8105910B2. Автор: Hee-Jae Shin. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2012-01-31.

Method for doping a fin-based semiconductor device

Номер патента: US7612420B2. Автор: Damien Lenoble. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2009-11-03.

Method for forming silicide of semiconductor device

Номер патента: US20100072521A1. Автор: Hee-Jae Shin. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2010-03-25.

Method for manufacturing contact plugs for semiconductor devices

Номер патента: US20150170966A1. Автор: Yu-Cheng Tung. Владелец: United Microelectronics Corp. Дата публикации: 2015-06-18.

Method for fabricating a thin film semiconductor device

Номер патента: US5637512A. Автор: Mitsutoshi Miyasaka,Thomas W. Little. Владелец: Seiko Epson Corp. Дата публикации: 1997-06-10.

Method for forming inductor of semiconductor device

Номер патента: KR101044389B1. Автор: 양준석. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2011-06-29.

Method for manufacturing silicon carbide semiconductor device

Номер патента: US6096607A. Автор: Katsunori Ueno. Владелец: Fuji Electric Co Ltd. Дата публикации: 2000-08-01.

Method for forming wiring on semiconductor device

Номер патента: US20230352341A1. Автор: Hiroshi Komatsu. Владелец: Connectec Japan Corp. Дата публикации: 2023-11-02.

Method for via formation in a semiconductor device

Номер патента: US20120302062A1. Автор: Yi Nan Chen,Hsien Wen Liu,Chih Ching Lin. Владелец: Nanya Technology Corp. Дата публикации: 2012-11-29.

Method for forming patterns for semiconductor device

Номер патента: US20170069529A1. Автор: Home-Been Cheng,Tzu-Hao Fu,Tsung-Yin HSIEH,Ci-Dong Chu. Владелец: United Microelectronics Corp. Дата публикации: 2017-03-09.

Method for forming interconnection of semiconductor device

Номер патента: US5801099A. Автор: Nae Hak Park,Yong Kwon Kim. Владелец: LG Semicon Co Ltd. Дата публикации: 1998-09-01.

Method for forming metallization in semiconductor devices with a self-planarizing material

Номер патента: US5854126A. Автор: Dirk Tobben,Bruno Spuler,Peter Weigand,Martin Gutsche. Владелец: SIEMENS AG. Дата публикации: 1998-12-29.

Process for forming interconnection of semiconductor device and sputtering system therefor

Номер патента: US6093654A. Автор: Kazuhide Koyama. Владелец: Sony Corp. Дата публикации: 2000-07-25.

Method for fabricating conductive feature and semiconductor device

Номер патента: US11842925B2. Автор: Yu-Chang Chang,Che-Hsien LIAO. Владелец: Nanya Technology Corp. Дата публикации: 2023-12-12.

Method for fabricating air gap for semiconductor device

Номер патента: US7803713B2. Автор: Hsueh-Chung Chen,Shin-puu Jeng,Hsien-Wei Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2010-09-28.

A method for forming of a semiconductor device

Номер патента: KR100557577B1. Автор: 최세경. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-03-03.

Method for forming pattern of semiconductor device

Номер патента: KR102370284B1. Автор: 강춘수. Владелец: 에스케이하이닉스 주식회사. Дата публикации: 2022-03-07.

High voltage semiconductor device and method for manufacturing the same

Номер патента: US20090114990A1. Автор: Jeong-ho Kim. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-05-07.

Method for producing a pillar-shaped semiconductor memory device

Номер патента: US20190123053A1. Автор: Fujio Masuoka,Nozomu Harada. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2019-04-25.

Method for forming inductor in semiconductor device

Номер патента: US20050037589A1. Автор: Young Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-02-17.

Method for fabricating isolation layer in semiconductor device

Номер патента: US20040203225A1. Автор: Seung Pyi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-10-14.

Method for production of thin-film semiconductor device

Номер патента: US20090191672A1. Автор: Masafumi Kunii. Владелец: Sony Corp. Дата публикации: 2009-07-30.

Method for forming wells of semiconductor device

Номер патента: US5981327A. Автор: Jin-Ho Kim. Владелец: LG Semicon Co Ltd. Дата публикации: 1999-11-09.

Semiconductor device having a graphene film and method for fabricating thereof

Номер патента: US12014988B2. Автор: Jang Eun Lee,Hyun bae Lee,Wan Don KIM,Min Joo Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-18.

For the method for the fin for forming metal oxide semiconductor device structure

Номер патента: CN104011841B. Автор: T·加尼,M·D·贾尔斯. Владелец: Intel Corp. Дата публикации: 2018-01-26.

Method for creating electrical pathways for semiconductor device structures using laser machining processes

Номер патента: US7364985B2. Автор: Kyle K. Kirby. Владелец: Micron Technology Inc. Дата публикации: 2008-04-29.

Method for forming contact of semiconductor device

Номер патента: KR100920000B1. Автор: 이준현,류혁현. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2009-10-05.

Method for forming isolation in semiconductor device

Номер патента: KR100826779B1. Автор: 현찬순. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-04-30.

Method for Forming Transistor of Semiconductor Device

Номер патента: KR100905194B1. Автор: 김승완,조영만. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-06-26.

Method for forming isolation of semiconductor device

Номер патента: KR100649836B1. Автор: 임성혁. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-11-27.

Method for forming mim in semiconductor device

Номер патента: KR100831258B1. Автор: 이용근. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2008-05-22.

METHODS AND APPARATUS FOR FORMING HORIZONTAL GATE ALL AROUND DEVICE STRUCTURES

Номер патента: US20160111495A1. Автор: Kim Yihwan,Sun Shiyu,Wood Bingxi Sun,Yoshida Naomi,Brand Adam,DONG Lin,NI CHI-NUNG. Владелец: . Дата публикации: 2016-04-21.

Formation of stacked nanosheet semiconductor devices

Номер патента: US20200144122A1. Автор: Peng Xu,Kangguo Cheng,Heng Wu,Juntao Li. Владелец: International Business Machines Corp. Дата публикации: 2020-05-07.

DEVICE ARCHITECTURE AND METHOD FOR PRECISION ENHANCEMENT OF VERTICAL SEMICONDUCTOR DEVICES

Номер патента: US20150340318A1. Автор: III Thomas E.,Harrington. Владелец: D3 Semiconductor LLC. Дата публикации: 2015-11-26.

Methods for normalizing strain in a semiconductor device

Номер патента: SG155836A1. Автор: Tan Chung Foong,TEO Lee Wee,Quek Kiok Boone Elgin,Alain Chan. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2009-10-29.

Method for integrating replacement gate in semiconductor device

Номер патента: US20130005097A1. Автор: Qiuxia Xu,Gaobo Xu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-01-03.

Method for the production of a semiconductor device by implanting fluorocarbon ions

Номер патента: US5158897A. Автор: Hiroya Sato,Toshiaki Kinosada,Yasuhito Nakagawa. Владелец: Sharp Corp. Дата публикации: 1992-10-27.

Method for producing field effect type semiconductor device

Номер патента: US4578343A. Автор: Noriaki Nakayama,Sumio Yamamoto,Yoshimi Yamashita,Kinjiro Kosemura. Владелец: Fujitsu Ltd. Дата публикации: 1986-03-25.

Method for producing an sgt-including semiconductor device

Номер патента: US20170040329A1. Автор: Fujio Masuoka,Nozomu Harada. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2017-02-09.

Method for producing an sgt-including semiconductor device

Номер патента: US20150325444A1. Автор: Fujio Masuoka,Nozomu Harada. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2015-11-12.

Method for producing an sgt-including semiconductor device

Номер патента: US20170301679A1. Автор: Fujio Masuoka,Nozomu Harada. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2017-10-19.

System and method for addressing junction capacitances in semiconductor devices

Номер патента: US20030082894A1. Автор: Zhiqiang Wu,Kaiping Liu. Владелец: Texas Instruments Inc. Дата публикации: 2003-05-01.

Fuse box and method for fabricating the same and method for repairing the same in semiconductor device

Номер патента: US20090039463A1. Автор: Jeong-Kyu KANG. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-02-12.

Method for manufacture of semiconductor device

Номер патента: US5013677A. Автор: Hiroki Hozumi. Владелец: Sony Corp. Дата публикации: 1991-05-07.

Method for forming a DRAM semiconductor device with a sense amplifier

Номер патента: US20070148850A1. Автор: Dong Chul Koo. Владелец: Individual. Дата публикации: 2007-06-28.

Method for forming fins of semiconductor device

Номер патента: US11373911B2. Автор: YONG Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2022-06-28.

Method For Forming Fins of Semiconductor Device

Номер патента: US20220122889A1. Автор: YONG Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2022-04-21.

Method for fabricating side contact in semiconductor device using double trench process

Номер патента: US20110129975A1. Автор: Sang-Oh Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-06-02.

Method for parameter extraction of a semiconductor device

Номер патента: US10345371B2. Автор: Jyh-Chyurn Guo,Yen-Ying LIN. Владелец: National Chiao Tung University NCTU. Дата публикации: 2019-07-09.

Method for fabricating recess pattern in semiconductor device

Номер патента: US7862991B2. Автор: Yong-Soon Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-01-04.

Semiconductor chip and method for manufacturing the same and semiconductor device

Номер патента: US20060006493A1. Автор: Tsutomu Tashiro,Masaya Kawano,Yoichiro Kurita. Владелец: NEC Electronics Corp. Дата публикации: 2006-01-12.

Power Semiconductor Devices and a Method for Forming a Power Semiconductor Device

Номер патента: US20180315744A1. Автор: Mahler Joachim,KOLMEDER Guenther. Владелец: . Дата публикации: 2018-11-01.

Method for Forming Patterns of Semiconductor Device

Номер патента: US20160155743A1. Автор: KIM Dong-Won,KIM Eunsung,KIM Kyoungseon,YI Shiyong,KWON SEUNGCHUL. Владелец: . Дата публикации: 2016-06-02.

Method for forming word line semiconductor device

Номер патента: KR100419874B1. Автор: 장진만. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-02-25.

Method for forming contact of semiconductor device

Номер патента: KR100801727B1. Автор: 박동수,백정권. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-02-11.

Semiconductor devices and methods for manufacturing the same

Номер патента: US20040169274A1. Автор: Michio Asahina,Yukio Morozumi,Kazuki Matsumoto. Владелец: Individual. Дата публикации: 2004-09-02.

Power semiconductor device and method for manufacturing such a power semiconductor device

Номер патента: US20180047652A1. Автор: Munaf Rahimo,Charalampos Papadopoulos. Владелец: ABB Schweiz AG. Дата публикации: 2018-02-15.

METHOD FOR MANUFACTURING SEMICONDCUTOR DEVICE AND SEMICONDUCTOR DEVICE

Номер патента: US20200266275A1. Автор: KOMATANI Tsutomu,KURACHI Shunsuke. Владелец: SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC.. Дата публикации: 2020-08-20.

Apparatuses and methods for coupling a plurality of semiconductor devices

Номер патента: US20240063188A1. Автор: Timothy M. Hollis,Matthew B. Leslie,Roy E. Greeff. Владелец: Micron Technology Inc. Дата публикации: 2024-02-22.

Apparatuses and methods for coupling a plurality of semiconductor devices

Номер патента: US11837580B2. Автор: Timothy M. Hollis,Matthew B. Leslie,Roy E. Greeff. Владелец: Micron Technology Inc. Дата публикации: 2023-12-05.

Method for manufacturing resin-sealed power semiconductor device

Номер патента: US20190051539A1. Автор: Kazuo Funahashi,Ken Sakamoto,Keitaro Ichikawa. Владелец: Mitsubishi Electric Corp. Дата публикации: 2019-02-14.

Method for the production of a semiconductor device

Номер патента: US5079188A. Автор: Masato Kawai. Владелец: Sharp Corp. Дата публикации: 1992-01-07.

Method of manufacturing semiconductor device

Номер патента: US20120302004A1. Автор: Akiharu Miyanaga. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2012-11-29.

Thin-film semiconductor device and method for fabricating thin-film semiconductor device

Номер патента: US20130161630A1. Автор: Kenichirou Nishida. Владелец: Panasonic Corp. Дата публикации: 2013-06-27.

Method for manufacturing silicon carbide semiconductor device

Номер патента: US20140045322A1. Автор: Hideto Tamaso. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2014-02-13.

Process for forming vertical semiconductor device having increased source contact area

Номер патента: EP1067596A3. Автор: Thomas Grebs,Jason Trost. Владелец: Intersil Corp. Дата публикации: 2003-05-28.

Semiconductor device and method for forming same

Номер патента: US20240055409A1. Автор: Kaimin Lv. Владелец: Individual. Дата публикации: 2024-02-15.

Wide bandgap semiconductor device and the method for forming wide bandgap semiconductor device

Номер патента: CN110034173A. Автор: R.鲁普,H-J.舒尔策,J.卢茨. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2019-07-19.

Method for forming gate of semiconductor devices

Номер патента: KR100596880B1. Автор: 문재연. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-07-05.

Method for forming transistor in semiconductor device

Номер патента: KR101025736B1. Автор: 최신규. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-04-04.

Method for forming transistor in semiconductor device

Номер патента: KR101107204B1. Автор: 신민정. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2012-01-25.

Method for forming transistor of semiconductor device

Номер патента: KR100937649B1. Автор: 조용수. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2010-01-19.

Method for Forming Gate of Semiconductor Device by Polymer

Номер патента: KR100741909B1. Автор: 곽성호,김성무. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-07-24.

Method for forming silicide in semiconductor device

Номер патента: CN101620998A. Автор: 郑敬华. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2010-01-06.

Method for forming capacitor of semiconductor device

Номер патента: US6500708B2. Автор: Hyung Bok Choi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-12-31.

Production Method of Semiconductor Device and Semiconductor Device

Номер патента: US20090283773A1. Автор: Takuto Yasumatsu. Владелец: Individual. Дата публикации: 2009-11-19.

Silicon carbide semiconductor device and method for manufactuing same

Номер патента: US20140042461A1. Автор: Hideto Tamaso. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2014-02-13.

Silicon carbide semiconductor devices having nitrogen-doped interface

Номер патента: US20140167073A1. Автор: Michael MacMillan. Владелец: GLOBAL POWER DEVICE CO. Дата публикации: 2014-06-19.

Semiconductor device and method for manufacturing the same

Номер патента: US20230178616A1. Автор: Yi Pei,Naiqian Zhang. Владелец: Dynax Semiconductor Inc. Дата публикации: 2023-06-08.

Method of manufacturing semiconductor device

Номер патента: US20150144947A1. Автор: Akiharu Miyanaga. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2015-05-28.

Semiconductor device and method for manufacturing the same

Номер патента: US20070026592A1. Автор: Yong Shin. Владелец: MagnaChip Semiconductor Ltd. Дата публикации: 2007-02-01.

Method for manufacturing silicon carbide semiconductor device and silicon carbide semiconductor device

Номер патента: US20160087032A1. Автор: Toru Hiyoshi. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2016-03-24.

Method for manufacturing silicon carbide semiconductor device

Номер патента: US20130137198A1. Автор: Shin Harada,Tsubasa HONKE. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2013-05-30.

Method for manufacturing silicon carbide semiconductor device and silicon carbide semiconductor device

Номер патента: US20220231129A1. Автор: Hideto Tamaso. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2022-07-21.

Method for manufacturing silicon carbide semiconductor device

Номер патента: US9583346B2. Автор: Takeyoshi Masuda,Shunsuke Yamada,Taku Horii. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2017-02-28.

Method for producing pillar-shaped semiconductor device

Номер патента: US20200119166A1. Автор: Fujio Masuoka,Nozomu Harada. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2020-04-16.

Method for Stress Reduced Manufacturing Semiconductor Devices

Номер патента: US20140141592A1. Автор: Hans-Joachim Schulze,Peter Irsigler. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2014-05-22.

Method for producing pillar-shaped semiconductor device

Номер патента: US20190157426A1. Автор: Fujio Masuoka,Nozomu Harada. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2019-05-23.

Method of manufacturing silicon carbide semiconductor device

Номер патента: US20220336219A1. Автор: Daisuke Taniguchi,Toshikazu Tanioka,Junya MIWA. Владелец: Mitsubishi Electric Corp. Дата публикации: 2022-10-20.

TEST STRUCTURE, SEMICONDUCTOR DEVICE AND METHOD FOR OBTAINING FABRICATING INFORMATION IN SEMICONDUCTOR DEVICE

Номер патента: US20200161195A1. Автор: Hsu Shih-Hsing. Владелец: . Дата публикации: 2020-05-21.

Methods for Dicing Semiconductor Wafers and Semiconductor Devices Made by the Methods

Номер патента: US20200395246A1. Автор: Komposch Alexander,Schneider Kevin. Владелец: . Дата публикации: 2020-12-17.

Methods for dicing semiconductor wafers and semiconductor devices made by the methods

Номер патента: US11289378B2. Автор: Kevin Schneider,Alexander Komposch. Владелец: Wolfspeed Inc. Дата публикации: 2022-03-29.

Methods for dicing semiconductor wafers and semiconductor devices made by the methods

Номер патента: WO2020252265A1. Автор: Kevin Schneider,Alexander Komposch. Владелец: CREE, INC.. Дата публикации: 2020-12-17.

Composite substrate and method for manufacturing the same, and semiconductor device structure

Номер патента: US20240258321A1. Автор: Kai Cheng. Владелец: Enkris Semiconductor Inc. Дата публикации: 2024-08-01.

Method for forming well of semiconductor device

Номер патента: US5759884A. Автор: Kang-Sik Youn. Владелец: LG Semicon Co Ltd. Дата публикации: 1998-06-02.

Method for defining submicron features in semiconductor devices

Номер патента: CA1186809A. Автор: Rafael M. Levin. Владелец: Western Electric Co Inc. Дата публикации: 1985-05-07.

Method for forming conductors in semiconductor devices

Номер патента: US20070035027A1. Автор: Gurtej Sandhu,Fernando Gonzalez,Mike Violette. Владелец: Individual. Дата публикации: 2007-02-15.

Method for forming conductors in semiconductor devices

Номер патента: US20030122162A1. Автор: Gurtej Sandhu,Fernando Gonzalez,Mike Violette. Владелец: Individual. Дата публикации: 2003-07-03.

Semiconductor device and method for fabricating the same

Номер патента: US20060014372A1. Автор: Seung-Ho Pyi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-01-19.

Method for fabricating an Al-Ge alloy wiring of semiconductor device

Номер патента: US5846877A. Автор: Jun-Ki Kim. Владелец: LG Semicon Co Ltd. Дата публикации: 1998-12-08.

Capacitor and method for fabricating the same and semiconductor device

Номер патента: US20030184950A1. Автор: Shunji Nakamura. Владелец: Fujitsu Ltd. Дата публикации: 2003-10-02.

Method for fabricating contact plug in semiconductor device

Номер патента: US20080003798A1. Автор: Chang-Youn Hwang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-01-03.

Method for fabricating metal plug of semiconductor device

Номер патента: TWI281725B. Автор: Jung-Geun Kim,Ki-Hong Yang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-05-21.

Method for forming landing pad

Номер патента: US20020111006A1. Автор: King-Lung Wu,Kun-Chi Lin. Владелец: United Microelectronics Corp. Дата публикации: 2002-08-15.

Process for forming resist pattern, semiconductor device and fabrication thereof

Номер патента: US20040110099A1. Автор: Koji Nozaki,Miwa Kozawa. Владелец: Fujitsu Ltd. Дата публикации: 2004-06-10.

Method for forming patterns for semiconductor device

Номер патента: US20170069529A1. Автор: Home-Been Cheng,Tzu-Hao Fu,Tsung-Yin HSIEH,Ci-Dong Chu. Владелец: United Microelectronics Corp. Дата публикации: 2017-03-09.

METHOD FOR FORMING PATTERNS FOR SEMICONDUCTOR DEVICE

Номер патента: US20160351410A1. Автор: Cheng Home-Been,Fu Tzu-Hao,Chu Ci-Dong,Hsieh Tsung-Yin. Владелец: . Дата публикации: 2016-12-01.

Method for forming contact for semiconductor device

Номер патента: KR100468694B1. Автор: 권성운. Владелец: 삼성전자주식회사. Дата публикации: 2005-03-16.

Method for forming interconnections for semiconductor device

Номер патента: KR20060085900A. Автор: 최승만,이수근,신홍재,김태범,서봉석,이선정. Владелец: 삼성전자주식회사. Дата публикации: 2006-07-28.

Mask for forming contact in semiconductor device and method for manufacturing the same

Номер патента: KR100340865B1. Автор: 배상만. Владелец: 박종섭. Дата публикации: 2002-06-20.

Method for forming interconnections for semiconductor device

Номер патента: KR100688561B1. Автор: 최승만,이수근,신홍재,김태범,서봉석,이선정. Владелец: 삼성전자주식회사. Дата публикации: 2007-03-02.

Method for forming patterns for semiconductor devices

Номер патента: US6767828B2. Автор: Bruno Michel,John C. Flake,Takatoshi Tsujimura,Paul S. Andry. Владелец: International Business Machines Corp. Дата публикации: 2004-07-27.

Method for forming interconnector of semiconductor device

Номер патента: KR100215846B1. Автор: 전영권. Владелец: 엘지반도체주식회사. Дата публикации: 1999-08-16.

Method for forming contact of semiconductor device

Номер патента: KR100253368B1. Автор: 임명호,이창덕. Владелец: 현대반도체주식회사. Дата публикации: 2000-04-15.

Method for forming plug of semiconductor device

Номер патента: KR101032115B1. Автор: 류상욱,전호열. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2011-05-02.

Method for forming silicide of semiconductor device

Номер патента: KR100568424B1. Автор: 하승철. Владелец: 동부아남반도체 주식회사. Дата публикации: 2006-04-05.

Method for forming plug in semiconductor device

Номер патента: KR100455724B1. Автор: 이석규,원대희. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-11-12.

Method for forming contact in semiconductor device

Номер патента: KR100717811B1. Автор: 이창구,안태항. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-05-11.

Method for forming inductor in semiconductor device

Номер патента: KR100760919B1. Автор: 정석원,황상일. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-09-21.

Method for forming electrode of semiconductor device using electroplating

Номер патента: KR100555457B1. Автор: 유차영. Владелец: 삼성전자주식회사. Дата публикации: 2006-04-21.

Method for forming wiring of semiconductor device

Номер патента: KR100209708B1. Автор: 박정수,강창용. Владелец: 엘지반도체주식회사. Дата публикации: 1999-07-15.

Method for forming plug of semiconductor device

Номер патента: KR100260817B1. Автор: 이영철,김광철. Владелец: 현대전자산업주식회사. Дата публикации: 2000-08-01.

A method for forming of a semiconductor device

Номер патента: KR100735628B1. Автор: 김종일. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2007-07-04.

Method for forming contact and semiconductor device using the same

Номер патента: KR100562329B1. Автор: 김덕수. Владелец: 동부아남반도체 주식회사. Дата публикации: 2006-03-22.

Method for forming contact of semiconductor device

Номер патента: KR100399934B1. Автор: 유재령. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-12-24.

Method for forming wiring of semiconductor device

Номер патента: KR970063491A. Автор: 최지현,이해정,구주선,황병근. Владелец: 김광호. Дата публикации: 1997-09-12.

Method for forming metalline in semiconductor device

Номер патента: KR100344823B1. Автор: 김준용,정채오. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2002-07-20.

A method for forming contact of semiconductor device

Номер патента: KR100365748B1. Автор: 장경식,이인찬. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-03-15.

Method for forming wiring of semiconductor device

Номер патента: KR100950760B1. Автор: 유창준,하가영. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2010-04-05.

Method for forming plug of semiconductor device

Номер патента: KR100382541B1. Автор: 박래학. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-05-01.

Method for forming bitline in semiconductor device

Номер патента: KR100632653B1. Автор: 이성훈. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-10-12.

Method for Forming Contact of Semiconductor Device

Номер патента: KR100596845B1. Автор: 김형환. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-07-04.

Method for forming plug in semiconductor device

Номер патента: KR100745907B1. Автор: 최용수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-08-02.

Method for forming plug in semiconductor device

Номер патента: KR100431295B1. Автор: 정우석. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-05-12.

Method for forming contact of semiconductor device

Номер патента: KR100408683B1. Автор: 김태경. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-12-06.

Method for Forming Line In Semiconductor Device

Номер патента: KR100628239B1. Автор: 홍지호. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-09-26.

method for forming contact hole semiconductor device

Номер патента: KR100691934B1. Автор: 이동호,김연수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-03-08.

Method for forming copper of semiconductor device

Номер патента: KR100434700B1. Автор: 김희진. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-06-07.

Method for forming capacitor of semiconductor device

Номер патента: KR100557965B1. Автор: 박종범. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-03-07.

Method for forming bitline of semiconductor device

Номер патента: KR100705936B1. Автор: 김은수,조휘원,정철모,홍승희. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-04-13.

Method for forming plug of semiconductor device

Номер патента: KR100365557B1. Автор: 김정호. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2002-12-26.

Method for forming contact of semiconductor device

Номер патента: KR100273989B1. Автор: 김정석,박주욱. Владелец: 윤종용. Дата публикации: 2001-01-15.

Method for forming contact of semiconductor device using solid phase epitaxy

Номер патента: KR100716653B1. Автор: 안태항. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-05-09.

Method for forming contact of semiconductor device

Номер патента: KR100924866B1. Автор: 박지용. Владелец: 주식회사 동부하이텍. Дата публикации: 2009-11-02.

Method for forming capacitor of semiconductor device

Номер патента: KR100914974B1. Автор: 김재수,조호진,이동균,박철환. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-09-02.

Method for Forming ILD in Semiconductor Device

Номер патента: KR20020089998A. Автор: 황인석,고용선,고정덕. Владелец: 삼성전자 주식회사. Дата публикации: 2002-11-30.

Method for forming interconnection of semiconductor device

Номер патента: KR100578117B1. Автор: 박제민,장순규. Владелец: 삼성전자주식회사. Дата публикации: 2006-09-27.

Method for forming plug in semiconductor device

Номер патента: KR100455725B1. Автор: 정우석. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-11-12.

Method for forming contact in semiconductor device

Номер патента: CN1641855A. Автор: 金正根,黄昌渊,崔奉浩. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-07-20.

method for forming metal contact semiconductor device

Номер патента: KR100393970B1. Автор: 정철모,손평근. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-08-06.

Method for forming line in semiconductor device

Номер патента: KR100710192B1. Автор: 김상철,한재원. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-04-20.

Method for forming contact in semiconductor device

Номер патента: KR20090050230A. Автор: 조용태,이재균,오상록. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-05-20.

Methods for forming contact in semiconductor device

Номер патента: CN1141500A. Автор: 赵景洙. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1997-01-29.

Method for forming interconnection of semiconductor device

Номер патента: KR100418920B1. Автор: 조영아. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-05-20.

Method for forming contact of semiconductor device

Номер патента: KR100290231B1. Автор: 최상준,이지혜. Владелец: 현대반도체주식회사. Дата публикации: 2001-05-15.

Method for forming plug in semiconductor device

Номер патента: KR20010108839A. Автор: 진규안,전영호. Владелец: 박종섭. Дата публикации: 2001-12-08.

Method for forming wiring of semiconductor device

Номер патента: JP2832824B2. Автор: ヨン・ゴン・ゾン. Владелец: ERU JII SEMIKON CO Ltd. Дата публикации: 1998-12-09.

Method for forming inductor in semiconductor device

Номер патента: US20050130423A1. Автор: Sung Pyo. Владелец: Individual. Дата публикации: 2005-06-16.

Method for forming bitline in semiconductor device

Номер патента: KR100351895B1. Автор: 홍정의. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2002-09-12.

Method for forming plug of semiconductor device

Номер патента: JP2828439B2. Автор: ▲キョン▼ 根 崔. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1998-11-25.

Method for forming mim in semiconductor device

Номер патента: US20080112108A1. Автор: Myung-Il Kang. Владелец: Individual. Дата публикации: 2008-05-15.

Method for forming capacitor of semiconductor device

Номер патента: KR100680947B1. Автор: 최형복. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-02-08.

Method for forming contact in semiconductor device

Номер патента: TWI304607B. Автор: Jung-Geun Kim,Bong-Ho Choi,Chang-Youn Hwang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-12-21.

Method for forming contact in semiconductor device

Номер патента: KR100772551B1. Автор: 정태우,유재옥. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-11-02.

method for forming contact of semiconductor device

Номер патента: KR100357186B1. Автор: 최준호. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2002-10-19.

Method for forming wiring of semiconductor device

Номер патента: JP3365112B2. Автор: 一英 小山. Владелец: Sony Corp. Дата публикации: 2003-01-08.

Method for forming wire in semiconductor device

Номер патента: US20020177297A1. Автор: Young-a Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-11-28.

Method for forming wire of semiconductor device

Номер патента: KR100253338B1. Автор: 김경준. Владелец: 현대반도체주식회사. Дата публикации: 2000-04-15.

Method for forming wiring in semiconductor device

Номер патента: KR100333653B1. Автор: 김진국. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2002-11-07.

Method for forming contact of semiconductor device

Номер патента: KR100641084B1. Автор: 김종삼. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-11-02.

A method for forming contact of semiconductor device using the epitaxial process

Номер патента: KR100671563B1. Автор: 박영택,정대호. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-01-19.

Method for forming contact in semiconductor device

Номер патента: KR100422356B1. Автор: 류인철,진성곤. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-03-11.

Method for forming wiring of semiconductor device

Номер патента: KR100209704B1. Автор: 고상기. Владелец: 엘지반도체주식회사. Дата публикации: 1999-07-15.

Method for forming contact of semiconductor device using solid phase epitaxy

Номер патента: CN1893016A. Автор: 安台恒. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-01-10.

Method for forming contact in semiconductor device

Номер патента: KR100373362B1. Автор: 윤경렬,진성곤. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-02-25.

Method for forming contact of semiconductor device

Номер патента: KR100335129B1. Автор: 김규현. Владелец: 박종섭. Дата публикации: 2002-05-04.

Method for Forming Line In Semiconductor Device

Номер патента: KR100640947B1. Автор: 정석원. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-11-02.

Method for forming contact in semiconductor device

Номер патента: KR101195260B1. Автор: 이광표. Владелец: 에스케이하이닉스 주식회사. Дата публикации: 2012-11-21.

Method for Forming Line In Semiconductor Device

Номер патента: KR100628227B1. Автор: 심준범. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-09-26.

Methods for forming pad of semiconductor devices

Номер патента: KR100588376B1. Автор: 고창진. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2006-06-12.

A method for forming contact of semiconductor device using the epitaxial process

Номер патента: KR20060072826A. Автор: 박영택,정대호. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-06-28.

Method for forming contact in semiconductor device

Номер патента: KR100431822B1. Автор: 김진웅. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-05-20.

Method for forming interconnects and semiconductor device

Номер патента: TW523870B. Автор: Koji Mishima,Takao Kato,Hiroaki Inoue,Kenji Nakamura,Moriji Matsumoto. Владелец: Ebara Corp. Дата публикации: 2003-03-11.

Method for manufacturing a complementary MOS type semiconductor device

Номер патента: US4743564A. Автор: Masaki Sato,Kazuyoshi Shinada. Владелец: Toshiba Corp. Дата публикации: 1988-05-10.

Method for manufacturing semiconductor

Номер патента: US20100203680A1. Автор: Hideo Oi. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2010-08-12.

Method for manufacturing semiconductor device

Номер патента: US9368412B2. Автор: Fenghua FU,Yunchu Yu,Yihua Shen. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-06-14.

Method for manufacturing semiconductor device

Номер патента: US20150371903A1. Автор: Fenghua FU,Yunchu Yu,Yihua Shen. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2015-12-24.

Process for forming interconnector of semiconductor device

Номер патента: KR100245091B1. Автор: 김대영,박철수. Владелец: 현대전자산업주식회사. Дата публикации: 2000-03-02.

Methd for forming capacitor of semiconductor device

Номер патента: US20060216884A1. Автор: Hyung Choi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-09-28.

Method for creating narrow trenches in dielectric materials

Номер патента: US20070066028A1. Автор: Gerald Beyer. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2007-03-22.

Method for Etch Metal Layer and Semiconductor Device Manufactured by Such Method

Номер патента: KR100636983B1. Автор: 김백원. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-10-19.

METHOD FOR MANUFACTURING CONTACT PLUGS FOR SEMICONDUCTOR DEVICES

Номер патента: US20150170966A1. Автор: TUNG Yu-Cheng. Владелец: UNITED MICROELECTRONICS CORP.. Дата публикации: 2015-06-18.

A method for transferring and stacking of semiconductor devices

Номер патента: EP1252654A2. Автор: Eric Beyne,Staf Borghs,Raf Vandersmissen. Владелец: Umicore NV SA. Дата публикации: 2002-10-30.

Method for forming a thin semiconductor device

Номер патента: US20140220742A1. Автор: Kahlil HOSSEINI. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2014-08-07.

System and method for detection of defects in semiconductor devices

Номер патента: US11830828B2. Автор: Liang Li,Wendy Yu,Kevin Hu. Владелец: Western Digital Technologies Inc. Дата публикации: 2023-11-28.

A compact scr device and method for integrated circuits

Номер патента: WO2006036447A3. Автор: Cheng-Hsiung Huang,Chih-Ching Shih,Yow-Juang Liu,Hugh O Sungki. Владелец: Hugh O Sungki. Дата публикации: 2006-12-14.

Method for fabricating semiconductor device and method for fabricating capacitor in a semiconductor device

Номер патента: TWI274381B. Автор: Wu-An Weng. Владелец: Macronix Int Co Ltd. Дата публикации: 2007-02-21.

Gate-all-around transistor, method for manufacturing the same, and semiconductor device

Номер патента: US20240213336A1. Автор: Fei Zhao,Yongliang Li. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2024-06-27.

A method for fabricating isolation regions in semiconductor devices

Номер патента: DE3379700D1. Автор: Hiroshi C O Fujitsu Limit Goto,Akira C O Fujitsu Limit Tabata. Владелец: Fujitsu Ltd. Дата публикации: 1989-05-24.

METHOD FOR FORMING METAL OXIDE SEMICONDUCTOR DEVICE

Номер патента: US20160225880A1. Автор: CHEN TAI-JU,CHEN TE-CHIH,Lee Kung-Hong,Tang Chun-Jung. Владелец: . Дата публикации: 2016-08-04.

Method for forming isolation in semiconductor device

Номер патента: KR100519517B1. Автор: 박효식. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-10-07.

Method for forming isolation film semiconductor device

Номер патента: KR0176198B1. Автор: 안동호. Владелец: 김광호. Дата публикации: 1999-04-15.

Method for forming isolation in semiconductor device

Номер патента: KR100672769B1. Автор: 김현수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-01-22.

A method for forming gate of semiconductor device

Номер патента: KR100424184B1. Автор: 장민우. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-03-25.

Method for forming isolation of semiconductor device

Номер патента: KR100469763B1. Автор: 윤창준. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2005-02-02.

Method for forming trench in semiconductor device

Номер патента: KR100826965B1. Автор: 류상욱. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2008-05-02.

Method for forming isolation in semiconductor device

Номер патента: KR100702775B1. Автор: 안정렬,박병수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-04-03.

Method for forming trench of semiconductor device

Номер патента: KR100499397B1. Автор: 류상욱. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2005-07-05.

Method for forming STI of semiconductor device

Номер патента: US7371656B2. Автор: Young Hun Seo. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2008-05-13.

Method for forming gate of semiconductor device

Номер патента: KR100779360B1. Автор: 현찬순. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-11-23.

Method for forming gate of semiconductor device

Номер патента: KR20080086186A. Автор: 김충배. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-09-25.

Method for Forming Trench of Semiconductor Device

Номер патента: KR100230384B1. Автор: 신유균. Владелец: 윤종용. Дата публикации: 1999-11-15.

Method for forming isolation in semiconductor device

Номер патента: KR20010106718A. Автор: 원대희. Владелец: 박종섭. Дата публикации: 2001-12-07.

Method for forming trench of semiconductor device

Номер патента: KR100364814B1. Автор: 남기욱. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2002-12-16.

Method for forming trench in semiconductor device

Номер патента: KR100838399B1. Автор: 신수범. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-06-13.

Method for forming isolation of semiconductor device

Номер патента: KR100822604B1. Автор: 김상덕,박보민. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-04-16.

method for forming isolation region semiconductor device

Номер патента: KR100645188B1. Автор: 공영택. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-11-10.

Trench gate semiconductor device and method for making the same

Номер патента: US11374123B2. Автор: HAO LI,Jiye Yang,Longjie ZHAO. Владелец: Shanghai Huahong Grace Semiconductor Manufacturing Corp. Дата публикации: 2022-06-28.

Trench gate semiconductor device and method for making the same

Номер патента: US20210119038A1. Автор: HAO LI,Jiye Yang,Longjie ZHAO. Владелец: Shanghai Huahong Grace Semiconductor Manufacturing Corp. Дата публикации: 2021-04-22.

Methods for manufacturing thin film transistor and display device

Номер патента: US8349671B2. Автор: Hidekazu Miyairi,Shinya Sasagawa,Akihiro Ishizuka. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2013-01-08.

A compact scr device and method for integrated circuits

Номер патента: WO2006036447A2. Автор: Cheng-Hsiung Huang,Chih-Ching Shih,Yow-Juang Liu,Hugh O. Sungki. Владелец: Altera Corporation. Дата публикации: 2006-04-06.

Semiconductor device and method for fabricating same

Номер патента: US20240063256A1. Автор: Zheng He,Yi Tang,Qiong Wu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-22.

Semiconductor device and method for preparing the same

Номер патента: US20200161191A1. Автор: Jui-Hsiu JAO,Chun-Shun Huang,Wei-Li LAI. Владелец: Nanya Technology Corp. Дата публикации: 2020-05-21.

Method for isolating elements of semiconductor device

Номер патента: US5719086A. Автор: Jong Choul Kim,Byung Jin Cho,Young Bog Kim,Sung Ku Kwon. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1998-02-17.

Semiconductor device with trench isolation structure

Номер патента: US7902628B2. Автор: Sun-Hwan Hwang,Jae-Eun Lim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-03-08.

Semiconductor device and manufacturing method thereof

Номер патента: US11894457B2. Автор: Weiwei Ge. Владелец: Joulwatt Technology Co Ltd. Дата публикации: 2024-02-06.

Trench-gate semiconductor device and manufacturing method therefor

Номер патента: EP4343850A1. Автор: FENG Zhou,Yi Zhang,Bo Gao,Fei Hu,Boning Huang,Longgu TANG. Владелец: Huawei Digital Power Technologies Co Ltd. Дата публикации: 2024-03-27.

Lateral Power Semiconductor Device and Method for Manufacturing a Lateral Power Semiconductor Device

Номер патента: US20140319610A1. Автор: Mauder Anton,Weis Rolf,Thyssen Norbert. Владелец: . Дата публикации: 2014-10-30.

INTERFACE LAYER CONTROL METHODS FOR SEMICONDUCTOR POWER DEVICES AND SEMICONDUCTOR DEVICES FORMED THEREOF

Номер патента: US20210336022A1. Автор: Lichtenwalner Daniel Jenner. Владелец: . Дата публикации: 2021-10-28.

METHOD FOR MANUFACTURING AN ENVELOPING GRID SEMICONDUCTOR DEVICE AND DEVICE OBTAINED

Номер патента: FR2799305A1. Автор: Thomas Skotnicki,Malgorzata Jurczak. Владелец: STMICROELECTRONICS SA. Дата публикации: 2001-04-06.

Method for the Manufacture of a Semiconductor Device and a Semiconductor Device Obtained Through It

Номер патента: US20080093668A1. Автор: Youri Ponomarev,Josine Loo. Владелец: NXP BV. Дата публикации: 2008-04-24.

Method for manufacturing a low-profile semiconductor device

Номер патента: US20030090004A1. Автор: I-Ming Chen,Ming-Tung Shen. Владелец: Individual. Дата публикации: 2003-05-15.

Method for forming an asymmetric semiconductor device

Номер патента: US20120302022A1. Автор: Venkat R. Kolagunta. Владелец: Individual. Дата публикации: 2012-11-29.

Method for forming resist pattern, semiconductor device and production method thereof

Номер патента: US8119325B2. Автор: Koji Nozaki,Miwa Kozawa. Владелец: Fujitsu Ltd. Дата публикации: 2012-02-21.

Method for forming gate of semiconductor device

Номер патента: US7309661B2. Автор: Ki Won Nam. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-12-18.

Method for forming gate of semiconductor device

Номер патента: US20050245033A1. Автор: Ki Won Nam. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-11-03.

Method for forming capacitor of semiconductor device

Номер патента: US6884678B2. Автор: Tae Hyeok Lee,Cheol Hwan Park,Dong Su Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-04-26.

Semiconductor Device and Method for Producing a Plurality of Semiconductor Devices

Номер патента: US20170338384A1. Автор: Thomas Schwarz,Frank Singer. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2017-11-23.

Method for etching Pt film of semiconductor device

Номер патента: US6004882A. Автор: Byong-sun Ju,Hyoun-woo Kim,Byeong-Yun Nam,Won-jong Yoo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-12-21.

Structures and methods for reducing junction leakage in semiconductor devices

Номер патента: US8633513B2. Автор: Daniel Doyle,Jeffrey Gleason. Владелец: Aptina Imaging Corp. Дата публикации: 2014-01-21.

Method for Producing a Nitride Compound Semiconductor Device

Номер патента: US20180144933A1. Автор: Alexander Frey,Lorenzo Zini,Joachim Hertkorn. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2018-05-24.

Method for fabricating storage electrode of semiconductor device

Номер патента: US7220641B2. Автор: Ki Won Nam. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-05-22.

Fabrication method for a sub-micron geometry semiconductor device

Номер патента: US5147812A. Автор: James G. Gilbert,Fourmun Lee,Thomas Zirkle. Владелец: Motorola Inc. Дата публикации: 1992-09-15.

Method for manufacturing and structure of semiconductor device with polysilicon definition structure

Номер патента: US20030100149A1. Автор: Xiaoju Wu. Владелец: Texas Instruments Inc. Дата публикации: 2003-05-29.

Method for fabricating side contact in semiconductor device

Номер патента: US20120149205A1. Автор: Sung-Eun Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-06-14.

Method for Forming III-Nitride Semiconductor Device and the III-Nitride Semiconductor Device

Номер патента: US20200052076A1. Автор: HE Wei,Ni Xian-Feng,Fan Qian. Владелец: . Дата публикации: 2020-02-13.

Method for forming inductor of semiconductor device

Номер патента: KR100477547B1. Автор: 최치홍. Владелец: 동부아남반도체 주식회사. Дата публикации: 2005-03-18.

Multilayered printed circuit board, method for manufacturing the same, and semiconductor device using the same

Номер патента: US11848263B2. Автор: Seung Lak Kim. Владелец: LG Chem Ltd. Дата публикации: 2023-12-19.

Apparatuses and methods for coupling a plurality of semiconductor devices

Номер патента: US20240290752A1. Автор: Timothy M. Hollis,Matthew B. Leslie,Roy E. Greeff. Владелец: Micron Technology Inc. Дата публикации: 2024-08-29.

Method for manufacturing a low-profile semiconductor device

Номер патента: US20030098471A1. Автор: I-Ming Chen,Ming-Tung Shen. Владелец: Individual. Дата публикации: 2003-05-29.

Method for forming capacitor of semiconductor device

Номер патента: US20050202645A1. Автор: Gyu Kim,Hyo Yoon,Geun Choi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-09-15.

Method for forming capacitor and semiconductor device

Номер патента: US20230389266A1. Автор: Xiaoling Wang,Hai-Han Hung,Min-Hui Chang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-11-30.

Method for testing contact open in semiconductor device

Номер патента: US20050272173A1. Автор: Min-Suk Lee,Sung-Kwon Lee,Tae-Woo Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-12-08.

Method for measuring film thickness of semiconductor device

Номер патента: US20220307818A1. Автор: Yongshang SHENG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-09-29.

Method for measuring film thickness of semiconductor device

Номер патента: US11867497B2. Автор: Yongshang SHENG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-01-09.

Apparatuses and methods for coupling a plurality of semiconductor devices

Номер патента: US11984428B2. Автор: Timothy M. Hollis,Matthew B. Leslie,Roy E. Greeff. Владелец: Micron Technology Inc. Дата публикации: 2024-05-14.

Apparatuses and methods for coupling a plurality of semiconductor devices

Номер патента: US20210280557A1. Автор: Timothy M. Hollis,Matthew B. Leslie,Roy E. Greeff. Владелец: Micron Technology Inc. Дата публикации: 2021-09-09.

Method for fabricating fine pattern in semiconductor device

Номер патента: US20110076851A1. Автор: Jin-Ki Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-03-31.

Method for manufacturing silicon carbide semiconductor device

Номер патента: US20160118250A1. Автор: Hideto Tamaso. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2016-04-28.

Method for manufacturing silicon carbide semiconductor device

Номер патента: US20150072486A1. Автор: Yuichi Takeuchi,Naohiro Sugiyama. Владелец: Denso Corp. Дата публикации: 2015-03-12.

Method for manufacturing silicon carbide semiconductor device

Номер патента: US9450068B2. Автор: Yuichi Takeuchi,Naohiro Sugiyama. Владелец: Denso Corp. Дата публикации: 2016-09-20.

Power semiconductor device and fabrication method thereof

Номер патента: US9711627B2. Автор: BO LIU,Dae Sub Jung. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-07-18.

Power semiconductor device and fabrication method thereof

Номер патента: US20160293745A1. Автор: BO LIU,Dae Sub Jung. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-10-06.

Power semiconductor device

Номер патента: US20170288043A1. Автор: BO LIU,Dae Sub Jung. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-10-05.

Method for manufacturing static induction type semiconductor device and thereby manufactured semiconductor device

Номер патента: GB9005988D0. Автор: . Владелец: Matsushita Electric Works Ltd. Дата публикации: 1990-05-09.

Tunable semiconductor device

Номер патента: US20130130462A1. Автор: Qizhi Liu,Alvin J. Joseph,David L. Harame,Ramana M. MALLADI. Владелец: International Business Machines Corp. Дата публикации: 2013-05-23.

Tunable semiconductor device

Номер патента: US20120248573A1. Автор: Qizhi Liu,Ramana Murty Malladi,David Louis Harame,Alvin Jose Joseph. Владелец: International Business Machines Corp. Дата публикации: 2012-10-04.

Method for manufacturing static induction type semiconductor device

Номер патента: GB2230136A. Автор: Kazushi Kataoka,Takuya Komoda,Masahiko Suzumura. Владелец: Matsushita Electric Works Ltd. Дата публикации: 1990-10-10.

Semiconductor device and method for forming patterns of semiconductor device

Номер патента: KR101927924B1. Автор: 김동현,김기정,신경섭,민재호. Владелец: 삼성전자주식회사. Дата публикации: 2018-12-12.

Semiconductor device and method for forming patterns of semiconductor device

Номер патента: KR20130046812A. Автор: 김동현,김기정,신경섭,민재호. Владелец: 삼성전자주식회사. Дата публикации: 2013-05-08.

SILICON OXYNITRIDE FILM AND METHOD FOR FORMING SAME, AND SEMICONDUCTOR DEVICE

Номер патента: US20130181291A1. Автор: Takahashi Eiji,FUJIWARA Masaki,ANDO YASUNORI. Владелец: NISSIN ELECTRIC CO., LTD.. Дата публикации: 2013-07-18.

Method for forming gate of semiconductor device

Номер патента: KR100618705B1. Автор: 피승호. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-09-08.

Method for forming transistor in semiconductor device

Номер патента: KR100537186B1. Автор: 김형균,김재수,은용석,이안배. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-12-16.

Method for forming salicide of semiconductor device

Номер патента: KR100400785B1. Автор: 성낙균. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-10-08.

Method for forming salicide of semiconductor device

Номер патента: KR100955921B1. Автор: 김운용,이준현. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2010-05-03.

Method for forming transistor of semiconductor device

Номер патента: KR100675897B1. Автор: 서혜진,김재수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-02-02.

Method for forming capacitor of semiconductor device

Номер патента: KR100465631B1. Автор: 박성훈,안병권. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-01-13.

Method for forming salicide of semiconductor device

Номер патента: KR100713332B1. Автор: 임비오. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-05-04.

Method for forming silicide in semiconductor device

Номер патента: KR100573271B1. Автор: 변동일. Владелец: 동부아남반도체 주식회사. Дата публикации: 2006-04-24.

Method for forming salicide in semiconductor device

Номер патента: US20040142532A1. Автор: Joon Lee,Woo Kim. Владелец: Individual. Дата публикации: 2004-07-22.

Method for forming gate in semiconductor device

Номер патента: KR100618709B1. Автор: 김태균. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-09-06.

Method for forming gate of semiconductor device

Номер патента: KR101096434B1. Автор: 김정근. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-12-20.

Method for forming wiring in semiconductor device

Номер патента: US6599825B2. Автор: Cheol Soo Park. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2003-07-29.

Method for Forming Silicide of Semiconductor Device

Номер патента: KR100720399B1. Автор: 이완규. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2007-05-22.

Methods for forming resistor of semiconductor devices

Номер патента: KR101026315B1. Автор: 정이선. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2011-03-31.

Method for forming transistor of semiconductor device

Номер патента: TWI278938B. Автор: Jae-Soo Kim,Hye-Jin Seo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-04-11.

Method for forming gate in semiconductor device

Номер патента: KR20060077490A. Автор: 전승준. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-07-05.

Method for forming transistor of semiconductor device

Номер патента: US6720224B2. Автор: Ku Cheol Jeong. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-04-13.

Semiconductor device and method for manufacturing the same

Номер патента: US20150340508A1. Автор: Kengo Akimoto. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2015-11-26.

Semiconductor device

Номер патента: US10734510B2. Автор: Hajime Matsuda. Владелец: Sumitomo Electric Device Innovations Inc. Дата публикации: 2020-08-04.

Semiconductor device and method for manufacturing same

Номер патента: US20230238235A1. Автор: Tao Chen. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-07-27.

Method for manufacturing silicon carbide semiconductor device

Номер патента: EP2840595A1. Автор: Yuichi Takeuchi,Naohiro Sugiyama. Владелец: Denso Corp. Дата публикации: 2015-02-25.

Semiconductor Devices

Номер патента: US20180033867A1. Автор: Jisoo Oh,Sungwoo Myung,Geumjung Seong,Jinwook Lee,Dohyoung Kim,Yong-Ho Jeon. Владелец: Individual. Дата публикации: 2018-02-01.

Method for manufacturing passive device of semiconductor device

Номер патента: KR100968646B1. Автор: 조진연. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2010-07-06.

Systems and methods for fabricating gate structures for semiconductor devices

Номер патента: US20140357073A1. Автор: Nam Sung Kim,Hongxiang MO. Владелец: Globalfoundries Inc. Дата публикации: 2014-12-04.

Semiconductor device and method for manufacturing the same

Номер патента: US20240072141A1. Автор: Xi SONG,Pengyu Han,Huiqin Wang. Владелец: Dynax Semiconductor Inc. Дата публикации: 2024-02-29.

Semiconductor device and method for manufacturing the same

Номер патента: US20190386126A1. Автор: Naiqian Zhang,Xingxing Wu,Xinchuan ZHANG. Владелец: Dynax Semiconductor Inc. Дата публикации: 2019-12-19.

Fuse box and method for fabricating the same and method for repairing the same in semiconductor device

Номер патента: TW200913148A. Автор: Jeong-Kyu KANG. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-03-16.

Semiconductor device and method for manufacturing the same

Номер патента: US10770574B2. Автор: Naiqian Zhang,Xingxing Wu,Xinchuan ZHANG. Владелец: Dynax Semiconductor Inc. Дата публикации: 2020-09-08.

Semiconductor device and method for making the same

Номер патента: US20240153873A1. Автор: Yu-Hsin Wu,Hui Tzu CHAN. Владелец: Nanya Technology Corp. Дата публикации: 2024-05-09.

Methods for manufacturing non-volatile memory device and device structure thereby

Номер патента: KR20080093288A. Автор: 박창수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-10-21.

Systems and methods for direct bonding in semiconductor die manufacturing

Номер патента: US20230066395A1. Автор: Kyle K. Kirby,Bang-Ning Hsu. Владелец: Micron Technology Inc. Дата публикации: 2023-03-02.

Semiconductor device with semiconductor body and method for the production of a semiconductor device

Номер патента: US20100078756A1. Автор: Gerhard Schmidt. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2010-04-01.

Method for fabricating thin-film semiconductor device for display

Номер патента: US20130071972A1. Автор: Hisao Nagai,Kenichirou Nishida. Владелец: Panasonic Corp. Дата публикации: 2013-03-21.

Semiconductor device production method

Номер патента: US20240282616A1. Автор: Yoshihiro Yamada,Ryuma Mizusawa,Yubun Kikuchi. Владелец: Tokyo Ohka Kogyo Co Ltd. Дата публикации: 2024-08-22.

Semiconductor device and method of manufacturing the same

Номер патента: US20220285565A1. Автор: Yi Pei,Guangmin DENG. Владелец: Gpower Semiconductor Inc. Дата публикации: 2022-09-08.

Replacement metal gate semiconductor device formation using low resistivity metals

Номер патента: US20140065811A1. Автор: Chang Seo Park,Vimal K. Kamineni. Владелец: Globalfoundries Inc. Дата публикации: 2014-03-06.

Method for fabricating crown-type of semiconductor device

Номер патента: TW437012B. Автор: Yong-Sun Sohn,Seung-Woo Shin. Владелец: Hyundai Electronics Ind. Дата публикации: 2001-05-28.

Semiconductor device

Номер патента: US11955542B2. Автор: Hsin-Chih Lin,Shin-Cheng Lin,Yung-Hao Lin. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2024-04-09.

Method for forming gate of semiconductor device

Номер патента: KR20060066507A. Автор: 신민정. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-06-16.

Method for forming gate of semiconductor device

Номер патента: KR100979234B1. Автор: 김의식. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2010-08-31.

Method for Forming Transistor in Semiconductor Device

Номер патента: KR100685871B1. Автор: 사승훈. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2007-02-23.

Method for forming patterns of semiconductor device

Номер патента: US9847227B2. Автор: Chun-Soo KANG. Владелец: SK hynix Inc. Дата публикации: 2017-12-19.

Method for forming silicide of semiconductor device

Номер патента: KR20050019964A. Автор: 채은철. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2005-03-04.

Method for Forming Transistor of Semiconductor Device

Номер патента: KR101119739B1. Автор: 김동훈. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2012-03-21.

Method for forming gate of semiconductor device

Номер патента: KR101132297B1. Автор: 황선환,오재근. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2012-04-05.

Method for manufacturing a capacitor of a semiconductor device

Номер патента: US6066540A. Автор: Young Jin Park,Seung Jin Yeom. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2000-05-23.

Method for generating layout pattern of semiconductor device and layout pattern generating apparatus

Номер патента: US20100152876A1. Автор: Tomoyuki Inoue. Владелец: NEC Electronics Corp. Дата публикации: 2010-06-17.

DEVICE ARCHITECTURE AND METHOD FOR PRECISION ENHANCEMENT OF VERTICAL SEMICONDUCTOR DEVICES

Номер патента: US20140145240A1. Автор: III Thomas E.,Harrington. Владелец: D3 Semiconductor LLC. Дата публикации: 2014-05-29.

Method for filling polysilicon gate in semiconductor devices, and semiconductor devices

Номер патента: US20150357340A1. Автор: GUO JUNG-YI,CHENG CHUN-MIN. Владелец: . Дата публикации: 2015-12-10.

Semiconductor package, method for fabricating the same, and semiconductor device

Номер патента: TW200606999A. Автор: Katsutoshi Shimizu,Tatsuya Hirano,Hisaho Inao. Владелец: Matsushita Electric Ind Co Ltd. Дата публикации: 2006-02-16.

Method for forming inductor in semiconductor device

Номер патента: US20050014317A1. Автор: Sung Pyo. Владелец: HYMIX SEMICONDUCTOR Inc. Дата публикации: 2005-01-20.

Method for forming fuse of semiconductor device

Номер патента: KR100620656B1. Автор: 김진배. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-12-05.

Semiconductor device and method for fabricating the same

Номер патента: US20240224500A1. Автор: Kang Sik Choi,Seung Hwan Kim,Hye Won YOON. Владелец: SK hynix Inc. Дата публикации: 2024-07-04.

GaN Substrate, Semiconductor Device and Method for Fabricating GaN Substrate and Semiconductor Device

Номер патента: US20140361311A1. Автор: Zhang Zhenghai,Zhang Zongmin,Cao Bocheng. Владелец: . Дата публикации: 2014-12-11.

Semiconductor device and methods of manufacture thereof

Номер патента: US20170179145A1. Автор: Boon Jiew CHEE. Владелец: X Fab Semiconductor Foundries GmbH. Дата публикации: 2017-06-22.

Method for fabricating fine pattern of semiconductor device

Номер патента: KR100363703B1. Автор: Min Kyung Ko. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-12-05.

Semiconductor device and method for manufacturing the same

Номер патента: US20130049117A1. Автор: Huilong Zhu,Qingqing Liang,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-02-28.

Method for forming tungsten film and method for forming wiring of semiconductor device using the same

Номер патента: CN101447427B. Автор: 河佳英,金俊基. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-02-09.

METHOD FOR FORMING PATTERNS OF SEMICONDUCTOR DEVICE

Номер патента: US20180226251A1. Автор: Yeh Chiu-Hsien,Feng Li-Wei,YU Kuei-Hsuan,Chen Kai-Ping. Владелец: . Дата публикации: 2018-08-09.

Method for forming capacitor of semiconductor device

Номер патента: KR100557961B1. Автор: 이기정. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-03-07.

Method for forming capacitor of semiconductor device

Номер патента: KR100557956B1. Автор: 안명규. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-03-10.

Method for forming gate of semiconductor device

Номер патента: KR100802272B1. Автор: 김영석. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-02-11.

Method for forming capacitor of semiconductor device

Номер патента: KR100587082B1. Автор: 이기정. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-06-08.

Method for forming gate of semiconductor device

Номер патента: KR100307537B1. Автор: 모경구. Владелец: 박종섭. Дата публикации: 2001-11-02.

Method for forming capacitor of semiconductor device

Номер патента: KR101026477B1. Автор: 송한상. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-04-01.

Method for forming gate of semiconductor device

Номер патента: KR100818653B1. Автор: 남기원,한기현. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-04-01.

Method for forming gate of semiconductor device

Номер патента: KR100680970B1. Автор: 이종민,최형복. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-02-09.

Method for forming pattern in semiconductor device

Номер патента: KR20080087200A. Автор: 남기원,한기현. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-10-01.

Method for forming gate in semiconductor device

Номер патента: KR100460069B1. Автор: 피승호. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-12-04.

Method for forming gate of semiconductor device

Номер патента: KR100881391B1. Автор: 곽노정,김수현,이영진,황선우,임관용,김백만. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-02-05.

Method for forming gateelectrode in semiconductor device

Номер патента: KR100585011B1. Автор: 정성희. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-05-29.

method for forming gate electrode semiconductor device

Номер патента: KR100351907B1. Автор: 이병학. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2002-09-12.

Method for forming junction in semiconductor device

Номер патента: KR100412129B1. Автор: 김정수,손상호. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-12-31.

Method for forming electrode of semiconductor device

Номер патента: KR100940267B1. Автор: 지연혁. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2010-02-04.

Method for forming gateelectrode in semiconductor device

Номер патента: KR100384864B1. Автор: 지승헌. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-05-22.

Method for forming a packaged semiconductor device

Номер патента: US7838420B2. Автор: Jinbang Tang,William H. Lytle,Darrel R. Frear. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2010-11-23.

A method for forming gate of semiconductor device

Номер патента: KR20060072829A. Автор: 정대호. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-06-28.

Method for forming gate of semiconductor device

Номер патента: KR100668844B1. Автор: 이진열. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-01-16.

Method for forming pattern in semiconductor device

Номер патента: KR100874433B1. Автор: 박형순,신종한,유철휘,박점용,김성준. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-12-17.

Semiconductor device and method for manufacturing the same

Номер патента: US20130049116A1. Автор: Huilong Zhu,Qingqing Liang,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-02-28.

Semiconductor device package and method of manufacturing the same

Номер патента: US20210358859A1. Автор: Ming-Hung Chen,Hui-Ping JIAN,Wei-Zhen QIU. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2021-11-18.

Semiconductor device having an oxynitride film

Номер патента: US5874766A. Автор: Takashi Hori. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1999-02-23.

METHOD FOR THE MANUFACTURE OF A SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR DEVICE MANUFACTURED FROM THE KIND

Номер патента: FR2349955A1. Автор: . Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1977-11-25.

Driving method for reverse conducting semiconductor element, semiconductor device, and feeding device

Номер патента: CN101946324B. Автор: 添野明高,斋藤顺. Владелец: Toyota Motor Corp. Дата публикации: 2013-02-27.

Production method for thin-film crystal wafer, semiconductor device using it and production method therefor

Номер патента: AU2003271176A1. Автор: Masahiko Hata. Владелец: Sumitomo Chemical Co Ltd. Дата публикации: 2004-05-04.

Method for forming high-k charge storage device

Номер патента: SG144931A1. Автор: HSIA Liang Choo,Sohn Dong Kyun,Ang Chew Hoe. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2008-08-28.

Reinforcing sheet and method for producing secondary mounted semiconductor device

Номер патента: US20160042986A1. Автор: Kosuke Morita,Naohide Takamoto,Hiroyuki Senzai. Владелец: Nitto Denko Corp. Дата публикации: 2016-02-11.

Reinforcing sheet and method for producing secondary mounted semiconductor device

Номер патента: US9472439B2. Автор: Kosuke Morita,Naohide Takamoto,Hiroyuki Senzai. Владелец: Nitto Denko Corp. Дата публикации: 2016-10-18.

Die attachment for packaged semiconductor device

Номер патента: US20160118365A1. Автор: Rama I. Hegde,Nishant Lakhera,Akhilesh K. Singh. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2016-04-28.

METHOD FOR FORMING A THIN SEMICONDUCTOR DEVICE

Номер патента: US20140220742A1. Автор: HOSSEINI Kahlil. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2014-08-07.

Semiconductor device package and method for manufacturing the same

Номер патента: US20230326836A1. Автор: Matthew Anthony,Ricardo Yandoc,Jorex Lumanog. Владелец: Nexperia BV. Дата публикации: 2023-10-12.

Semiconductor device package and method for manufacturing the same

Номер патента: EP4213203A1. Автор: Zhou Zhou,Adam Brown,Ricardo Yandoc. Владелец: Nexperia BV. Дата публикации: 2023-07-19.

Semiconductor device package and method for manufacturing the same

Номер патента: EP4261880A1. Автор: Matthew Anthony,Ricardo Yandoc,Jorex Lumanog. Владелец: Nexperia BV. Дата публикации: 2023-10-18.

Semiconductor device and fabrication method

Номер патента: US5689136A. Автор: Mitsuo Usami,Takashi Tase. Владелец: HITACHI LTD. Дата публикации: 1997-11-18.

Circuit board, method for designing circuit board, and semiconductor device

Номер патента: EP3651192A1. Автор: Takanobu Naruse. Владелец: Aisin AW Co Ltd. Дата публикации: 2020-05-13.

Method for forming a capacitor of a semiconductor device

Номер патента: US20030109103A1. Автор: Kyong Kim,Ho-Jung Sun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-06-12.

Nitride compound based semiconductor device and manufacturing method of same

Номер патента: US20020142563A1. Автор: YVES Lacroix,Shiro Sakai. Владелец: Nitride Semiconductors Co Ltd. Дата публикации: 2002-10-03.

Method for forming bump and semiconductor device.

Номер патента: HK1023649A1. Автор: Akira Okamoto. Владелец: Niigata Seimitsu Co Ltd. Дата публикации: 2000-09-15.

Method for forming bump and semiconductor device

Номер патента: AU4725297A. Автор: Akira Okamoto. Владелец: Nigata Semitsu Co Ltd. Дата публикации: 1998-05-29.

Method to detect photoresist residue on a semiconductor device

Номер патента: US20050250227A1. Автор: Yung-Lung Hsu,To-Yu Chen,Mei-Yen Li. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2005-11-10.

Method for fabricating nanometer gate in semiconductor device using thermally reflowed resist technology

Номер патента: TW200424759A. Автор: Edward Y Chang,Huang-Ming Lee. Владелец: Univ Nat Chiao Tung. Дата публикации: 2004-11-16.

Method for manufacturing a capacitor of semiconductor device

Номер патента: TW546695B. Автор: Dong-Su Park,Cheol-Hwan Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-08-11.

Method for capturing gaseous impurities and semiconductor device manufacturing apparatus

Номер патента: GB9711576D0. Автор: . Владелец: NEC Corp. Дата публикации: 1997-07-30.

Apparatus for fabricating semiconductor device and method for forming pattern of semiconductor device

Номер патента: KR100291331B1. Автор: 최광석,김영선,이홍,정진항,정규찬. Владелец: 윤종용. Дата публикации: 2001-07-12.

Methods for polishing copper features of semiconductor devices structures

Номер патента: US20050153556A1. Автор: Nishant Sinha,Dinesh Chopra. Владелец: Dinesh Chopra. Дата публикации: 2005-07-14.

Method for forming resist pattern, semiconductor device and production method thereof

Номер патента: KR100845486B1. Автор: 고자와 미와,노자키 코지. Владелец: 후지쯔 가부시끼가이샤. Дата публикации: 2008-07-10.

METHOD FOR FORMING PATTERNS OF SEMICONDUCTOR DEVICE

Номер патента: US20170025284A1. Автор: KANG Chun-Soo. Владелец: . Дата публикации: 2017-01-26.

Method for Forming a Power Semiconductor Device

Номер патента: US20140235058A1. Автор: Mauder Anton,Schulze Hans-Joachim,Strack Helmut,Berger Rudolf,Lehnert Wolfgang,Hirler Franz,Pruegl Klemens. Владелец: . Дата публикации: 2014-08-21.

METHOD FOR FORMING PATTERNS OF SEMICONDUCTOR DEVICE USING SADP PROCESS

Номер патента: US20140273441A1. Автор: Kim Tae-Soo,CHO Yong-Min. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2014-09-18.

Method for Forming Capacitor of Semiconductor Device

Номер патента: KR100583118B1. Автор: 정종구,박형순. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-05-23.

Method for forming capacitor of semiconductor device

Номер патента: US20050136590A1. Автор: Jong Jung,Hyung Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-06-23.

Method for forming capacitor of semiconductor device

Номер патента: US7056803B2. Автор: Jong Goo Jung,Hyung Soon Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-06-06.

Method for forming inductor of semiconductor device

Номер патента: KR100480893B1. Автор: 조진연. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2005-04-07.

Method for forming capacitor of semiconductor device

Номер патента: KR100405933B1. Автор: 김종국,남기원. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-11-14.

Method for forming capacitor of semiconductor device

Номер патента: US6444479B1. Автор: Hyung Bok Choi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-09-03.

Method for forming gate of semiconductor device using double layer patterning

Номер патента: KR100541703B1. Автор: 한승희. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2006-01-16.

Method for forming pattern of semiconductor device

Номер патента: KR100403323B1. Автор: 김대영,김현곤. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-05-10.

Method for forming pattern of semiconductor device

Номер патента: KR100378539B1. Автор: 김명수,길명군. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-04-03.

Method for forming micropattern in semiconductor device

Номер патента: KR100955265B1. Автор: 이홍구. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2010-04-30.

Method for forming gate of semiconductor device

Номер патента: KR100762907B1. Автор: 채광기. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-10-08.

Method for forming gate of semiconductor device

Номер патента: KR100668850B1. Автор: 황선환,오재근. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-01-16.

Method for forming well of semiconductor device

Номер патента: KR100290902B1. Автор: 심현숙. Владелец: 현대반도체주식회사. Дата публикации: 2001-06-01.

Method for Forming Pattern of Semiconductor Device

Номер патента: KR101043412B1. Автор: 김진수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-06-22.

Method for forming transistor of semiconductor device

Номер патента: KR100241540B1. Автор: 우영탁. Владелец: 현대전자산업주식회사. Дата публикации: 2000-02-01.

A method for forming electrode in semiconductor device

Номер патента: KR100529383B1. Автор: 정중택,박계순. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-11-17.

Method for forming capacitor of semiconductor device

Номер патента: KR100713922B1. Автор: 이기정. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-05-07.

method for forming pattern of semiconductor device

Номер патента: KR100685900B1. Автор: 김주현. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-02-26.

Method for forming pattern of semiconductor device

Номер патента: KR100557949B1. Автор: 이병석. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-03-10.

Method for forming capacitor of semiconductor device

Номер патента: KR100668849B1. Автор: 이기정. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-01-16.

Method for forming patterns of semiconductor device

Номер патента: KR101200938B1. Автор: 여기성,우상균,이지영,오석환,고차원,강율. Владелец: 삼성전자주식회사. Дата публикации: 2012-11-13.

Method for forming pattern of semiconductor device

Номер патента: KR100891532B1. Автор: 이종민,김찬배,정채오,이효석,민성규,안현주. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-04-03.

Method for forming layer in semiconductor device

Номер патента: KR100705423B1. Автор: 임정근. Владелец: 삼성전자주식회사. Дата публикации: 2007-04-09.

Method for forming contact in semiconductor device

Номер патента: KR100717771B1. Автор: 안태항. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-05-11.

Method for forming capacitor of semiconductor device

Номер патента: KR20060007526A. Автор: 강혁수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-01-26.

Method for forming pattern in semiconductor device

Номер патента: KR20150040493A. Автор: 조병욱. Владелец: 에스케이하이닉스 주식회사. Дата публикации: 2015-04-15.

Method for forming micropattern in semiconductor device

Номер патента: KR101070307B1. Автор: 조준희. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-10-06.

Method for forming gate of semiconductor device

Номер патента: KR100900233B1. Автор: 김대영. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-06-02.

Method for forming gate of semiconductor device

Номер патента: KR100650756B1. Автор: 이종민. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-11-27.

Method for forming pattern in semiconductor device

Номер патента: KR100522094B1. Автор: 윤주영,전성오. Владелец: 주식회사 테라반도체. Дата публикации: 2005-10-18.

Method for forming patterns in semiconductor device using ArF light source

Номер патента: KR100853461B1. Автор: 김광옥,송운영. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-08-21.

Method for forming gate of semiconductor device

Номер патента: KR100940115B1. Автор: 강양범. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2010-02-02.

Method for forming gate of semiconductor device

Номер патента: KR100447979B1. Автор: 박성훈,안병권. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-09-10.

Method for forming pattern in semiconductor device

Номер патента: KR100831975B1. Автор: 남기원,김태한. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-05-26.

A method for forming plug in semiconductor device

Номер патента: KR20070001509A. Автор: 유재선. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-01-04.

Method for forming pattern in semiconductor device

Номер патента: KR100761362B1. Автор: 김재영,남기원. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-09-27.

Method for forming capacitor of semiconductor device

Номер патента: KR101004526B1. Автор: 채광기. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2010-12-31.

Method for forming gate in semiconductor device

Номер патента: KR100618707B1. Автор: 전윤석,최형복. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-09-06.

Method for forming capacitor in semiconductor device

Номер патента: KR100470389B1. Автор: 이기정,홍병섭. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-02-07.

Method for forming capacitor of semiconductor device

Номер патента: KR100520140B1. Автор: 신현상. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-12-01.

Method for forming gate of semiconductor device

Номер патента: KR100336748B1. Автор: 김무종. Владелец: 박종섭. Дата публикации: 2002-05-13.

Method for forming pattern of semiconductor device

Номер патента: US8202683B2. Автор: Cheol Kyu Bok,Ki Lyoung Lee,Keun Do Ban. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-06-19.

Method for forming gate of semiconductor device

Номер патента: KR100384784B1. Автор: 전범진,조성윤. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-05-23.

Method for forming capacitor of semiconductor device

Номер патента: KR100248814B1. Автор: 이기엽,배영헌. Владелец: 현대전자산업주식회사. Дата публикации: 2000-03-15.

Method for forming pattern of semiconductor device

Номер патента: US20110312184A1. Автор: Byoung Hoon Lee,Jong Sik Bang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-12-22.

A method for forming pattern of semiconductor device

Номер патента: KR100451509B1. Автор: 유재선. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-10-06.

Method for forming capacitor of semiconductor device

Номер патента: KR100668832B1. Автор: 이기정. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-01-16.

Method for forming gate of semiconductor device

Номер патента: KR100906499B1. Автор: 박정구. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2009-07-08.

Method for forming capacitor of semiconductor device

Номер патента: KR100575887B1. Автор: 홍권,길덕신. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-05-03.

Method for forming bit line semiconductor device

Номер патента: KR100430557B1. Автор: 박철수. Владелец: 동부전자 주식회사. Дата публикации: 2004-05-10.

Method for forming gate in semiconductor device

Номер патента: US20060211186A1. Автор: Hyung Choi,Yun Chun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-09-21.

Method for forming transistor in semiconductor device

Номер патента: KR100340884B1. Автор: 박근숙. Владелец: 박종섭. Дата публикации: 2002-06-20.

Method for forming capacitor of semiconductor device

Номер патента: US6410381B2. Автор: Dong Jun Kim,Kyong Min Kim,Kyung Cheol Jeong,Han Sang Song. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-06-25.

Method for forming capacitor of semiconductor device

Номер патента: KR100598984B1. Автор: 조호진,김해원,이은아,채수진,이금범,장준수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-07-12.

Method for forming capacitor in semiconductor device

Номер патента: KR100744653B1. Автор: 최형복. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-08-01.

Method for forming capacitor of semiconductor device

Номер патента: KR20020096129A. Автор: 이재동,최성제. Владелец: 삼성전자 주식회사. Дата публикации: 2002-12-31.

The method for forming capacitor in semiconductor device

Номер патента: KR100465635B1. Автор: 김해원,채수진. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-01-13.

Method for forming micropattern in semiconductor device

Номер патента: KR101094953B1. Автор: 정진기. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-12-15.

Methods for forming pad of semiconductor devices

Номер патента: KR101026002B1. Автор: 고창진. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2011-03-30.

Method for forming interconnection of semiconductor device

Номер патента: KR100209597B1. Автор: 박지수,변정수. Владелец: 엘지반도체주식회사. Дата публикации: 1999-07-15.

Method for forming capacitor of semiconductor device

Номер патента: KR100631950B1. Автор: 송한상,박기선. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-10-04.

Method for forming well of semiconductor device

Номер патента: KR100186511B1. Автор: 김종채. Владелец: 문정환. Дата публикации: 1999-04-15.

The method for forming bitline in semiconductor device

Номер патента: KR100511913B1. Автор: 박동수,정승훈,김형균,우상호. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-09-02.

Method for forming pattern of semiconductor device

Номер патента: KR100866681B1. Автор: 김수홍. Владелец: 주식회사 동부하이텍. Дата публикации: 2008-11-04.

Method for forming gate of semiconductor device

Номер патента: US20050136575A1. Автор: Sang Ho Woo,Su Ho Kim,Yong Seok Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-06-23.

Method for forming contact of semiconductor device

Номер патента: KR100252924B1. Автор: 강찬호. Владелец: 현대반도체주식회사. Дата публикации: 2000-04-15.

Method for forming capacitor of semiconductor device

Номер патента: US6716756B2. Автор: Tae Woong Kang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-04-06.

Method for forming capacitor of semiconductor device

Номер патента: KR100713906B1. Автор: 이기정. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-05-07.

Method for forming micropattern in semiconductor device

Номер патента: KR20070074174A. Автор: 이재영,이민석. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-07-12.

Method for forming capacitor of semiconductor device

Номер патента: KR100631949B1. Автор: 오재민. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-10-04.

Method for forming plug in semiconductor device

Номер патента: KR100688061B1. Автор: 이정석,남기원. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-03-02.

Method for forming capacitor of semiconductor device

Номер патента: KR100557954B1. Автор: 박동수,채수진,이태혁,박철환,송창록. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-03-10.

Method for forming capacitor of semiconductor device

Номер патента: KR100587088B1. Автор: 박종범. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-06-08.

Method for forming capacitor of semiconductor device

Номер патента: KR100753411B1. Автор: 박종범. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-08-30.

Method for forming gallium nitride semiconductor device with improved forward conduction

Номер патента: US8383499B2. Автор: TingGang Zhu. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2013-02-26.

Method for forming capacitor in semiconductor device

Номер патента: KR100722999B1. Автор: 박종범. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-05-30.

Method for forming pattern in semiconductor device

Номер патента: KR101093626B1. Автор: 이강복. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-12-15.

Method for forming capacitor of semiconductor device

Номер патента: US20030134484A1. Автор: Dong Shin,Ki Park,Kwang Cho,Kyong Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-07-17.

Method for forming micropattern in semiconductor device

Номер патента: KR101161797B1. Автор: 조성윤. Владелец: 에스케이하이닉스 주식회사. Дата публикации: 2012-07-03.

Method for Forming Pattern of Semiconductor device

Номер патента: KR101077453B1. Автор: 복철규,이기령,반근도. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-10-26.

Method for forming capacitor in semiconductor device

Номер патента: TW200400587A. Автор: Byung-Jun Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-01-01.

Method for separating selected articles from an array

Номер патента: US3627124A. Автор: Peter R Hance,Ronald I Strohl,William R Wanesky. Владелец: Western Electric Co Inc. Дата публикации: 1971-12-14.

Semiconductor device and method for manufacturing same

Номер патента: US20200286811A1. Автор: Takaaki Shirasawa,Nobuyoshi Kimoto,Shintaro Araki,Hideo KOMO,Takeshi Omaru. Владелец: Mitsubishi Electric Corp. Дата публикации: 2020-09-10.

Substrate for semiconductor device and method for manufacturing the same and semiconductor device

Номер патента: KR100945438B1. Автор: 이혜용,최영준. Владелец: 주식회사루미지엔테크. Дата публикации: 2010-03-05.

Method and apparatus for forming pattern of semiconductor device

Номер патента: KR100248628B1. Автор: 최용규. Владелец: 현대반도체주식회사. Дата публикации: 2000-03-15.

Process for forming contact of semiconductor device

Номер патента: KR100215899B1. Автор: 유정윤. Владелец: 엘지반도체주식회사. Дата публикации: 1999-08-16.

Method for Producing a Radiation-Emitting Semiconductor Device and Radiation-Emitting Semiconductor Device

Номер патента: US20220028926A1. Автор: Katz Simeon. Владелец: . Дата публикации: 2022-01-27.

METHOD FOR MANUFACTURING MOUNTING DEVICE AND SEMICONDUCTOR DEVICE

Номер патента: US20200243356A1. Автор: TAKANO Tetsuo,Maeda Toru,Nakamura Tomonori. Владелец: SHINKAWA LTD.. Дата публикации: 2020-07-30.

Semiconductor Device and Method for Producing a Plurality of Semiconductor Devices

Номер патента: US20170338384A1. Автор: Thomas Schwarz,Frank Singer. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2017-11-23.

Etching method for use in fabrication of semiconductor devices

Номер патента: US5798303A. Автор: Darwin A. Clampitt. Владелец: Micron Technology Inc. Дата публикации: 1998-08-25.

Method for manufacturing of substrate for semiconductor device

Номер патента: KR101360627B1. Автор: 이성국,심광보. Владелец: 한양대학교 산학협력단. Дата публикации: 2014-02-10.

Method for manufacturing of dielectrics for semiconductor device

Номер патента: KR100924558B1. Автор: 이종민,김찬배,정채오,이효석,민성규,안현주. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-11-02.

Method for forming a compound semiconductor device using a buffer layer over a corrugated surface

Номер патента: US6083813A. Автор: Yasumasa Kashima. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2000-07-04.

PACKAGE FOR SEMICONDUCTOR DEVICE AND METHOD FOR FORMING PACKAGE FOR SEMICONDUCTOR DEVICE.

Номер патента: FR2690274B1. Автор: Adrien Jouvet. Владелец: Motorola Semiconducteurs SA. Дата публикации: 1997-08-22.

Nitride-based semiconductor module and method for manufacturing the same

Номер патента: US20230354525A1. Автор: Chunhua ZHOU,Weigang YAO. Владелец: Innoscience Suzhou Technology Co Ltd. Дата публикации: 2023-11-02.

Nitride-based semiconductor module and method for manufacturing the same

Номер патента: US20220359454A1. Автор: Chunhua ZHOU,Weigang YAO. Владелец: Innoscience Suzhou Technology Co Ltd. Дата публикации: 2022-11-10.

Method for packaging at least one semiconductor device and semiconductor device

Номер патента: DE102015223399A1. Автор: Andreas Krauss,Nicola Mingirulli,Robert Bonasewicz. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2017-06-01.

Semiconductor device, light emitting device and method for manufacturing the same

Номер патента: EP2389693A2. Автор: Yong-Jin Kim,Ho-Jun Lee,Doo-Soo Kim,Dong-Kun Lee. Владелец: Siltron Inc. Дата публикации: 2011-11-30.

Semiconductor stack, semiconductor device and method for manufacturing the same

Номер патента: US12057524B2. Автор: Meng-yang CHEN,Jung-Jen LI. Владелец: Epistar Corp. Дата публикации: 2024-08-06.

Method for manufacturing a capacitor for semiconductor device

Номер патента: KR970008812B1. Автор: Yang-Kyu Choe. Владелец: Hyundai Electronics Ind. Дата публикации: 1997-05-29.

A method for analyzing defects in a semiconductor device

Номер патента: GB9606291D0. Автор: . Владелец: Hyundai Electronics Co Ltd. Дата публикации: 1996-05-29.

Optoelectronic Semiconductor Device and Method for Forming an Optoelectronic Semiconductor Device

Номер патента: US20200287115A1. Автор: Alias Asliza,KHOO Lay Sin. Владелец: . Дата публикации: 2020-09-10.

Method for forming buffer pad, semiconductor device and making method, circuit substrate and electronic equipment

Номер патента: CN1211835C. Автор: 依田刚. Владелец: Seiko Epson Corp. Дата публикации: 2005-07-20.

Method for forming bump for semiconductor device

Номер патента: JP3164341B2. Автор: 尚 西森. Владелец: Tanaka Kikinzoku Kogyo KK. Дата публикации: 2001-05-08.

Method for forming electrode for semiconductor device and package

Номер патента: JP3119739B2. Автор: 芳宏 別所,善広 戸村. Владелец: Panasonic Corp. Дата публикации: 2000-12-25.

Method for forming capacitor in semiconductor device

Номер патента: KR100331781B1. Автор: 백용구. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2002-11-07.

The method for forming capacitor in semiconductor device

Номер патента: KR100979232B1. Автор: 이준현. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2010-08-31.

Method for forming capacitor of semiconductor device

Номер патента: KR100527687B1. Автор: 김영남,윤주병,황경환. Владелец: 삼성전자주식회사. Дата публикации: 2005-11-28.

Method for forming capacitor of semiconductor device

Номер патента: KR100464652B1. Автор: 김장식. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-12-31.

A method for forming capacitor of semiconductor device

Номер патента: KR101096213B1. Автор: 배상만. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-12-22.

Method for forming capacitor of semiconductor device

Номер патента: KR100316020B1. Автор: 홍권. Владелец: 박종섭. Дата публикации: 2002-02-19.

Method for forming capacitor of semiconductor device

Номер патента: KR100523605B1. Автор: 곽상윤. Владелец: 동부아남반도체 주식회사. Дата публикации: 2005-10-24.

Method for forming capacitor of semiconductor device

Номер патента: KR100772100B1. Автор: 이종민. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-11-01.

Method for forming capacitor of semiconductor device

Номер патента: KR100382553B1. Автор: 박철준. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-05-09.

Method for forming capacitor of semiconductor device

Номер патента: KR100691495B1. Автор: 유용식. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-03-09.

Method for forming capacitor of semiconductor device

Номер патента: KR100209377B1. Автор: 김종철,홍권,유상호,선호정. Владелец: 현대전자산업주식회사. Дата публикации: 1999-07-15.

Method for forming capacitor of semiconductor device

Номер патента: KR100772101B1. Автор: 이기정. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-11-01.

Method for forming electrode of semiconductor device

Номер патента: KR100608326B1. Автор: 류상욱. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2006-08-04.

Method for forming capacitor of semiconductor device

Номер патента: KR100728959B1. Автор: 이기정. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-06-15.

A method for forming capacitor in semiconductor device

Номер патента: KR100520447B1. Автор: 정경철. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-10-11.

Method for forming capacitor in semiconductor device

Номер патента: KR20070075532A. Автор: 이종민,박종범,최형복. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-07-24.

Method for forming capacitor of semiconductor device

Номер патента: CN100336170C. Автор: 金奎显,尹孝根,崔根敏. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-09-05.

Method for forming bump on semiconductor device or wiring board

Номер патента: JP2005086127A. Автор: 俊典 小柏,Toshinori Kogashiwa,Yasunori Gama,保典 蒲. Владелец: Tanaka Kikinzoku Kogyo KK. Дата публикации: 2005-03-31.

Method for forming pad of semiconductor device

Номер патента: KR100763709B1. Автор: 김태호. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-10-04.

Method for forming capacitor in semiconductor device

Номер патента: KR100330571B1. Автор: 최근민. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2002-10-25.

A method for forming capacitor in semiconductor device

Номер патента: KR100604659B1. Автор: 유용식. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-07-25.

Method for forming capacitor of semiconductor device

Номер патента: KR101124563B1. Автор: 박지용,김동주,윤효근,조한우. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2012-03-16.

Method for forming capacitor, and semiconductor device

Номер патента: WO2023279567A1. Автор: 王晓玲,杨蒙蒙. Владелец: 北京超弦存储器研究院. Дата публикации: 2023-01-12.

Method for forming capacitor and semiconductor device

Номер патента: CN115996561A. Автор: 王晓玲,洪海涵,张民慧. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-04-21.

Method for forming capacitor of semiconductor device

Номер патента: US7052956B2. Автор: Cha Deok Dong,Il Keoun Han. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-05-30.

Method for forming capacitor of semiconductor device

Номер патента: KR100596805B1. Автор: 이기정. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-07-04.

Method for forming or testing semiconductor device pattern

Номер патента: JP2907971B2. Автор: 知孝 桧垣. Владелец: Toshiba Corp. Дата публикации: 1999-06-21.

Method for forming capacitor in semiconductor device

Номер патента: KR100431299B1. Автор: 신동석,안병권. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-05-12.

Method for forming capacitor of semiconductor device

Номер патента: KR100997777B1. Автор: 송영표. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2010-12-02.

Method for forming capacitor of semiconductor device

Номер патента: KR100842911B1. Автор: 강혁수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-07-02.

Method for forming micropattern in semiconductor device

Номер патента: KR100965775B1. Автор: 김원규. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2010-06-24.

Method for forming capacitor of semiconductor device

Номер патента: US20090275186A1. Автор: Jae Soo Kim,Dong Kyun Lee,Ho Jin Cho,Cheol Hwan Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-11-05.

Method for forming capacitor of semiconductor device

Номер патента: KR100532959B1. Автор: 강명희. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-12-01.

Method for forming capacitor in semiconductor device

Номер патента: KR20020014489A. Автор: 박제민. Владелец: 윤종용. Дата публикации: 2002-02-25.

Method for producing sealed optical semiconductor device

Номер патента: US11139419B2. Автор: Steven Swier,Eiji Kitaura,Masaaki Amako. Владелец: DuPont Toray Specialty Materials KK. Дата публикации: 2021-10-05.

System and Method for Production Line Monitoring

Номер патента: US20160377552A1. Автор: Martin Plihal,Saravanan Paramasivam. Владелец: KLA Tencor Corp. Дата публикации: 2016-12-29.

MEMORY DEVICE, SEMICONDUCTOR DEVICE, AND METHODS FOR PRODUCING MEMORY DEVICE AND SEMICONDUCTOR DEVICE

Номер патента: US20160240586A1. Автор: MASUOKA Fujio,Nakamura Hiroki. Владелец: . Дата публикации: 2016-08-18.

Semiconductor device and method for detecting damaging of a semiconductor device

Номер патента: US20150253375A1. Автор: DIRK Meinhold. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2015-09-10.

Method for Producing a Light-Emitting Semiconductor Device and Light-Emitting Semiconductor Device

Номер патента: US20200194631A1. Автор: Raukas Madis,Kundaliya Darshan. Владелец: . Дата публикации: 2020-06-18.

Semiconductor device and method for detecting damaging of a semiconductor device

Номер патента: US20150253375A1. Автор: Meinhold Dirk. Владелец: . Дата публикации: 2015-09-10.

Spherical semiconductor device and the manufacture method for the same and spherical semiconductor device material

Номер патента: AU736457B2. Автор: Josuke Nakata. Владелец: SPHELAR POWER CORP. Дата публикации: 2001-07-26.

Side light emitting semiconductor device and method for manufacturing side light emitting semiconductor device

Номер патента: JP4362125B2. Автор: 健 中原. Владелец: ROHM CO LTD. Дата публикации: 2009-11-11.

Method for manufacturing substrate for mounting semiconductor device

Номер патента: JP2953163B2. Автор: 誠 鳥海,宏和 田中,秀昭 吉田. Владелец: Mitsubishi Materials Corp. Дата публикации: 1999-09-27.

Method for manufacturing lead frame for semiconductor device

Номер патента: JP2955043B2. Автор: 英美 跡部. Владелец: Shinko Electric Industries Co Ltd. Дата публикации: 1999-10-04.

Semiconductor laser device structures and methods of fabrication thereof

Номер патента: US20220311206A1. Автор: Richard D. Clayton,William A. Hagley,Lawrence E. TAROF. Владелец: Electrophotonic-Ic Inc. Дата публикации: 2022-09-29.

Method for forming gate in semiconductor device

Номер патента: KR100866113B1. Автор: 조진연. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2008-10-31.

Method for forming gate in semiconductor device

Номер патента: KR20040002189A. Автор: 조진연. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-01-07.

METHODS FOR ACQUIRING PLANAR VIEW STEM IMAGES OF DEVICE STRUCTURES

Номер патента: US20190304744A1. Автор: Williams Scott M.,Porter Jamie C.,Davlin Clint R.,LeBret Joel B.. Владелец: . Дата публикации: 2019-10-03.

METHODS FOR ACQUIRING PLANAR VIEW STEM IMAGES OF DEVICE STRUCTURES

Номер патента: US20190353566A1. Автор: Williams Scott M.,Porter Jamie C.,Davlin Clint R.,LeBret Joel B.. Владелец: . Дата публикации: 2019-11-21.

Group III Nitride Semiconductor Device and Method for Manufacturing Group III Nitride Semiconductor Device

Номер патента: US20090257467A1. Автор: Koichi Naniwae,Ichiro Masumoto. Владелец: NEC Corp. Дата публикации: 2009-10-15.

Method for estimating threshold voltage of semiconductor device

Номер патента: US20070153586A1. Автор: Sang Hun Kwak. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-05.

Method for estimating threshold voltage of semiconductor device

Номер патента: US7660164B2. Автор: Sang Hun Kwak. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2010-02-09.

Apparatus and method for precision trimming of a semiconductor device

Номер патента: US20020105452A1. Автор: Lawrence Swanson,Glen Johnson,John Clapp,Douglas Lebo. Владелец: Individual. Дата публикации: 2002-08-08.

Structure and a method for storing information in a semiconductor device

Номер патента: US5895962A. Автор: Todd A. Merritt,Hua Zheng,Michael Shore,Jeffrey P. Wright. Владелец: Micron Technology Inc. Дата публикации: 1999-04-20.

Semiconductor device having an expanded storage node contact and method for fabricating the same

Номер патента: US20100109075A1. Автор: Tae O Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-05-06.

Semiconductor device having an expanded storage node contact and method for fabricating the same

Номер патента: US20110076835A1. Автор: Tae O. Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-03-31.

Systems and methods for reducing power consumption in semiconductor devices

Номер патента: US20150015306A1. Автор: Mark D. Hall,Anis M. Jarrar,Surya Veeraraghavan,David R. Tipple. Владелец: Individual. Дата публикации: 2015-01-15.

A method and apparatus for forming leads of semiconductor devices using a single point contact swing cam

Номер патента: GB9307663D0. Автор: . Владелец: Advanced Systems Automation Ltd Singapore. Дата публикации: 1993-06-02.

Method for preparing test samples for semiconductor devices

Номер патента: US20210348989A1. Автор: SHENG Chen,Qiang Chen,Jinde Gao. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2021-11-11.

Method for preparing test samples for semiconductor devices

Номер патента: US20210348990A1. Автор: Qiang Chen,Yanrong Qiu,Jinde Gao. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2021-11-11.

Systems and methods for acoustic emission monitoring of semiconductor devices

Номер патента: EP3500850A2. Автор: Gal Shaul,Saar Yoskovitz,Ori NEGRI,Eduard Rudyk. Владелец: Augury Systems Ltd. Дата публикации: 2019-06-26.

Systems and methods for acoustic emission monitoring of semiconductor devices

Номер патента: US20230273159A1. Автор: Gal Shaul,Saar Yoskovitz,Ori NEGRI,Eduard Rudyk. Владелец: Augury Systems Ltd. Дата публикации: 2023-08-31.

Method for observing tungsten plug of semiconductor device microscopically

Номер патента: US5989930A. Автор: Shu-Ying Lu,Fei-Chun Tseng. Владелец: United Microelectronics Corp. Дата публикации: 1999-11-23.

Method for testing memory cell in semiconductor device

Номер патента: US6556493B2. Автор: Tae-Kyu Kim,Yoon-Soo Jang,Young-Seon You,Mun-Hwa Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-04-29.

Circuit and method for data output in synchronous semiconductor device

Номер патента: US20030189844A1. Автор: Jin-seok Kwak,Seong-Jin Jang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2003-10-09.

Device and method for programming multiple arrays of semiconductor devices

Номер патента: US5466117A. Автор: Edwin W. Resler,Vincent L. Tong,Russell C. Swanson,W. Scott Bogden. Владелец: Xilinx Inc. Дата публикации: 1995-11-14.

Semiconductor Device and Method For Preventing Attacks on the Semiconductor Device

Номер патента: US20090049548A1. Автор: Soenke Ostertun,Joachim Christoph Hans Garbe. Владелец: NXP BV. Дата публикации: 2009-02-19.

Systems and methods for acoustic emission monitoring of semiconductor devices

Номер патента: US11977053B2. Автор: Gal Shaul,Saar Yoskovitz,Ori NEGRI,Eduard Rudyk. Владелец: Augury Systems Ltd. Дата публикации: 2024-05-07.

Apparatuses and methods for refreshing memory of a semiconductor device

Номер патента: US20190362775A1. Автор: Masaru Morohashi. Владелец: Micron Technology Inc. Дата публикации: 2019-11-28.

Method for generating command pulses and semiconductor device configured to perform the method

Номер патента: US20200160899A1. Автор: Jae Il Kim,Chang Hyun Kim. Владелец: SK hynix Inc. Дата публикации: 2020-05-21.

Method for routing between pins of semiconductor device and design system therewith

Номер патента: US20190188354A1. Автор: Hyosig WON,Chunghee Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-06-20.

Usage of redundancy data for displaying failure bit maps for semiconductor devices

Номер патента: EP1242999A1. Автор: Michael Barnhard Sommer. Владелец: Infineon Technologies Richmond LP. Дата публикации: 2002-09-25.

Systems and methods for determining aging damage for semiconductor devices

Номер патента: US20140123085A1. Автор: Mehul D. Shroff,Peter P. Abramowitz. Владелец: Individual. Дата публикации: 2014-05-01.

Reflective mask blank, reflective mask, and method for manufacturing reflective mask and semiconductor device

Номер патента: US12072619B2. Автор: Masanori Nakagawa,Tsutomu Shoki. Владелец: Hoya Corp. Дата публикации: 2024-08-27.

Circuit and method for data output in synchronous semiconductor device

Номер патента: TWI263126B. Автор: Jin-seok Kwak,Seong-Jin Jang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-10-01.

METHOD FOR FORMING A PACKAGED SEMICONDUCTOR DEVICE

Номер патента: US20150061709A1. Автор: Reber Douglas M.,Ajuria Sergio A.,Nguyen Phuc M.. Владелец: . Дата публикации: 2015-03-05.

METHOD FOR FORMING PATTERNS OF SEMICONDUCTOR DEVICE BY USING MIXED ASSIST FEATURE SYSTEM

Номер патента: US20140205954A1. Автор: Lee Jeon Kyu. Владелец: SK HYNIX INC.. Дата публикации: 2014-07-24.

method for forming mask of semiconductor device

Номер патента: KR100370136B1. Автор: 전호열. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-01-30.

Method for forming resistor of semiconductor device

Номер патента: KR100621229B1. Автор: 금소현. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2006-09-13.

Method for forming contact of semiconductor device

Номер патента: KR100532954B1. Автор: 박성찬,이인노. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-12-01.

Method for forming resistor of semiconductor device

Номер патента: KR101044384B1. Автор: 김영근. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2011-06-29.

Method for forming transistor of semiconductor device

Номер патента: KR100973260B1. Автор: 이준현. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2010-07-30.

Method for forming insulator in semiconductor device

Номер патента: KR100434311B1. Автор: 조일현. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-06-05.

Method for forming mask in semiconductor device

Номер патента: KR100356794B1. Автор: 배상만. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2002-10-19.

Method for forming plug in semiconductor device

Номер патента: KR100494127B1. Автор: 지연혁,전광석. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-06-13.

Method for forming isolation of semiconductor device

Номер патента: KR100967201B1. Автор: 윤창준. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2010-07-05.

Method for forming contact in semiconductor device

Номер патента: KR100744689B1. Автор: 이영호,안태항. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-08-02.

Method for forming gate in semiconductor device

Номер патента: KR100456316B1. Автор: 이병학. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-11-10.

Systems, Methods and Computer Program Products for Analyzing Performance of Semiconductor Devices

Номер патента: US20160267205A1. Автор: Jing Wang,Nuo XU,Woosung CHOI. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-09-15.

Reconfigurable array of test structures and method for testing an array of test structures

Номер патента: US5952838A. Автор: Victor Tikhonov. Владелец: Sony Electronics Inc. Дата публикации: 1999-09-14.

Apparatuses and methods for redundance match control at refresh to disable wordline activation

Номер патента: US20220199141A1. Автор: Minari Arai. Владелец: Micron Technology Inc. Дата публикации: 2022-06-23.

Driving method of semiconductor device

Номер патента: US20140253533A1. Автор: Hiroyuki Miyake. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2014-09-11.

High-frequency tester for semiconductor devices

Номер патента: US20020125878A1. Автор: Mitchell Alsup,Joe Jones. Владелец: Individual. Дата публикации: 2002-09-12.

Usage of redundancy data for displaying failure bit maps for semiconductor devices

Номер патента: WO2001050475A1. Автор: Michael Barnhard Sommer. Владелец: Infineon Technologies North America Corp.. Дата публикации: 2001-07-12.

Method for producing non-monocrystalline semiconductor device

Номер патента: US5420044A. Автор: Hiraku Kozuka. Владелец: Canon Inc. Дата публикации: 1995-05-30.

Semiconductor device outputting read data in synchronization with clock signal

Номер патента: US9135979B2. Автор: Yuki Nakamura,Takuyo Kodama. Владелец: PS4 Luxco SARL. Дата публикации: 2015-09-15.

Semiconductor device outputting read data in synchronization with clock signal

Номер патента: US20140104970A1. Автор: Yuki Nakamura,Takuyo Kodama. Владелец: Longitude Semiconductor SARL. Дата публикации: 2014-04-17.

METHOD FOR GENERATING COMMAND PULSES AND SEMICONDUCTOR DEVICE CONFIGURED TO PERFORM THE METHOD

Номер патента: US20200160899A1. Автор: Kim Chang Hyun,Kim Jae Il. Владелец: SK HYNIX INC.. Дата публикации: 2020-05-21.

Method for testing device configuration and semiconductor device

Номер патента: JP4686124B2. Автор: ▲ジュン▼ 煥 崔. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2011-05-18.

Systems and methods for determining aging damage for semiconductor devices

Номер патента: US20140123085A1. Автор: Mehul D. Shroff,Peter P. Abramowitz. Владелец: Individual. Дата публикации: 2014-05-01.

APPARATUSES AND METHODS FOR CYCLIC REDUNDANCY CALCULATION FOR SEMICONDUCTOR DEVICE

Номер патента: US20220100602A1. Автор: FUJIMAKI Ryo. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2022-03-31.

INTERRUPT MONITORING SYSTEMS AND METHODS FOR FAILURE DETECTION FOR A SEMICONDUCTOR DEVICE

Номер патента: US20200201796A1. Автор: KAWAKAMI Daisuke,HAMASAKI Hiroyuki,Yamaguchi Kyohei. Владелец: . Дата публикации: 2020-06-25.

INTERRUPT MONITORING SYSTEMS AND METHODS FOR FAILURE DETECTION FOR A SEMICONDUCTOR DEVICE

Номер патента: US20210263869A1. Автор: KAWAKAMI Daisuke,HAMASAKI Hiroyuki,Yamaguchi Kyohei. Владелец: . Дата публикации: 2021-08-26.

METHOD FOR PREPARING TEST SAMPLES FOR SEMICONDUCTOR DEVICES

Номер патента: US20210348989A1. Автор: CHEN QIANG,Chen Sheng,GAO Jinde. Владелец: . Дата публикации: 2021-11-11.

Method for exposing using reticle for semiconductor device

Номер патента: KR100728947B1. Автор: 인성욱. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-06-15.

A method for forming electrode in semiconductor devices

Номер патента: AU1916667A. Автор: . Владелец: . Дата публикации: 1968-09-12.

A method for forming electrode in semiconductor devices

Номер патента: AU413865B2. Автор: . Владелец: . Дата публикации: 1968-09-12.

Method for measuring critical dimension of semiconductor device

Номер патента: TW200905768A. Автор: Yu-Chang Lin,Shao-Kang Chang. Владелец: Nanya Technology Corp. Дата публикации: 2009-02-01.

Methods for reducing cell pitch in semiconductor devices

Номер патента: TW200503099A. Автор: Jiun-Ren Lai. Владелец: Macronix Int Co Ltd. Дата публикации: 2005-01-16.

Method for measuring critical dimension of semiconductor device

Номер патента: TWI340422B. Автор: Yu Chang Lin,Shao Kang Chang. Владелец: Nanya Technology Corp. Дата публикации: 2011-04-11.

Method for stripping and picking of semiconductor device

Номер патента: TW200426927A. Автор: Wen-Kuo Chiou. Владелец: MOTECH TAIWAN AUTOMATIC CORP. Дата публикации: 2004-12-01.

Manufacturing method for self-aligned insulated gate semiconductor device

Номер патента: TW454351B. Автор: Ke-Yu Yu. Владелец: Advanced Power Electronics Cor. Дата публикации: 2001-09-11.

Resist composition, method for forming resist pattern, semiconductor device and method for manufacturing the same

Номер патента: JP4686393B2. Автор: 純一 今. Владелец: Fujitsu Ltd. Дата публикации: 2011-05-25.

METHOD FOR FORMING RESIST PATTERN, SEMICONDUCTOR DEVICE AND PRODUCTION METHOD THEREOF

Номер патента: US20120098103A1. Автор: KOZAWA Miwa,Nozaki Koji. Владелец: FUJITSU LIMITED. Дата публикации: 2012-04-26.

Methods For Forming Contacts in Semiconductor Devices

Номер патента: US20120070977A1. Автор: . Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2012-03-22.

Method For Forming Gallium Nitride Semiconductor Device With Improved Forward Conduction

Номер патента: US20120282762A1. Автор: . Владелец: ALPHA & OMEGA SEMICONDUCTOR, INC.. Дата публикации: 2012-11-08.

METHOD FOR FORMING AN ASYMMETRIC SEMICONDUCTOR DEVICE

Номер патента: US20120302022A1. Автор: Kolagunta Venkat R.. Владелец: . Дата публикации: 2012-11-29.

METHOD FOR FORMING OPENINGS IN SEMICONDUCTOR DEVICE

Номер патента: US20130017687A1. Автор: Liu Hsien-Wen,Chen Yi-Nan,Lin Chih-Ching. Владелец: NANYA TECHNOLOGY CORPORATION. Дата публикации: 2013-01-17.

Method for forming wiring of semiconductor device

Номер патента: JP4720089B2. Автор: 英朗 吉田. Владелец: Panasonic Corp. Дата публикации: 2011-07-13.

Method for forming wiring in semiconductor device

Номер патента: KR100784099B1. Автор: 김태경,조직호. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-12-10.

Method for forming silicide in semiconductor device

Номер патента: KR100314272B1. Автор: 고창진. Владелец: 박종섭. Дата публикации: 2002-04-06.

Method for forming patterns of semiconductor device

Номер патента: KR20070051196A. Автор: 조한구,우상균,고차원,강율. Владелец: 삼성전자주식회사. Дата публикации: 2007-05-17.

Method for forming spacer of semiconductor device

Номер патента: KR970063466A. Автор: 여기성,남정림. Владелец: 김광호. Дата публикации: 1997-09-12.

Method for forming capacitor of semiconductor device

Номер патента: KR980006380A. Автор: 전범진,이호석. Владелец: 현대전자산업 주식회사. Дата публикации: 1998-03-30.

Method for forming electrode of semiconductor device

Номер патента: JP2585106B2. Автор: 勝司 多良,則行 平山. Владелец: Matsushita Electronics Corp. Дата публикации: 1997-02-26.

Method for forming capacitors of semiconductor device

Номер патента: KR0126624B1. Автор: 김석수. Владелец: 김주용. Дата публикации: 1997-12-26.

Method for forming contact in semiconductor device

Номер патента: KR100365743B1. Автор: 남종완,권성우. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-03-03.

Method for forming electrode in semiconductor devices

Номер патента: CA844249A. Автор: Inoue Morio,Kano Gota,Matsuno Jinichi,Takayanagi Shigetoshi. Владелец: Matsushita Electronics Corp. Дата публикации: 1970-06-09.

Method for forming wiring of semiconductor device

Номер патента: KR970072318A. Автор: 김병준,이성민,이장원,윤여철. Владелец: 김광호. Дата публикации: 1997-11-07.

Method for forming electrode of semiconductor device having diffusion barrier function

Номер патента: JP2645811B2. Автор: ゾン・ス・ビョン. Владелец: ERU JII SEMIKON CO Ltd. Дата публикации: 1997-08-25.

Method for forming electrode of semiconductor device

Номер патента: JP3340648B2. Автор: 進 村上,征男 鶴岡,光幸 松崎,実 菅野. Владелец: HITACHI LTD. Дата публикации: 2002-11-05.

Method for forming electrode of semiconductor device

Номер патента: JP2709086B2. Автор: 邦彦 浜田,進 福田,博司 野口. Владелец: 株式会社 村田製作所. Дата публикации: 1998-02-04.

Method for forming polycides of semiconductor devices

Номер патента: KR980012091A. Автор: 홍영기. Владелец: 김광호. Дата публикации: 1998-04-30.

FILM FOR USE IN MANUFACTURING SEMICONDUCTOR DEVICES, METHOD FOR PRODUCING THE FILM AND SEMICONDUCTOR DEVICE

Номер патента: US20120052269A1. Автор: . Владелец: SUMITOMO BAKELITE COMPANY LIMITED. Дата публикации: 2012-03-01.

Method for Manufacturing Contacts for a Semiconductor Device, and Semiconductor Device Having Such Contacts

Номер патента: US20120056278A1. Автор: . Владелец: . Дата публикации: 2012-03-08.

Lead frame material for semiconductor device and method for manufacturing lead frame for semiconductor device

Номер патента: JP2701495B2. Автор: 荘太郎 土岐,直美 中山. Владелец: Toppan Inc. Дата публикации: 1998-01-21.

Mask for Forming Patterns of Semiconductor Device

Номер патента: US20120058420A1. Автор: . Владелец: . Дата публикации: 2012-03-08.

Process for forming contact of semiconductor device and structure thereof

Номер патента: KR100228272B1. Автор: 김장래. Владелец: 윤종용. Дата публикации: 1999-11-01.

Method and apparatus for forming lead of semiconductor device

Номер патента: JPS6414946A. Автор: Kenji Taguchi. Владелец: Toshiba Corp. Дата публикации: 1989-01-19.

Forming methods for pseudo gate device and semiconductor device in gate-last process

Номер патента: CN104576725A. Автор: 朱慧珑,殷华湘,赵治国. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2015-04-29.

Method for manufacturing lead frame for semiconductor device

Номер патента: JP2520486B2. Автор: 正幸 樋口. Владелец: Mitsui High Tech Inc. Дата публикации: 1996-07-31.