METHOD FOR FORMING METAL OXIDE SEMICONDUCTOR DEVICE

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method for forming metal oxide semiconductor device

Номер патента: US09741830B2. Автор: Kung-Hong Lee,Chun-Jung Tang,Te-Chih Chen,Tai-Ju Chen. Владелец: United Microelectronics Corp. Дата публикации: 2017-08-22.

Method of making a CMOS semiconductor device using a stressed silicon-on-insulator (SOI) wafer

Номер патента: US09466720B2. Автор: Qing Liu,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2016-10-11.

Method of making a cmos semiconductor device using a stressed silicon-on-insulator (soi) wafer

Номер патента: US20180323301A1. Автор: Qing Liu,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2018-11-08.

Method of making a cmos semiconductor device using a stressed silicon-on-insulator (soi) wafer

Номер патента: US20160268433A1. Автор: Qing Liu,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2016-09-15.

Methods for forming fins for metal oxide semiconductor device structures

Номер патента: US09607987B2. Автор: Tahir Ghani,Martin D. Giles. Владелец: Intel Corp. Дата публикации: 2017-03-28.

Semiconductor device and method

Номер патента: US11855163B2. Автор: Weng Chang,Cheng-Lung Hung,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Semiconductor device and method

Номер патента: US20240113183A1. Автор: Weng Chang,Cheng-Lung Hung,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-04.

Oxide semiconductor, semiconductor device, semiconductor memory device, and solid-state imaging device

Номер патента: US20180076292A1. Автор: Hisayo Momose,Nobuki KANREI. Владелец: Toshiba Corp. Дата публикации: 2018-03-15.

Oxide semiconductor, semiconductor device and method of manufacturing an oxide semiconductor

Номер патента: US20240250179A1. Автор: Hitoshi Takane,Kentaro Kaneko,Toshimi Hitora. Владелец: Flosfia Inc. Дата публикации: 2024-07-25.

Amorphous oxide semiconductor, semiconductor device, and thin film transistor

Номер патента: EP2150982A1. Автор: Hisato Yabuta,Ryo Hayashi,Nobuyuki Kaji,Ayanori Endo. Владелец: Canon Inc. Дата публикации: 2010-02-10.

Methods for forming fins for metal oxide semiconductor device structures

Номер патента: KR101700213B1. Автор: 타히르 가니,마틴 디. 자일스. Владелец: 인텔 코포레이션. Дата публикации: 2017-01-26.

Methods for forming fins for metal oxide semiconductor device structures

Номер патента: TW201730982A. Автор: 馬丁 吉爾斯,塔何 甘尼. Владелец: 英特爾股份有限公司. Дата публикации: 2017-09-01.

Method for manufacturing NMOS (N-channel metal oxide semiconductor) transistor

Номер патента: CN103000522B. Автор: 涂火金. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2015-04-01.

Semiconductor device and method for fabricating the same

Номер патента: US20240072171A1. Автор: Young Gwang YOON. Владелец: SK hynix Inc. Дата публикации: 2024-02-29.

Semiconductor device and method for fabricating the same

Номер патента: US11848383B2. Автор: Young Gwang YOON. Владелец: SK hynix Inc. Дата публикации: 2023-12-19.

METHOD FOR SELECTIVELY DEPOSITING A GROUP IV SEMICONDUCTOR AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер патента: US20190027584A1. Автор: Tolle John,Margetis Joe. Владелец: . Дата публикации: 2019-01-24.

Method for selectively depositing a Group IV semiconductor and related semiconductor device structures

Номер патента: US11018002B2. Автор: John Tolle,Joe Margetis. Владелец: ASM IP Holding BV. Дата публикации: 2021-05-25.

Method for making semiconductor device

Номер патента: US20230352347A1. Автор: Guang Yang,Chin-Chun Huang,Wen Yi Tan,Jinjian Ouyang,Linshan Yuan,Yuchun Guo. Владелец: United Semiconductor Xiamen Co Ltd. Дата публикации: 2023-11-02.

Methods for forming a transistor

Номер патента: EP1759409A2. Автор: Victor Moroz,Lori D. Washington,Faran Nouri. Владелец: Applied Materials Inc. Дата публикации: 2007-03-07.

Methods for performing a gate cut last scheme for FinFET semiconductor devices

Номер патента: US09991361B2. Автор: Xintuo Dai,Xusheng Wu,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2018-06-05.

Amorphous oxide semiconductor, semiconductor device, and thin film transistor

Номер патента: TW200849605A. Автор: Hisato Yabuta,Ryo Hayashi,Nobuyuki Kaji,Ayanori Endo. Владелец: Canon Kk. Дата публикации: 2008-12-16.

Methods for performing a gate cut last scheme for finfet semiconductor devices

Номер патента: US20170345913A1. Автор: Xintuo Dai,Xusheng Wu,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-30.

Shielding structure for a vertical iii-nitride semiconductor device

Номер патента: EP4391065A1. Автор: Hu Liang,Stefaan Decoutere,Karen GEENS. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Shielding structure for a vertical iii-nitride semiconductor device

Номер патента: WO2024132524A1. Автор: Hu Liang,Jens Baringhaus,Kevin Dannecker,Stefaan Decoutere,Karen GEENS. Владелец: IMEC VZW. Дата публикации: 2024-06-27.

Semiconductor device and method for fabricating the same

Номер патента: US20160268311A1. Автор: Chia-Fu Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2016-09-15.

Thin film transistor, method for manufacturing the same, and semiconductor device

Номер патента: US12136629B2. Автор: Hideyuki Kishida,Toshikazu Kondo. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2024-11-05.

Method for manufacturing semiconductor device

Номер патента: US09496375B2. Автор: Atsuo Isobe,Kunio Hosoya. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2016-11-15.

Semiconductor device and method for fabricating the same

Номер патента: US09490265B2. Автор: Chia-Fu Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2016-11-08.

Thin film transistor, method for manufacturing the same, and semiconductor device

Номер патента: US09859306B2. Автор: Hideyuki Kishida,Toshikazu Kondo. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2018-01-02.

Method for manufacturing semiconductor device

Номер патента: US09425295B2. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2016-08-23.

Semiconductor device

Номер патента: US20240088302A1. Автор: Toshinari Sasaki,Masashi TSUBUKU,Hajime Watakabe,Takaya Tamaru. Владелец: Japan Display Inc. Дата публикации: 2024-03-14.

Semiconductor device and method for manufacturing the same

Номер патента: US09647095B2. Автор: Shunpei Yamazaki,Hideomi Suzawa. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-05-09.

Method for manufacturing semiconductor device

Номер патента: US09985118B2. Автор: Shunpei Yamazaki,Junichiro Sakata,Toshinari Sasaki,Hiroki Ohara. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2018-05-29.

Method for manufacturing semiconductor device

Номер патента: US09412768B2. Автор: Shunpei Yamazaki,Junichiro Sakata,Toshinari Sasaki,Hiroki Ohara. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2016-08-09.

METHODS FOR FORMING FINS FOR METAL OXIDE SEMICONDUCTOR DEVICE STRUCTURES

Номер патента: US20170200744A1. Автор: Ghani Tahir,Giles Martin D.. Владелец: Intel Corporation. Дата публикации: 2017-07-13.

METHODS FOR PERFORMING A GATE CUT LAST SCHEME FOR FINFET SEMICONDUCTOR DEVICES

Номер патента: US20170345913A1. Автор: Huang Haigou,Wu Xusheng,DAI Xintuo. Владелец: . Дата публикации: 2017-11-30.

Metal oxide semiconductor device and method for manufacturing the same

Номер патента: US20230170401A1. Автор: He Sun,Jiakun Wang. Владелец: Hangzhou Silicon Magic Semiconductor Technology Co Ltd. Дата публикации: 2023-06-01.

Fabricating method of lateral-diffused metal oxide semiconductor device

Номер патента: US09780171B2. Автор: Ming-Shing Chen,Wei-Ting Wu,Ming-Hui Chang,Chao-Chun Ning. Владелец: United Microelectronics Corp. Дата публикации: 2017-10-03.

Device architecture and method for precision enhancement of vertical semiconductor devices

Номер патента: US09997455B2. Автор: Thomas E. Harrington, III. Владелец: D3 Semiconductor LLC. Дата публикации: 2018-06-12.

Device architecture and method for precision enhancement of vertical semiconductor devices

Номер патента: US09589889B2. Автор: Thomas E. Harrington, III. Владелец: D3 Semiconductor LLC. Дата публикации: 2017-03-07.

Method for fabricating lateral double-diffused metal oxide semiconductor

Номер патента: KR100592224B1. Автор: 송유선. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-06-23.

Method for Forming Sidewall in Forksheet Structure and Forksheet Semiconductor Device

Номер патента: US20230261081A1. Автор: Guangxing Wan,Waisum Wong. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-08-17.

Method for making electronic devices using metal oxide nanoparticles

Номер патента: EP1908120A1. Автор: Timothy D. Dunbar. Владелец: 3M Innovative Properties Co. Дата публикации: 2008-04-09.

Method for making electronic devices using metal oxide nanoparticles

Номер патента: CN101213671B. Автор: 蒂莫西·D·邓巴. Владелец: 3M Innovative Properties Co. Дата публикации: 2013-01-02.

Method for making electronic devices using metal oxide nanoparticles

Номер патента: KR20080027276A. Автор: 티모시 디. 던바. Владелец: 쓰리엠 이노베이티브 프로퍼티즈 컴파니. Дата публикации: 2008-03-26.

Method for making electronic devices using metal oxide nanoparticles

Номер патента: WO2007044098A1. Автор: Timothy D. Dunbar. Владелец: 3M INNOVATIVE PROPERTIES COMPANY. Дата публикации: 2007-04-19.

METHOD FOR FABRICATING CONTACTS TO NON-PLANAR MOS TRANSISTORS IN SEMICONDUCTOR DEVICE

Номер патента: US20170103981A1. Автор: Hung Yu-Hsiang,Jenq Jyh-Shyang,LIN CHIEN-TING,Hsu Chih-Kai,Fu Ssu-I. Владелец: . Дата публикации: 2017-04-13.

Method for fabricating gate dielectrics of metal-oxide-semiconductor transistors using rapid thermal processing

Номер патента: US20090108377A1. Автор: Zhi Chen,Jun Guo. Владелец: Individual. Дата публикации: 2009-04-30.

Method of Forming Metal Silicide Regions on a Semiconductor Device

Номер патента: US20130015527A1. Автор: Peter Baars,Hans-Juergen Thees. Владелец: Globalfoundries Inc. Дата публикации: 2013-01-17.

Method for forming epitaxial Co self-align silicide for semiconductor device

Номер патента: US6077750A. Автор: Jeong Soo Byun,Dong Kyun Sohn. Владелец: LG Semicon Co Ltd. Дата публикации: 2000-06-20.

Semiconductor device and method for forming the same

Номер патента: US20240194769A1. Автор: Yu-Cheng Tung,Chia-Wei Wu. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2024-06-13.

P-type oxide semiconductor film and method for forming same

Номер патента: US20200279955A1. Автор: Isao Takahashi,Takashi Shinohe,Tokiyoshi Matsuda. Владелец: Flosfia Inc. Дата публикации: 2020-09-03.

Semiconductor device and method for manufacturing the same

Номер патента: US09601631B2. Автор: Hiromichi Godo. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-03-21.

Semiconductor device including oxide semiconductor and method for fabricating the same

Номер патента: US20240274722A1. Автор: Jun Hwe CHA. Владелец: SK hynix Inc. Дата публикации: 2024-08-15.

Semiconductor device and fabricating the same

Номер патента: US09847332B2. Автор: Kuo-Cheng Ching,Ting-Hung Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-12-19.

Semiconductor device and fabricating the same

Номер патента: US09443856B2. Автор: Kuo-Cheng Ching,Ting-Hung Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-13.

Method for manufacturing laterally diffused metal oxide semiconductor device

Номер патента: US9190280B2. Автор: Zhengfeng WEN. Владелец: Founder Microelectronics International Co Ltd. Дата публикации: 2015-11-17.

Laterally diffused metal oxide semiconductor device and manufacturing method therefor

Номер патента: US09768292B2. Автор: Shu Zhang,Guipeng Sun,Guangtao Han. Владелец: CSMC Technologies Fab1 Co Ltd. Дата публикации: 2017-09-19.

Semiconductor device and method for manufacturing the same

Номер патента: US09647127B2. Автор: XIANG Liu,Woobong Lee. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2017-05-09.

Method for manufacturing semiconductor device

Номер патента: US09406786B2. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2016-08-02.

Method for manufacturing semiconductor device

Номер патента: US09954084B2. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2018-04-24.

Semiconductor devices and methods for manufacturing the same

Номер патента: US20190103400A1. Автор: Chien-Wei Chiu,Shin-Cheng Lin,Yu-Hao Ho. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2019-04-04.

Semiconductor device and method for manufacturing the same

Номер патента: US09806198B2. Автор: Hideomi Suzawa. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-10-31.

Method for manufacturing semiconductor device

Номер патента: US20120252173A1. Автор: Yuki Imoto,Yuhei Sato. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2012-10-04.

Semiconductor device and method for forming the same

Номер патента: US09972644B2. Автор: Chun-Yuan Wu,Chia-Fu Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2018-05-15.

Semiconductor device and method for manufacturing the same

Номер патента: US09653614B2. Автор: Shunpei Yamazaki,Yukie Suzuki,Kosei Noda,Yoshiaki Oikawa. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-05-16.

High voltage semiconductor device and method for manufacturing the same

Номер патента: US20150325570A1. Автор: Hsin-Liang Chen,Shyi-Yuan Wu,Wing-Chor CHAN. Владелец: Macronix International Co Ltd. Дата публикации: 2015-11-12.

Systems and methods for semiconductor devices

Номер патента: US20150155355A1. Автор: Peter Almern Losee,Alexander Viktorovich Bolotnikov. Владелец: General Electric Co. Дата публикации: 2015-06-04.

Lateral double diffused metal oxide semiconductor device and method for manufacturing the same

Номер патента: US20130075816A1. Автор: Jong Min Kim,Jae Hyun Yoo. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2013-03-28.

Single poly plate low on resistance extended drain metal oxide semiconductor device

Номер патента: US20140332886A1. Автор: Shyi-Yuan Wu,Wing-Chor CHAN. Владелец: Macronix International Co Ltd. Дата публикации: 2014-11-13.

Single poly plate low on resistance extended drain metal oxide semiconductor device

Номер патента: US20150140764A1. Автор: Shyi-Yuan Wu,Wing-Chor CHAN. Владелец: Macronix International Co Ltd. Дата публикации: 2015-05-21.

Semiconductor device including porous semiconductor material adjacent an isolation structure

Номер патента: EP4404269A1. Автор: Shesh Mani Pandey,Rajendran Krishnasamy. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-07-24.

Array substrate, method for manufacturing the same and display device

Номер патента: US09646997B2. Автор: Liangchen YAN. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2017-05-09.

Semiconductor device

Номер патента: US09768199B2. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-09-19.

Low on resistance semiconductor device

Номер патента: US09653561B2. Автор: Shyi-Yuan Wu,Wing-Chor CHAN. Владелец: Macronix International Co Ltd. Дата публикации: 2017-05-16.

Thin film transistor and method for manufacturing the same, array substrate and display device

Номер патента: US20150279869A1. Автор: Lei Du. Владелец: Hefei BOE Optoelectronics Technology Co Ltd. Дата публикации: 2015-10-01.

Thin film transistor and method for manufacturing the same, array substrate and display device

Номер патента: US09437622B2. Автор: Lei Du. Владелец: Hefei BOE Optoelectronics Technology Co Ltd. Дата публикации: 2016-09-06.

Semiconductor device including oxide semiconductor layer

Номер патента: US12034047B2. Автор: Sang Woo Lee,Min Hee Cho,Woo Bin Song. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-09.

Semiconductor device having an oxide semiconductor film and a metal oxide film

Номер патента: US09842937B2. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-12-12.

Array substrate, method for manufacturing the same and liquid crystal display device

Номер патента: US09684217B2. Автор: XIANG Liu. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2017-06-20.

Semiconductor device and method of manufacturing semiconductor device

Номер патента: US20220376076A1. Автор: Toshiki Kaneko,Fumiya Kimura. Владелец: Japan Display Inc. Дата публикации: 2022-11-24.

Method for fabricating trench metal-oxide-semiconductor field effect transistor

Номер патента: US7615442B2. Автор: Chien-Ping Chang,Mao-Song Tseng,Hsin-Huang Hsieh. Владелец: Mosel Vitelic Inc. Дата публикации: 2009-11-10.

Extended drain metal-oxide-semiconductor transistor

Номер патента: US09871132B1. Автор: Kun Liu,Li Cao,Xiaoping Wang,Francis Lionel Benistant. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2018-01-16.

Laterally diffused metal oxide semiconductor device and method of forming the same

Номер патента: US09680008B2. Автор: Ashraf W. Lotfi,Jian Tian. Владелец: Empirion Inc. Дата публикации: 2017-06-13.

Power MOSFETs and methods for forming the same

Номер патента: US09601616B2. Автор: Chih-Chang CHENG,Fu-Yu Chu,Ruey-Hsin Liu,Tung-Yang Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-03-21.

Oxide semiconductor film, method for forming oxide semiconductor film, and semiconductor device

Номер патента: US09583632B2. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-02-28.

Method for fabicating trench metal-oxide-semiconductor field effect transistor

Номер патента: US20070134882A1. Автор: Chien-Ping Chang,Mao-Song Tseng,Hsin-Huang Hsieh. Владелец: Mosel Vitelic Inc. Дата публикации: 2007-06-14.

Stacked device structures and methods for forming the same

Номер патента: US12119348B2. Автор: Chung-Liang Cheng,Ying-Hsun CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-15.

Semiconductor device and manufacturing method thereof

Номер патента: US09991293B2. Автор: Shunpei Yamazaki,Jun Koyama. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2018-06-05.

Method for manufacturing semiconductor device

Номер патента: US09831101B2. Автор: Shunpei Yamazaki,Junichiro Sakata,Toshinari Sasaki,Hiroki Ohara. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-11-28.

Semiconductor device having super junction metal oxide semiconductor structure and fabrication method for the same

Номер патента: US09755065B2. Автор: Toshio Nakajima. Владелец: ROHM CO LTD. Дата публикации: 2017-09-05.

Method of manufacturing semiconductor device

Номер патента: US20120302004A1. Автор: Akiharu Miyanaga. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2012-11-29.

Semiconductor device and method for manufacturing semiconductor device

Номер патента: US09985056B2. Автор: Shunpei Yamazaki,Takahiro Sato,Masami Jintyou. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2018-05-29.

Semiconductor device and method for manufacturing the same

Номер патента: US09954115B2. Автор: Shunpei Yamazaki,Masahiro Takahashi,Motoki Nakashima. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2018-04-24.

Method for manufacturing semiconductor device

Номер патента: US09812533B2. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-11-07.

Array substrate and display device and method for making the array substrate

Номер патента: US09768204B2. Автор: Yi-Chun Kao,Hsin-Hua Lin. Владелец: Hon Hai Precision Industry Co Ltd. Дата публикации: 2017-09-19.

Semiconductor device and method for manufacturing the same

Номер патента: US09515175B2. Автор: Shunpei Yamazaki,Satoshi Higano,Katsuaki TOCHIBAYASHI. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2016-12-06.

Semiconductor device and method for manufacturing the same

Номер патента: US09466728B2. Автор: Shunpei Yamazaki,Masahiro Takahashi,Motoki Nakashima. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2016-10-11.

Method for manufacturing etch stop areas for contacting semiconductor devices

Номер патента: US20180114724A1. Автор: Dmitri Alex Tschumakow,Claus Dahl. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-04-26.

Method for manufacturing etch stop areas for contacting semiconductor devices

Номер патента: US10354917B2. Автор: Dmitri Alex Tschumakow,Claus Dahl. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2019-07-16.

The method for controlling the wafer bow in III-V type semiconductor devices

Номер патента: CN109103099A. Автор: S.肯南,P.W.金,S.E.朴,M.唐加尔,万建伟. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2018-12-28.

High voltage metal oxide semiconductor device and method for making same

Номер патента: US09627524B2. Автор: Hung-Der Su,Tsung-Yi Huang,Ching-Yao Yang,Huan-Ping Chu. Владелец: RICHTEK TECHNOLOGY CORP. Дата публикации: 2017-04-18.

Semiconductor device having groove and method of fabricating the same

Номер патента: US20030068875A1. Автор: Nak-Jin Son. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2003-04-10.

Method of manufacturing high-voltage semiconductor device and low-voltage semiconductor device

Номер патента: US7910466B2. Автор: Choul Joo Ko. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2011-03-22.

High-voltage semiconductor device and method of manufacturing the same

Номер патента: US20070155107A1. Автор: Choul Ko. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-05.

Method for manufacturing lateral double-diffused metal oxide semiconductor field effect transistor

Номер патента: KR101068139B1. Автор: 홍대욱. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2011-09-27.

Method for manufacturing semiconductor device

Номер патента: US9520483B2. Автор: XINPENG WANG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-12-13.

Method for forming a metal silicide layer in a semiconductor device

Номер патента: US7005373B2. Автор: Kwan-Jong Roh,In-sun Park,Eung-joon Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-02-28.

Method for forming gate electrode and salicide contact of semiconductor devices

Номер патента: KR100315451B1. Автор: 김서원. Владелец: 아남반도체 주식회사. Дата публикации: 2001-11-28.

Production method of T-shaped gate electrode in semiconductor device

Номер патента: US5304511A. Автор: Masayuki Sakai. Владелец: Mitsubishi Electric Corp. Дата публикации: 1994-04-19.

Method for manufacturing peripheral dummy gate of transistor for testing semiconductor device

Номер патента: KR100223941B1. Автор: 남상혁. Владелец: 엘지반도체주식회사. Дата публикации: 1999-10-15.

METHODS FOR DEPOSITING A DOPED GERMANIUM TIN SEMICONDUCTOR AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер патента: US20190067004A1. Автор: Kohen David,Profijt Harald Benjamin. Владелец: . Дата публикации: 2019-02-28.

METHOD FOR SELF-ALIGNING METAL CONTACTS ON A SELF-ALIGNED SEMICONDUCTOR DEVICE.

Номер патента: FR2663157B1. Автор: Collot Philippe,Schmidt Paul Erick. Владелец: Thomson CSF SA. Дата публикации: 1992-08-07.

Mechanism for forming metal gate structure

Номер патента: US09553161B2. Автор: Li-Chieh Wu,Chi-Jen Liu,Liang-Guang Chen,Shich-Chang Suen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-24.

Metal silicide, metal germanide, methods for making the same

Номер патента: US20160035852A1. Автор: Tom E. Blomberg,Suvi P. Haukka,Eva E. Tois,Viljami J. Pore. Владелец: ASM International NV. Дата публикации: 2016-02-04.

Doped metal germanide and methods for making the same

Номер патента: US09634106B2. Автор: Tom E. Blomberg,Suvi P. Haukka,Eva E. Tois,Viljami J. Pore. Владелец: ASM International NV. Дата публикации: 2017-04-25.

Method for fabricating semiconductor device

Номер патента: US20130230989A1. Автор: Tzu-Yu Tseng,An-Chi Liu,Chi-Heng Lin,Chih-Wen Teng. Владелец: United Microelectronics Corp. Дата публикации: 2013-09-05.

Mechanism for forming metal gate structure

Номер патента: US09941152B2. Автор: Chih-Lin Wang,Chia-Der Chang,Wen-Jia HSIEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-10.

Mechanism for forming metal gate structure

Номер патента: US09564332B2. Автор: Chih-Lin Wang,Chia-Der Chang,Wen-Jia HSIEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-07.

Semiconductor device and method for manufacturing same

Номер патента: US09613990B2. Автор: Seiichi Uchida. Владелец: Sharp Corp. Дата публикации: 2017-04-04.

Metal oxide semiconductor device and method for operating an array structure comprising the same devices

Номер патента: US20080298135A1. Автор: Chia-Hsing Chen. Владелец: Individual. Дата публикации: 2008-12-04.

Metal oxide semiconductor device and method for operating an array structure comprising the same devices

Номер патента: US20100213528A1. Автор: Chia-Hsing Chen. Владелец: Individual. Дата публикации: 2010-08-26.

Semiconductor device and display device including the semiconductor device

Номер патента: US09590111B2. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-03-07.

Semiconductor device and method for fabricating the same

Номер патента: US20190214458A1. Автор: PURAKH Raj Verma,Chia-Huei Lin,Kuo-Yuh Yang. Владелец: United Microelectronics Corp. Дата публикации: 2019-07-11.

Method for manufacturing a semiconductor device

Номер патента: US20210328042A1. Автор: Chun-Shun Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-10-21.

Semiconductor device

Номер патента: US20240379865A1. Автор: Masahiro Watabe,Toshinari Sasaki,Masashi TSUBUKU,Hajime Watakabe,Ryo ONODERA,Takaya Tamaru,Marina Mochizuki. Владелец: Japan Display Inc. Дата публикации: 2024-11-14.

Semiconductor device and semiconductor storage device

Номер патента: US20240322045A1. Автор: Takahiro Fujii,Kotaro Noda,Takanori Akita,Kasumi Okabe. Владелец: Kioxia Corp. Дата публикации: 2024-09-26.

Floating body contact circuit method for improving ESD performance and switching speed

Номер патента: US09941347B2. Автор: Eric S. Shapiro,Matt Allison. Владелец: PSemi Corp. Дата публикации: 2018-04-10.

Semiconductor device for a low-loss antenna switch

Номер патента: US20240371859A1. Автор: Jun-De JIN,Tzu-Jin Yeh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-07.

Semiconductor device for a low-loss antenna switch

Номер патента: US12080706B2. Автор: Jun-De JIN,Tzu-Jin Yeh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-03.

Semiconductor device

Номер патента: US09947799B2. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2018-04-17.

Semiconductor device

Номер патента: US09590112B2. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-03-07.

Semiconductor device and manufacturing method thereof

Номер патента: US09472676B2. Автор: Tetsunori Maruyama,Yuki Imoto,Yuta Endo. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2016-10-18.

Semiconductor device

Номер патента: US09472677B2. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2016-10-18.

Semiconductor device

Номер патента: US09793412B2. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-10-17.

Semiconductor device

Номер патента: US20180151604A1. Автор: Shunpei Yamazaki,Kenichi Okazaki,Junichi Koezuka,Yasuharu Hosaka. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2018-05-31.

Refractory metal nitride capped electrical contact and method for frabricating same

Номер патента: US20110049720A1. Автор: Sadiki Jordan. Владелец: International Rectifier Corp USA. Дата публикации: 2011-03-03.

Biased reactive refractory metal nitride capped contact of group III-V semiconductor device

Номер патента: US9484425B2. Автор: Sadiki Jordan. Владелец: Infineon Technologies North America Corp. Дата публикации: 2016-11-01.

Biased reactive refractory metal nitride capped contact of group III-V semiconductor device

Номер патента: US09484425B2. Автор: Sadiki Jordan. Владелец: Infineon Technologies North America Corp. Дата публикации: 2016-11-01.

Method for p-type doping wide band gap oxide semiconductors

Номер патента: WO2004025712A3. Автор: Theodore Moustakas,Yong Ki Min,Harry L Tuller. Владелец: Massachusetts Inst Technology. Дата публикации: 2004-05-06.

Method of making a semiconductor device using trench isolation regions to maintain channel stress

Номер патента: US20150099335A1. Автор: Qing Liu,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2015-04-09.

Method of Fabricating an Electrical Contact for Use on a Semiconductor Device

Номер патента: US20170047228A1. Автор: Sadiki Jordan. Владелец: Infineon Technologies North America Corp. Дата публикации: 2017-02-16.

Method for p-type doping wide band gap oxide semiconductors

Номер патента: AU2003267230A8. Автор: Theodore Moustakas,Yong Ki Min,Harry L Tuller. Владелец: Massachusetts Institute of Technology. Дата публикации: 2004-04-30.

Method for forming a doped metal oxide for use in resistive switching memory elements

Номер патента: US09543516B2. Автор: Imran Hashim,Jinhong Tong,Vidyut Gopal,Randall Higuchi. Владелец: Intermolecular Inc. Дата публикации: 2017-01-10.

Method for forming pattern of metal oxide and method for producing semiconductor element

Номер патента: US20240105466A1. Автор: Kazuma Matsui,Yuki Oka,Moe TANIWAKI. Владелец: Resonac Corp. Дата публикации: 2024-03-28.

Method for controlling sheet resistance of poly in fabrication of semiconductor device

Номер патента: US20090077509A1. Автор: Nan Soon CHOI. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-03-19.

Methods for forming a lanthanum-metal oxide dielectric layer

Номер патента: US7494939B2. Автор: Leonard Forbes,Kie Y. Ahn. Владелец: Micron Technology Inc. Дата публикации: 2009-02-24.

Method for mounting a semiconductor chip on a substrate and semiconductor device adapted for mounting on a substrate

Номер патента: US20010038152A1. Автор: I-Ming Chen. Владелец: Individual. Дата публикации: 2001-11-08.

Method of forming metal lines and bumps for semiconductor devices

Номер патента: US20080076248A1. Автор: Dong-Hyeon Jang,Soon-bum Kim,Sung-min Sim,Jae-Sik Chung,Se-Yong Oh. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-03-27.

Apparatus and method for measuring and controlling the internal temperature of a semiconductor device

Номер патента: US09568537B1. Автор: Jason Christopher McCullough. Владелец: Texas Instruments Inc. Дата публикации: 2017-02-14.

Method for manufacturing soi structure in desired region of a semiconductor device

Номер патента: US20090186463A1. Автор: Min Jung SHIN. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-07-23.

Method for forming a mental wiring pattern on a semiconductor device

Номер патента: US6296988B1. Автор: Bok-Hyung Lee. Владелец: Amkor Technology Inc. Дата публикации: 2001-10-02.

Method for forming a fine contact hole in a semiconductor device

Номер патента: US5767019A. Автор: Sang Wook Kim,Hae Jung Lee. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1998-06-16.

Method for forming photo mask for use in fabricating semiconductor device

Номер патента: US5679499A. Автор: Atsushi Yamamori. Владелец: NEC Corp. Дата публикации: 1997-10-21.

Metal-oxide-metal cell semiconductor device and method

Номер патента: US20230282580A1. Автор: Chung-Chieh Yang,Chung-Ting Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-07.

Method for manufacturing a multi-layer wiring structure of a semiconductor device

Номер патента: US5851917A. Автор: Sang-In Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1998-12-22.

Manufacturing method for filling a trench or contact hole in a semiconductor device

Номер патента: US5824562A. Автор: Tai-su Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1998-10-20.

Dispatch method for production line in semiconductor process, storage medium and semiconductor device

Номер патента: US11988969B2. Автор: Chin-Chang Huang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-05-21.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US20190304855A1. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2019-10-03.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US20190333830A1. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2019-10-31.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US10847433B2. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2020-11-24.

Method for providing a self-aligned pad protection in a semiconductor device

Номер патента: US20150357234A1. Автор: Michael Rogalli,Wolfgang Lehnert. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2015-12-10.

Method for producing a semiconductor body, semiconductor body and power semiconductor device

Номер патента: WO2024056185A1. Автор: Yulieth Cristina Arango,Giovanni ALFIERI. Владелец: Hitachi Energy Ltd. Дата публикации: 2024-03-21.

Method for forming a gate insulating film for semiconductor devices

Номер патента: US6303481B2. Автор: Dong Su Park. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2001-10-16.

Method for forming a gate of a high integration semiconductor device

Номер патента: US20020093066A1. Автор: Young-Hun Bae,Won-sung Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-07-18.

METHODS OF FORMING METAL SILICIDE REGIONS ON A SEMICONDUCTOR DEVICE

Номер патента: US20140319617A1. Автор: Baars Peter,Thees Hans-Juergen. Владелец: . Дата публикации: 2014-10-30.

Method for reduction of filaments between electrodes

Номер патента: US4878996A. Автор: Howard L. Tigelaar,Allan T. Mitchell,Kalipatnam V. Rao,Shaym G. Garg. Владелец: Texas Instruments Inc. Дата публикации: 1989-11-07.

Devices and methods for enhancing insertion loss performance of an antenna switch

Номер патента: US12142585B2. Автор: Jun-De JIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-12.

Method for fabricating at least three MOS transistors having different threshold voltages.

Номер патента: EP2437292A3. Автор: ARNAUD Franck. Владелец: STMicroelectronics lnc USA. Дата публикации: 2014-05-14.

Method for forming interlayer insulation film in semiconductor device

Номер патента: US7160810B2. Автор: Sang Wook Ryu,Kang Sup Shin. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-01-09.

Method of forming interconnectings in semiconductor devices

Номер патента: US20020090810A1. Автор: Mario Napolitano. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2002-07-11.

Method for forming interlayer insulation film in semiconductor device

Номер патента: US20050142848A1. Автор: Kang Shin,Sang Ryu. Владелец: Individual. Дата публикации: 2005-06-30.

Method for forming low dielectric constant layer

Номер патента: US20020132494A1. Автор: Wen-Yi Hsieh. Владелец: United Microelectronics Corp. Дата публикации: 2002-09-19.

Method for forming capacitor, capacitor and semiconductor device

Номер патента: US12041763B2. Автор: GuangSu SHAO,Xingsong SU,Mengkang YU. Владелец: Beijing Superstring Academy of Memory Technology. Дата публикации: 2024-07-16.

Method for forming buried word line in semiconductor device

Номер патента: US20110027988A1. Автор: Sun-Hwan Hwang,Se-Aug Jang,Kee-Joon Oh,Soon-Young Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-02-03.

Semiconductor Device and Method

Номер патента: US20240250019A1. Автор: Ming-Da Cheng,Po-Hao Tsai,Ting-Li Yang,Yung-Han Chuang,Hsueh-Sheng Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-25.

Method for obtaining extreme selectivity of metal nitrides and metal oxides

Номер патента: US20130244426A1. Автор: Kevin R. Shea. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2013-09-19.

METHODS FOR FORMING FINS FOR METAL OXIDE SEMICONDUCTOR DEVICE STRUCTURES

Номер патента: US20140151814A1. Автор: Ghani Tahir,Giles Martin D.. Владелец: . Дата публикации: 2014-06-05.

Etching techniques for semiconductor devices

Номер патента: US09768327B2. Автор: Robert Woehl,David Aaron Randolph BARKHOUSE,Paul LOSCUTOFF. Владелец: SunPower Corp. Дата публикации: 2017-09-19.

Methods for fabricating an STI film of a semiconductor device

Номер патента: US7217633B2. Автор: Geon-Ook Park. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-05-15.

Methods for fabricating an STI film of a semiconductor device

Номер патента: US20050142805A1. Автор: Geon-Ook Park. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Apparatus and methods for sensing long wavelength light

Номер патента: US12113086B2. Автор: Ying-Hao Chen,Yun-Wei Cheng,Chun-Hao Chou,Kuo-Cheng Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-08.

Semiconductor device and method

Номер патента: US12033940B2. Автор: Jhon Jhy Liaw,Ren-Fen Tsui,Dian-Sheg Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Method for oxidizing a structure during the fabrication of a semiconductor device

Номер патента: EP2063464A3. Автор: Takayuki Niuya,Ming Hwang,Boyang Lin,Song C. Park. Владелец: Texas Instruments Inc. Дата публикации: 2009-06-17.

Semiconductor device and method

Номер патента: US09978680B2. Автор: Jhon Jhy Liaw,Ren-Fen Tsui,Dian-Sheg Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-22.

Semiconductor device and method

Номер патента: US09666587B1. Автор: Jhon Jhy Liaw,Ren-Fen Tsui,Dian-Sheg Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-30.

Method for forming a titanium dioxide layer

Номер патента: US6251803B1. Автор: Hsin-Chih Liao,Ming-Kwei Lee. Владелец: Winbond Electronics Corp. Дата публикации: 2001-06-26.

Method for forming align key pattern in semiconductor device

Номер патента: US5578519A. Автор: Yun-hee Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1996-11-26.

Method for forming a capacitor in a semiconductor device

Номер патента: US5728597A. Автор: Suk Soo Kim. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1998-03-17.

Methods for forming porous insulator structures on semiconductor devices

Номер патента: US7285502B2. Автор: Tongbi Jiang,Warren M. Farnworth. Владелец: Micron Technology Inc. Дата публикации: 2007-10-23.

Method for forming capacitor, capacitor and semiconductor device

Номер патента: US20230063571A1. Автор: GuangSu SHAO,Xingsong SU,Mengkang YU. Владелец: Beijing Superstring Academy of Memory Technology. Дата публикации: 2023-03-02.

Method for extracting charge distribution of metal oxide semiconductor (MOS) tube along channel

Номер патента: CN102163568B. Автор: 张兴,杨东,黄如,谭斐,安霞. Владелец: PEKING UNIVERSITY. Дата публикации: 2012-10-10.

Method for forming metal layer used the manufacturing semiconductor device

Номер патента: KR100714269B1. Автор: 문광진,이현석,김현영. Владелец: 삼성전자주식회사. Дата публикации: 2007-05-02.

Method for forming metal interlayer insulating film in semiconductor device

Номер патента: JPH0777219B2. Автор: 昶圭 金,志鉉 崔. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1995-08-16.

Method for forming pattern of metal oxide and method for producing semiconductor element

Номер патента: WO2022163182A1. Автор: 一真 松井,優希 岡,萌 谷脇. Владелец: 昭和電工株式会社. Дата публикации: 2022-08-04.

Method for forming self aligned contacts

Номер патента: US20020098640A1. Автор: Ii-Wook Kim,Jong-Sam Kim,Dong-kuk Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-07-25.

Method for obtaining extreme selectivity of metal nitrides and metal oxides

Номер патента: US20120214306A1. Автор: Kevin R. Shea. Владелец: Micron Technology Inc. Дата публикации: 2012-08-23.

Method of forming beam leads on semiconductor devices and integrated circuits

Номер патента: US3653999A. Автор: Clyde Rhea Fuller. Владелец: Texas Instruments Inc. Дата публикации: 1972-04-04.

Methods for forming an enriched metal oxide surface

Номер патента: US7396774B2. Автор: Max Hineman,Stephen W. Russell. Владелец: Micron Technology Inc. Дата публикации: 2008-07-08.

Methods for forming an enriched metal oxide surface

Номер патента: US20060258172A1. Автор: Max Hineman,Stephen Russell. Владелец: Individual. Дата публикации: 2006-11-16.

Semiconductor device and method

Номер патента: US11955423B2. Автор: Ming-Da Cheng,Po-Hao Tsai,Ting-Li Yang,Yung-Han Chuang,Hsueh-Sheng Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-09.

Etching techniques for semiconductor devices

Номер патента: US20160380122A1. Автор: Robert Woehl,David Aaron Randolph BARKHOUSE,Paul LOSCUTOFF. Владелец: Individual. Дата публикации: 2016-12-29.

Etching techniques for semiconductor devices

Номер патента: WO2016209846A1. Автор: Robert Woehl,David Aaron Randolph BARKHOUSE,Paul LOSCUTOFF. Владелец: TOTAL MARKETING SERVICES. Дата публикации: 2016-12-29.

Method for oxidizing a structure during the fabrication of a semiconductor device

Номер патента: EP2063464B1. Автор: Takayuki Niuya,Ming Hwang,Boyang Lin,Song C. Park. Владелец: Texas Instruments Inc. Дата публикации: 2017-11-29.

METHOD FOR SURFACE TREATMENT ON A METAL OXIDE AND METHOD FOR PREPARING A THIN FILM TRANSISTOR

Номер патента: US20130171836A1. Автор: Cheng Jun,LIU Xiaodi. Владелец: BOE Technology Group Co., Ltd.. Дата публикации: 2013-07-04.

Method for forming local interconnection line for use in semiconductor device

Номер патента: KR100526870B1. Автор: 고용선,권성운. Владелец: 삼성전자주식회사. Дата публикации: 2005-11-09.

Method for forming dielectric layer for metallic pattern in semiconductor device

Номер патента: KR100557944B1. Автор: 김찬배. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-03-10.

Method for forming a capacitor for use in a semiconductor device

Номер патента: US7361547B2. Автор: Yong-Sun Ko,In-seak Hwang,Ki-Hyun Hwang,Kwang Wook Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-04-22.

METHODS FOR FORMING LOW TEMPERATURE SEMICONDUCTOR LAYERS AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер патента: US20180350588A1. Автор: Raisanen Petri,Hsu Peng-Fu,MOUSA MOATAZ BELLAH. Владелец: . Дата публикации: 2018-12-06.

A method for forming a self-aligned contact of a semiconductor device

Номер патента: KR100609523B1. Автор: 남상혁. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-08-04.

Method for forming an element isolation region in a semiconductor device

Номер патента: KR100189733B1. Автор: 박주석. Владелец: 엘지반도체주식회사. Дата публикации: 1999-06-01.

A method for forming a inter-layer oxide of a semiconductor device

Номер патента: KR100447253B1. Автор: 염승진,김남경. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-09-07.

Method for forming an element isolation region in a semiconductor device

Номер патента: KR100190053B1. Автор: 김성의. Владелец: 윤종용. Дата публикации: 1999-06-01.

Method for forming titanium silicide ohmic contact layer of semiconductor device

Номер патента: KR100626741B1. Автор: 손현철,엄장웅. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-09-22.

Method for forming dual poly silicon gate electrode in semiconductor device

Номер патента: KR100582365B1. Автор: 안현주. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-05-22.

Method for forming a gate oxide layer of a semiconductor device

Номер патента: KR20010091519A. Автор: 황철주,양두영. Владелец: 황 철 주. Дата публикации: 2001-10-23.

Method for forming an element isolation region in a semiconductor device

Номер патента: KR100189992B1. Автор: 이재경,박찬식,조경환,이성한. Владелец: 윤종용. Дата публикации: 1999-06-01.

A method for forming a contact hole pattern of a semiconductor device

Номер патента: KR20050002368A. Автор: 복철규,이원욱. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-01-07.

Method for forming an element isolation region in a semiconductor device

Номер патента: KR100203906B1. Автор: 김영복. Владелец: 현대전자산업주식회사. Дата публикации: 1999-06-15.

Method for forming the landing plug contact hole in semiconductor device

Номер патента: KR100745052B1. Автор: 남기원,박상수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-08-01.

METHOD FOR FORMING A SELF-ALIGNED CONTACT IN A SEMICONDUCTOR DEVICE

Номер патента: FR2784229B1. Автор: Chang Hyum Cho,Kyu Hyun Lee,Lae Goo Lee,Sang Sub Jeong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-03-12.

Method for forming a metal line pattern of the semiconductor device

Номер патента: KR100827488B1. Автор: 문주형. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2008-05-06.

A method for forming capacitor using polysilicon plug structure in semiconductor device

Номер патента: KR100464938B1. Автор: 정경철. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-01-05.

Method for forming a metallic wiring layer in a semiconductor device

Номер патента: DE4200809C2. Автор: Chang-Soo Park,Sang-In Lee,Jeong-Ha Son. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1996-12-12.

Method for forming a gate oxide layer of a semiconductor device

Номер патента: KR100440501B1. Автор: 황철주,양두영. Владелец: 주성엔지니어링(주). Дата публикации: 2004-07-15.

Methods of forming metallization patterns on beam lead semiconductor devices

Номер патента: US4011144A. Автор: Albert K. Bachman. Владелец: Western Electric Co Inc. Дата публикации: 1977-03-08.

METHOD FOR FORMING A SELF-ALIGNED CONTACT IN A SEMICONDUCTOR DEVICE

Номер патента: FR2784229A1. Автор: Chang Hyum Cho,Kyu Hyun Lee,Lae Goo Lee,Sang Sub Jeong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-04-07.

Method for forming a field oxide layer of a semiconductor device

Номер патента: DE4109184C2. Автор: Taek-Yong Jang,Weon-Sik Paek,Weon-Taek Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1995-12-21.

Method for forming a self aligned contact in a semiconductor device

Номер патента: GB9909492D0. Автор: . Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-06-23.

Method for forming contact hole with different depth of semiconductor device

Номер патента: KR100257771B1. Автор: 서원준. Владелец: 현대전자산업주식회사. Дата публикации: 2000-06-01.

Method for forming sti to prevent poly stringer in semiconductor device

Номер патента: KR100763702B1. Автор: 김정호. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-10-04.

Method for forming contact hole in metal wiring of semiconductor device

Номер патента: KR950015589A. Автор: 서동량. Владелец: 금성일렉트론 주식회사. Дата публикации: 1995-06-17.

METHOD FOR FORMING A MULTIPLE LEVEL CONNECTION IN A SEMICONDUCTOR DEVICE

Номер патента: DE4125221A1. Автор: Han-su Kim,Jang-Rae Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1992-07-16.

Method for forming a hard mask pattern in a semiconductor device

Номер патента: CN101447398A. Автор: 郑宇荣. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-06-03.

A method for forming a fine pattern transistor of a semiconductor device

Номер патента: KR100673099B1. Автор: 복철규,김희범,임창문. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-01-22.

Method for forming a copper metal interconnection of a semiconductor device using two seed layers

Номер патента: US20070155145A1. Автор: Ji Ho Hong. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-05.

Method for forming transistors with different channel lengths in semiconductor device

Номер патента: CN114628326A. Автор: 李俊杰,周娜,申靖浩. Владелец: Zhenxin Beijing Semiconductor Co Ltd. Дата публикации: 2022-06-14.

Method for forming contact hole buried metal wiring in semiconductor device

Номер патента: KR970053577A. Автор: 조경수. Владелец: 현대전자산업 주식회사. Дата публикации: 1997-07-31.

Method for forming fine contact and conductive line in semiconductor device

Номер патента: KR970052274A. Автор: 신동원. Владелец: 현대전자산업 주식회사. Дата публикации: 1997-07-29.

Apparatus and method for detecting failure in a mechanical press

Номер патента: US20200047442A1. Автор: Kwok Pun LAW,Hong Yeung LI,Cho Wai LEUNG. Владелец: ASM TECHNOLOGY SINGAPORE PTE LTD. Дата публикации: 2020-02-13.

METHODS FOR MANUFACTURING SPINEL-TYPE TERNARY METAL OXIDES AS HOLE TRANSPORT MATERIALS

Номер патента: US20210198120A1. Автор: Huang Zhanfeng,CHOY Chik Ho Wallace,Ou Yangdan. Владелец: . Дата публикации: 2021-07-01.

CONDUCTIVE POWDER FORMATION METHOD, DEVICE FOR FORMING CONDUCTIVE POWDER, AND METHOD OF FORMING SEMICONDUCTOR DEVICE

Номер патента: US20180301375A1. Автор: Chou You-Hua,Chuang Kuo-Sheng. Владелец: . Дата публикации: 2018-10-18.

METHODS FOR ETCH OF METAL AND METAL-OXIDE FILMS

Номер патента: US20150318186A1. Автор: Wang Anchuan,Zhang Jingchun,Ingle Nitin K.. Владелец: . Дата публикации: 2015-11-05.

Methods for etch of metal and metal-oxide films

Номер патента: WO2012125654A2. Автор: Nitin Ingle,Anchuan Wang,Jingchun Zhang. Владелец: Applied Materials, Inc.. Дата публикации: 2012-09-20.

Washing solution and washing method for semiconductor substrate

Номер патента: US20230402275A1. Автор: Atsushi Mizutani. Владелец: Fujifilm Corp. Дата публикации: 2023-12-14.

Semiconductor module and method for mounting the same

Номер патента: US20050116330A1. Автор: Tomotoshi Sato,Rina Murayama. Владелец: Individual. Дата публикации: 2005-06-02.

Apparatus and Method For Generating Test Pattern Data For Testing Semiconductor Device

Номер патента: US20080040639A1. Автор: Jong Koo Kang. Владелец: UniTest Inc. Дата публикации: 2008-02-14.

Method for the anisotropic etching of metal films in the fabrication of interconnects

Номер патента: US5350484A. Автор: Xiao-Chun Mu,Donald S. Gardner,David B. Fraser. Владелец: Intel Corp. Дата публикации: 1994-09-27.

Apparatus and methods for sensing long wavelength light

Номер патента: US11798969B2. Автор: Ying-Hao Chen,Yun-Wei Cheng,Chun-Hao Chou,Kuo-Cheng Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-24.

Semiconductor device and method for generating integrated circuit layout

Номер патента: US20240014202A1. Автор: Chun-Cheng Ku,Kuan-Jung Jhu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-11.

Method for producing laminate, producing apparatus for laminate, laminate, and semiconductor device

Номер патента: US20240177993A1. Автор: Hiroshi Hashigami. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-05-30.

Mehtod for forming inter layer insulting film in the semiconductor device

Номер патента: KR100301530B1. Автор: 김창규,조웅래. Владелец: 동부전자 주식회사. Дата публикации: 2001-10-19.

PROCESS FOR FORMING A FIELD OXIDE LAYER OF A SEMICONDUCTOR DEVICE.

Номер патента: FR2669467B1. Автор: Paek Weon-Sik,Jang Taek-Yong,Choi Weon-Taek. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1997-07-04.

Composition for forming alpha-ray-shielding film, laminate, and semiconductor device

Номер патента: CN115210328A. Автор: 荒山恭平,宫田哲志,横山宪文. Владелец: Fujifilm Corp. Дата публикации: 2022-10-18.

Systems for forming insulative coatings for via holes in semiconductor devices

Номер патента: US20050282383A1. Автор: Warren Farnworth. Владелец: Farnworth Warren M. Дата публикации: 2005-12-22.

Method for manufacturing molecular beam epitaxial growth device and optical semiconductor device

Номер патента: KR960012304A. Автор: . Владелец: . Дата публикации: 1996-04-20.

It is used to form the method for pattern and the method using this method manufacturing semiconductor devices

Номер патента: CN110323139A. Автор: 千宰协. Владелец: Hynix Semiconductor Inc. Дата публикации: 2019-10-11.

Methods for removing selected fins that are formed for finfet semiconductor devices

Номер патента: US20150318215A1. Автор: Ruilong Xie,William J. Taylor, Jr.. Владелец: Globalfoundries Inc. Дата публикации: 2015-11-05.

Method for CVD and apparatus for performing the same in semiconductor device processing

Номер патента: KR100375102B1. Автор: 김종우,김광식,이희태,박윤세. Владелец: 삼성전자주식회사. Дата публикации: 2003-03-08.

Method for fabricating a lower plate for a capacitor of semiconductor device

Номер патента: KR100338959B1. Автор: 박상희. Владелец: 박종섭. Дата публикации: 2002-06-01.

Production method for copolymer film, copolymer film for med therefrom, and semiconductor device using said copolymer film

Номер патента: CN1269866C. Автор: 林喜宏,川原润. Владелец: NEC Corp. Дата публикации: 2006-08-16.

Method for mounting a semiconductor chip on a substrate, and semiconductor device adapted for mounting on substrate

Номер патента: AU742589B2. Автор: I-Ming Chen. Владелец: Evergrand Holdings Ltd. Дата публикации: 2002-01-10.

Method for reducing line edge roughness for trench etch, and semiconductor device thereof

Номер патента: CN100477135C. Автор: P·勒温哈德特,D·乐,H·H·朱,E·沃加纳. Владелец: Lam Research Corp. Дата публикации: 2009-04-08.

Method for mounting a semiconductor chip on a substrate and semiconductor device adapted for mounting on a substrate

Номер патента: KR100353223B1. Автор: 아이-밍 첸. Владелец: 아이-밍 첸. Дата публикации: 2002-09-18.

Method for mounting a semiconductor chip on a substrate, and semiconductor device adapted for mounting on a substrate

Номер патента: KR100367809B1. Автор: 아이-밍 첸. Владелец: 아이-밍 첸. Дата публикации: 2003-01-10.

APPARATUSES AND METHODS FOR ARRANGING THROUGH-SILICON VIAS AND PADS IN A SEMICONDUCTOR DEVICE

Номер патента: US20200212008A1. Автор: KITANO Tomohiro. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2020-07-02.

APPARATUSES AND METHODS FOR COUPLING CONTACT PADS TO A CIRCUIT IN A SEMICONDUCTOR DEVICE

Номер патента: US20190304855A1. Автор: Igeta Masahiko,Terui Yoshimi. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2019-10-03.

METHOD FOR PROVIDING A SELF-ALIGNED PAD PROTECTION IN A SEMICONDUCTOR DEVICE

Номер патента: US20150357234A1. Автор: Lehnert Wolfgang,Rogalli Michael. Владелец: . Дата публикации: 2015-12-10.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US20190333830A1. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2019-10-31.

METHOD FOR ELIMINATING DISLOCATIONS IN ACTIVE AREA AS WELL AS SEMICONDUCTOR DEVICE

Номер патента: US20190341262A1. Автор: Zhou Jun,Li Yun,XU Jingjing,LUO Qingwei. Владелец: . Дата публикации: 2019-11-07.

Method for manufacturing a spin on glass film in a semiconductor device

Номер патента: KR100246779B1. Автор: 권혁진,홍상기,전상호. Владелец: 현대전자산업주식회사. Дата публикации: 2000-03-15.

Method for fabricating isolation layer using fluid dielectric layer in semiconductor device

Номер патента: KR100881135B1. Автор: 은병수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-02-02.

Method for manufacturing wine glass type contact hole of the semiconductor device

Номер патента: KR100781455B1. Автор: 강병주. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-12-03.

METHOD FOR MAKING AT LEAST ONE DEEP LAYER IN A SEMICONDUCTOR DEVICE

Номер патента: FR2548831A1. Автор: Pieter Johannes Wilhel Jochems. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1985-01-11.

Method for fabricating a pad-layer/fuse-layer of a semiconductor device

Номер патента: KR100413582B1. Автор: 이기민. Владелец: 동부전자 주식회사. Дата публикации: 2003-12-31.

Method for low stress flip-chip assembly of fine-pitch semiconductor devices

Номер патента: US8530360B2. Автор: Abram M. Castro. Владелец: Texas Instruments Inc. Дата публикации: 2013-09-10.

Method for producing a diffusion barrier metal layer in a semiconductor device

Номер патента: DE19620022A1. Автор: Kyeong Keun Choi. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1996-11-21.

Method for removing circle defect on the metal layer of semiconductor device

Номер патента: KR100702796B1. Автор: 조보연. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-04-03.

Method for examining the defect of gate oxide layer in semiconductor device

Номер патента: KR100591149B1. Автор: 나유석. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-06-19.

Method for fabricating a trench of dual damascene interconnection in semiconductor device

Номер патента: KR100642486B1. Автор: 이기민. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-11-02.

Method for cleaning the inside of a pipe of a semiconductor device manufacturing apparatus

Номер патента: JP2910761B1. Автор: 康 佐々木. Владелец: NEC Corp. Дата публикации: 1999-06-23.

METHOD FOR PRODUCING AT LEAST ONE DEEP LAYER IN A SEMICONDUCTOR DEVICE

Номер патента: FR2548831B1. Автор: Pieter Johannes Wilhel Jochems. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1988-10-14.

Method for producing a diffusion barrier metal layer in a semiconductor device

Номер патента: DE19620022C2. Автор: Kyeong Keun Choi. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2002-09-19.

Method for adjusting transport position of wafer, adjustment apparatus, and semiconductor device

Номер патента: WO2023035434A1. Автор: 刘洋. Владелец: 长鑫存储技术有限公司. Дата публикации: 2023-03-16.

Method for protecting semiconductor integrated circuit against reverse engineering and semiconductor device

Номер патента: CN111610425A. Автор: 村上洋树. Владелец: Winbond Electronics Corp. Дата публикации: 2020-09-01.

Method for fabricating a diffusion barrier metal layer in a semiconductor device

Номер патента: GB9610393D0. Автор: . Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1996-07-24.

Method for manufacturing a shallow trench isolation layer of the semiconductor device

Номер патента: KR100503344B1. Автор: 김성래. Владелец: 동부아남반도체 주식회사. Дата публикации: 2005-07-26.

Fabrication method for chip size package and non-chip size package semiconductor devices

Номер патента: US7632711B2. Автор: Makoto Terui. Владелец: Oki Semiconductor Co Ltd. Дата публикации: 2009-12-15.

System and method for automatically identifying defect-based test coverage gaps in semiconductor devices

Номер патента: IL303364A. Автор: . Владелец: KLA Corp. Дата публикации: 2023-08-01.

Method for forming multi-layer film and patterning process

Номер патента: US09804492B2. Автор: Tsutomu Ogihara,Jun Hatakeyama. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2017-10-31.

Method for forming metal wires in semiconductor device

Номер патента: US20050233579A1. Автор: Ihl Cho. Владелец: Individual. Дата публикации: 2005-10-20.

Method for fabricating complementary metal oxide semiconductor image sensor

Номер патента: US20040185595A1. Автор: Won-Ho Lee. Владелец: Individual. Дата публикации: 2004-09-23.

Method for forming metallization structure

Номер патента: US20170271173A1. Автор: Yu-Hsuan Ho. Владелец: Winbond Electronics Corp. Дата публикации: 2017-09-21.

Method for the fabrication of bonding solder layers on metal bumps with improved coplanarity

Номер патента: US20130052817A1. Автор: Tim Hsiao. Владелец: WIN Semiconductors Corp. Дата публикации: 2013-02-28.

Semiconductor device and method for fabricating the same

Номер патента: US12041784B2. Автор: Chun-Hsien Lin,Shih-Hung Tsai,Hon-Huei Liu. Владелец: United Microelectronics Corp. Дата публикации: 2024-07-16.

Semiconductor device and method for fabricating the same

Номер патента: US20240334710A1. Автор: Chun-Hsien Lin,Shih-Hung Tsai,Hon-Huei Liu. Владелец: United Microelectronics Corp. Дата публикации: 2024-10-03.

Method for forming metal line in a semiconductor device

Номер патента: US20070166987A1. Автор: Han-Choon Lee,In-Cheol Baek. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-19.

Method for forming metal line in a semiconductor device

Номер патента: US7632754B2. Автор: Han-Choon Lee,In-Cheol Baek. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-12-15.

Method for forming semiconductor memory structure

Номер патента: US20210390992A1. Автор: Tsann Lin,Jui-Fen CHIEN,Hanwen Yeh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-12-16.

Method For Forming Semiconductor Structure And A Semiconductor

Номер патента: US20240268104A1. Автор: Chih-Cheng Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-08-08.

Mechanisms for forming metal-insulator-metal (mim) capacitor structure

Номер патента: US20160233158A1. Автор: Chia-Lun Hsu,Chi-Chung JEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-11.

Mechanisms for forming metal-insulator-metal (MIM) capacitor structure

Номер патента: US09960111B2. Автор: Chia-Lun Hsu,Chi-Chung JEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-01.

Method for forming silicon-containing film, and silicon-containing film formed thereby

Номер патента: US20240318305A1. Автор: Jin Sik Kim,Byung Kwan KIM,Da Som YU. Владелец: UP Chemical Co Ltd. Дата публикации: 2024-09-26.

Materials and structures for optical and electrical iii-nitride semiconductor devices and methods

Номер патента: US20210296516A1. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2021-09-23.

Materials and structures for optical and electrical III-nitride semiconductor devices and methods

Номер патента: US11631775B2. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2023-04-18.

Methods for forming a laminate film by cyclical plasma-enhanced deposition processes

Номер патента: US12040177B2. Автор: Yoshio SUSA. Владелец: ASM IP Holding BV. Дата публикации: 2024-07-16.

Semiconductor device including metal-oxide-semiconductor disposed in a column decoder region

Номер патента: US09997223B2. Автор: Duk Su Chun. Владелец: SK hynix Inc. Дата публикации: 2018-06-12.

Materials, structures, and methods for optical and electrical III-nitride semiconductor devices

Номер патента: US09978894B2. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2018-05-22.

Package structure and method for forming the same

Номер патента: US09633924B1. Автор: Chen-Hua Yu,Jing-Cheng Lin,Tsei-Chung Fu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-25.

Materials, structures, and methods for optical and electrical III-nitride semiconductor devices

Номер патента: US09608145B2. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2017-03-28.

Method for forming CMOS sensor without blooming effect

Номер патента: US6245592B1. Автор: Sheng-Hsiung Yang. Владелец: United Microelectronics Corp. Дата публикации: 2001-06-12.

Method for forming metal wiring

Номер патента: US20190088539A1. Автор: Tsutomu Nakanishi,Yusuke Tanaka,Akihiko Happoya,Atsushi Hieno,Yasuhito Yoshimizu. Владелец: Toshiba Memory Corp. Дата публикации: 2019-03-21.

Coating material for forming porous metal oxide semiconductor film for photovoltaic cell

Номер патента: EP2128880A3. Автор: Tsuguo Koyanagi,Takaki Mizuno. Владелец: JGC Catalysts and Chemicals Ltd. Дата публикации: 2012-09-26.

Method for producing layered composite metal oxide crystal material

Номер патента: US20240162435A1. Автор: Masaki Matsui,Rannosuke Maeda. Владелец: Hokkaido University NUC. Дата публикации: 2024-05-16.

Nanostructured metal oxides comprising internal voids and methods of use thereof

Номер патента: EP2038899A2. Автор: Lynden A. Archer,Xiong Wen Lou. Владелец: Cornell Research Foundation Inc. Дата публикации: 2009-03-25.

Reactor and method for production of nanostructures

Номер патента: US09630162B1. Автор: Jeong H. Kim,Mahendra Kumar Sunkara,Vivekanand Kumar. Владелец: University of Louisville Research Foundation ULRF. Дата публикации: 2017-04-25.

Methods of making metal-oxides and uses thereof for water treatment and energy applications

Номер патента: US10322948B2. Автор: David Kisailus,Nichola Kinsinger. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2019-06-18.

Ferromagnetic powder and method for producing the same

Номер патента: US4919776A. Автор: Mikio Kishimoto,Tomoji Kawai,Shichio Kawai. Владелец: Hitachi Maxell Ltd. Дата публикации: 1990-04-24.

Method for preparing core-shell structure metal oxide and core-shell structure metal oxide

Номер патента: CN113830749A. Автор: 田宏伟,时玮,孟泽硕,巩喜良,胡小颖. Владелец: Changchun University. Дата публикации: 2021-12-24.

Method for preparation of lithium transition metal oxides for lithium secondary battery

Номер патента: KR20230011014A. Автор: 윤석현,정한나,윤태훈. Владелец: 주식회사 엘지화학. Дата публикации: 2023-01-20.

Method for manufacturing a lithium complex metal oxide

Номер патента: US20120301390A1. Автор: Yoshihiro Kawakami,Satoshi Shimano,Ryuji Matsushita. Владелец: Sumitomo Chemical Co Ltd. Дата публикации: 2012-11-29.

METHOD FOR SYNTHESIS OF NANO-CRYSTALLINE METAL OXIDE POWDERS

Номер патента: US20140311913A1. Автор: Hing Peter,Ming Lim Chee,Cheol Jung Sang,Huei Low Siaw. Владелец: UNIVERSITI BRUNEI DARUSSALAM. Дата публикации: 2014-10-23.

Method for f passivating lithium transition metal oxide

Номер патента: KR101532997B1. Автор: 정용호,정현영,석동찬. Владелец: 한국기초과학지원연구원. Дата публикации: 2015-07-09.

METHOD FOR COATING A TRANSPARENT BRIGHT METAL OXIDE LAYER

Номер патента: NL160421B. Автор: . Владелец: Triplex Safety Glass Co. Дата публикации: 1979-05-15.

Method for producing lithium-containing transition metal oxide

Номер патента: WO2009098835A1. Автор: Takahiro Sakamoto,Hidekazu Hiratsuka,Shinji Arimoto. Владелец: Panasonic Corporation. Дата публикации: 2009-08-13.

Method for manufacturing a lithium complex metal oxide

Номер патента: EP2520547A4. Автор: Yoshihiro Kawakami,Satoshi Shimano,Ryuji Matsushita. Владелец: Sumitomo Chemical Co Ltd. Дата публикации: 2014-11-19.

Method for forming an electrically conductive oxide film, an electrically conductive oxide film, and uses for the same

Номер патента: US09892814B2. Автор: Jarmo Maula. Владелец: BENEQ OY. Дата публикации: 2018-02-13.

Conductive film formation composition and method for manufacturing conductive film

Номер патента: US12012523B2. Автор: Kei Anai,Shun FUKUZATO. Владелец: Mitsui Mining and Smelting Co Ltd. Дата публикации: 2024-06-18.

Method for forming metal composite films for battery cells

Номер патента: US12126032B2. Автор: Frederik Grau,Kartik Jamadar,Christian Theuerkauf. Владелец: VOLKSWAGEN AG. Дата публикации: 2024-10-22.

Method for the deposition of materials from mesomorphous films

Номер патента: WO2002099161A2. Автор: Ross H. Hill,Juan P. Bravo Vasquez. Владелец: SIMON FRASER UNIVERSITY. Дата публикации: 2002-12-12.

Method for the deposition of materials from mesomorphous films

Номер патента: EP1432845A2. Автор: Ross H. Hill,Juan P. Bravo Vasquez. Владелец: SIMON FRASER UNIVERSITY. Дата публикации: 2004-06-30.

Dynamically adjusting operation of a circuit within a semiconductor device

Номер патента: US20090072855A1. Автор: Sujeet Ayyapureddi,Raghukiran Sreeramaneni. Владелец: Micron Technology Inc. Дата публикации: 2009-03-19.

Method for reducing particles and defects during flash memory fabrication

Номер патента: US20030181008A1. Автор: Kent Chang,Weng-Hsing Huang. Владелец: Macronix International Co Ltd. Дата публикации: 2003-09-25.

Operation method for multi-level switching of metal-oxide based RRAM

Номер патента: TW200947441A. Автор: Yi-Chou Chen,Kuo-Pin Chang,Erh-Kun Lai,Wei-Chih Chien,Kuang-Yeu Hsieh. Владелец: Macronix Int Co Ltd. Дата публикации: 2009-11-16.

Inverter for forming high-speed power driving circuit of semiconductor device

Номер патента: KR100410813B1. Автор: 여정현. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-03-30.

Method for manufacturing a dynamic random access memory cell

Номер патента: US5270239A. Автор: Jae K. Kim,Wi S. Min. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1993-12-14.

Making method for light emitting device by ink jet printing and semiconductor device using the same

Номер патента: KR20230068909A. Автор: 박병주. Владелец: 광운대학교 산학협력단. Дата публикации: 2023-05-18.

Method for manufacturing material for use in manufacturing electroluminescent organic semiconductor devices

Номер патента: EP2381503B1. Автор: Janos Veres. Владелец: Polyphotonix Ltd. Дата публикации: 2013-04-17.

Method for estimating the end of lifetime for a power semiconductor device

Номер патента: EP2724170A1. Автор: Bjørn Rannestad,Paul Bach Thogersen. Владелец: KK-ELECTRONIC AS. Дата публикации: 2014-04-30.

Method for estimating the end of lifetime for a power semiconductor device

Номер патента: US09529037B2. Автор: Bjørn Rannestad,Paul Bach Thogersen. Владелец: Kk Wind Solutions As. Дата публикации: 2016-12-27.

Method for the selective chlorination of metal oxide mixtures of natural or synthetic origine

Номер патента: WO1983001249A1. Автор: Pechiney Aluminium. Владелец: Bertaud, Yves. Дата публикации: 1983-04-14.

Method for reduction of material containing metal oxide using a fluidized bed reactor and flame chamber

Номер патента: US4976776A. Автор: Rolf E. Malmstrom,Hans I. Elvander. Владелец: Ahlstrom Corp. Дата публикации: 1990-12-11.

Method for reduction of finely divided metal oxide material

Номер патента: US4070181A. Автор: Bjorn Widell. Владелец: Stora Kopparbergs Bergslags Ab. Дата публикации: 1978-01-24.

Apparatus and method for the parallel and independent testing of voltage-supplied semiconductor devices

Номер патента: US6903565B2. Автор: Udo Hartmann. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2005-06-07.

Device and methods for reducing peak noise and peak power consumption in semiconductor devices under test

Номер патента: US20200049765A1. Автор: Jong-Tae Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-02-13.

Method for manufacturing a semiconductor device

Номер патента: US20240373621A1. Автор: Ilyoung Yoon,Sangjun Park,Kijong Park,Yongjin Lee,Seungmin SHIN,Younggeun SONG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-11-07.

Method for forming a resist pattern

Номер патента: US20240219834A1. Автор: Tokio Nishita,Takahiro Kishioka,Shun KUBODERA. Владелец: Nissan Chemical Corp. Дата публикации: 2024-07-04.

Core-shell structured metal oxide particles and method for producing the same

Номер патента: EP2205528A2. Автор: Yoshio Tadakuma. Владелец: Fujifilm Corp. Дата публикации: 2010-07-14.

A method for producing iron fuel

Номер патента: CA3241834A1. Автор: Marcus Wilhelmus Petrus VERHAGEN,Lex SCHEEPERS. Владелец: Renewable Iron Fuel Technology BV. Дата публикации: 2023-06-29.

Method for obtaining colloids of metal oxides

Номер патента: RU2557238C2. Автор: Джеймс Нил ПРАЙОР. Владелец: У.Р. Грейс Энд Ко.-Конн.. Дата публикации: 2015-07-20.

Method for preparing size-controllable metal oxide two-dimensional material nanosheet

Номер патента: US20230348291A1. Автор: Xingke Cai. Владелец: SHENZHEN UNIVERSITY. Дата публикации: 2023-11-02.

Method and apparatus for forming and drawing fused metal-oxide tubes

Номер патента: US3620704A. Автор: Foster Lee Gray. Владелец: Texas Instruments Inc. Дата публикации: 1971-11-16.

Method for Manufacturing Amorphous Multielement Metal Oxide Hydroxide Film

Номер патента: US20220145467A1. Автор: Chun-Hu Chen,Ren-Huai JHANG,Chang-Ying YANG. Владелец: National Sun Yat Sen University. Дата публикации: 2022-05-12.

Apparatuses and methods for controlling wordlines and sense amplifiers

Номер патента: US09984739B2. Автор: Kazuhiko Kajigaya. Владелец: Micron Technology Inc. Дата публикации: 2018-05-29.

Preparation of unagglomerated metal oxide particles with uniform particle size

Номер патента: US4778671A. Автор: Raja R. Wusirika. Владелец: Corning Glass Works. Дата публикации: 1988-10-18.

Metal or metal oxide deposited fibrous materials

Номер патента: WO2010028017A3. Автор: Yury Gogotsi,Byung-Yong Lee,Kris Behler,Richard Rest. Владелец: DREXEL UNIVERSITY. Дата публикации: 2010-05-27.

WAT test method for threshold voltage of MOS (Metal oxide semiconductor) tube

Номер патента: CN109507560B. Автор: 莫保章,肖尚刚. Владелец: Shanghai Huali Integrated Circuit Manufacturing Co Ltd. Дата публикации: 2021-02-02.

Core-shell structured metal oxide particles and method for producing the same

Номер патента: WO2009041543A3. Автор: Yoshio Tadakuma. Владелец: FUJIFILM Corporation. Дата публикации: 2009-12-10.

Method for metal production

Номер патента: EP4384337A1. Автор: Rajnikant Patel,Adam VOLLANS,Katerina HRUBANTOVA,Russell HODGETTS. Владелец: Bradford Metallurgy Ltd. Дата публикации: 2024-06-19.

Method of forming metal* metal oxide or metal carbide layer on polyolefin

Номер патента: JPS5665029A. Автор: Korunirusu Boi,Bueebaa Yurugen,Reeberuku Geruto,Teihanii Bera. Владелец: Ruhrchemie AG. Дата публикации: 1981-06-02.

Tungsten materials and a method for providing such materials

Номер патента: US3577227A. Автор: Gail F Davies. Владелец: US Department of Navy. Дата публикации: 1971-05-04.

A method for producing iron fuel

Номер патента: AU2022418399A1. Автор: Marcus Wilhelmus Petrus VERHAGEN,Lex SCHEEPERS. Владелец: Renewable Iron Fuel Tech BV. Дата публикации: 2024-06-13.

Method for remediation of subterranean-formed metal-polymer complexes using peracetic acid

Номер патента: CA3157356A1. Автор: Scott Bailey,Andrew Bryce CONWAY. Владелец: Flex Chem Holding Co LLC. Дата публикации: 2021-04-15.

Foams containing functionalized metal oxide nanoparticles and methods of making same

Номер патента: US20020022672A1. Автор: Kristin Thunhorst,Douglas Hanggi. Владелец: 3M Innovative Properties Co. Дата публикации: 2002-02-21.

Method for forming a pattern and method of manufacturing semiconductor device

Номер патента: US20050153245A1. Автор: Yasunobu Onishi,Yasuhiko Sato. Владелец: Toshiba Corp. Дата публикации: 2005-07-14.

Method for forming pre-metal dielectric layer in a semiconductor device

Номер патента: KR100508531B1. Автор: 김성래. Владелец: 동부아남반도체 주식회사. Дата публикации: 2005-08-17.

METHOD FOR PROVIDING A NANOPATTERN OF METAL OXIDE NANOSTRUCTURES ON A SUBSTRATE

Номер патента: US20150021293A1. Автор: Morris Michael,Borah Dipu,Ghoshal Tandra,Mokarian Parvaneh. Владелец: . Дата публикации: 2015-01-22.

METHOD FOR PRODUCING GRANULAR MATERIAL CONTAINING METAL OXIDE

Номер патента: US20150166359A1. Автор: Doshita Kazuhiro,Shimokawa Kosei. Владелец: . Дата публикации: 2015-06-18.

COMPOSITION AND METHODS FOR TETHERING BIOACTIVE PEPTIDES TO METAL OXIDE SURFACES

Номер патента: US20170189531A1. Автор: TANG Wen,BECKER Matthew. Владелец: THE UNIVERSITY OF AKRON. Дата публикации: 2017-07-06.

Methods For Low Temperature ALD Of Metal Oxides

Номер патента: US20190309412A1. Автор: Balseanu Mihaela,Trinh Cong,Saly Mark,Kalutarage Lakmal C.,Bhuyan Bhaskar Jyoti. Владелец: . Дата публикации: 2019-10-10.

Method for synthesizing nanocrystalline/nanoporous transition metal oxides

Номер патента: KR100986941B1. Автор: 이수경,유룡. Владелец: 한국과학기술원. Дата публикации: 2010-10-12.

Method for washing a suspension of metal oxides

Номер патента: FR3112496A1. Автор: Aurelie Dandeu,Cyprien Charra,Fabien Salvatori,Marion SERVEL. Владелец: IFP Energies Nouvelles IFPEN. Дата публикации: 2022-01-21.

METHOD FOR DEPOSITING LAYERS BASED ON METAL OXIDE (S)

Номер патента: FR2775914B1. Автор: Tsutomu Minami,Kiyoharu Tadanaga. Владелец: Saint Gobain Vitrage SA. Дата публикации: 2000-04-21.

Method for removing coating metal from metal oxide base material

Номер патента: JPS6475688A. Автор: Toru Shoji. Владелец: Tanaka Kikinzoku Kogyo KK. Дата публикации: 1989-03-22.

Method for recovering metals and/or metal oxides from refinery waste

Номер патента: EP3253895B1. Автор: Lino Carlessi,Lorenzo BRUNO,Rosario GALATI. Владелец: Saipem Spa. Дата публикации: 2020-12-16.

METHOD FOR PREPARING PARTICULES OF COLOID METAL OXIDES

Номер патента: AR069976A1. Автор: . Владелец: Grace W R & Co. Дата публикации: 2010-03-03.

Method for reducing substances containing solid metal oxide

Номер патента: KR950700426A. Автор: . Владелец: . Дата публикации: 1995-01-16.

Method for producing niobium-containing composite metal oxide

Номер патента: JP3560070B2. Автор: 孝 牛窪,聡 小早川,啓 犬丸. Владелец: Mitsubishi Chemical Corp. Дата публикации: 2004-09-02.

Method for producing multiple-phase multi-metal oxide materials

Номер патента: CN1220551C. Автор: H·阿诺尔德,J·佩佐尔特,S·翁弗里希特,R·费尔德. Владелец: BASF SE. Дата публикации: 2005-09-28.

METHOD FOR PRODUCING A MATERIAL WITH METAL OXIDES IN A SOLID PHASE

Номер патента: DE69301025D1. Автор: Rolf Malmstroem. Владелец: Ahlstrom Corp. Дата публикации: 1996-01-25.

Method for producing antimony-containing composite metal oxide

Номер патента: JP4666336B2. Автор: 守 渡辺,英範 日名子. Владелец: Asahi Kasei Chemicals Corp. Дата публикации: 2011-04-06.

Method for the production of multi-metal oxide masses

Номер патента: EP1755779A1. Автор: Frank Rosowski,Klaus Joachim MÜLLER-ENGEL,Frieder Borgmeier,Hartmut Hibst. Владелец: BASF SE. Дата публикации: 2007-02-28.

METHOD FOR RECOVERING VOLATILE METALS FROM METAL OXIDS

Номер патента: ATA561880A. Автор: . Владелец: Skf Steel Eng Ab. Дата публикации: 1983-05-15.

APPARATUS AND METHOD FOR THE GASEOUS REDUCTION OF METAL OXIDES AT HIGH TEMPERATURES

Номер патента: AR204299A1. Автор: . Владелец: Fierro Esponja. Дата публикации: 1975-12-10.

Method for removing coating metal from metal oxide base material

Номер патента: JPS6479384A. Автор: Toru Shoji. Владелец: Tanaka Kikinzoku Kogyo KK. Дата публикации: 1989-03-24.

METHOD FOR INJECTIONING FINE PARTICLES CONTAINING METAL OXIDE IN A REDUCING GAS

Номер патента: ATA178096A. Автор: . Владелец: Res Inst Ind Science & Tech. Дата публикации: 1999-02-15.

Method for chemical removal of a metal oxide coating from a superalloy article

Номер патента: CN1702196A. Автор: R·G·小滋梅曼. Владелец: General Electric Co. Дата публикации: 2005-11-30.

Method for production of carbon composite metal oxide briquette

Номер патента: AU2008255866A1. Автор: Takao Harada,Hiroshi Sugitatsu. Владелец: Kobe Steel Ltd. Дата публикации: 2008-12-04.

DEVICE AND METHOD FOR MELTING AND FORMING METAL IN VACUUM ENVIRONMENT

Номер патента: US20170113268A1. Автор: GO Dong Keun,GO Myoung Su. Владелец: . Дата публикации: 2017-04-27.

METHOD FOR MANUFACTURING COMPLEX FORM METAL ALLOY PARTS

Номер патента: FR3063663A1. Автор: Olivier Martin,Arnaud De Ponnat. Владелец: Mecachrome France SAS. Дата публикации: 2018-09-14.

Method for producing a formed metallic workpiece with armor

Номер патента: DE102011056480A1. Автор: Ernst-Peter Schmitz,Peter Kolbe,Thomas Korner. Владелец: GESENKSCHMIEDE SCHNEIDER GMBH. Дата публикации: 2013-06-20.

Apparatuses and methods for accessing hybrid memory system

Номер патента: US20200363978A1. Автор: Kazuhiko Kajigaya. Владелец: Micron Technology Inc. Дата публикации: 2020-11-19.

Apparatuses and methods for accessing hybrid memory system

Номер патента: US11449258B2. Автор: Kazuhiko Kajigaya. Владелец: Micron Technology Inc. Дата публикации: 2022-09-20.

DEVICE AND METHODS FOR REDUCING PEAK NOISE AND PEAK POWER CONSUMPTION IN SEMICONDUCTOR DEVICES UNDER TEST

Номер патента: US20200049765A1. Автор: HWANG Jong-Tae. Владелец: . Дата публикации: 2020-02-13.

Method for measuring aberation of lens in exposing device of semiconductor device

Номер патента: KR100682177B1. Автор: 김희범. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-02-12.

Production method for exposure mask, exposure mask, and production method of semiconductor device

Номер патента: WO2003071590A1. Автор: Minoru Sugawara. Владелец: SONY CORPORATION. Дата публикации: 2003-08-28.

METHOD FOR ESTIMATING THE END OF LIFETIME FOR A POWER SEMICONDUCTOR DEVICE

Номер патента: US20140125366A1. Автор: Rannestad Bjørn,Thøgersen Paul Bach. Владелец: KK-ELECTRONIC A/S. Дата публикации: 2014-05-08.

SYSTEMS AND METHODS FOR DEPOPULATING PINS FROM CONTACTOR TEST SOCKETS FOR PACKAGED SEMICONDUCTOR DEVICES

Номер патента: US20180106835A1. Автор: Tong Kay Chan,Ata Hisashi,Shwe Thiha. Владелец: . Дата публикации: 2018-04-19.

Systems and Methods for Depopulating Pins from Contactor Test Sockets for Packaged Semiconductor Devices

Номер патента: US20200141974A1. Автор: Tong Kay Chan,Ata Hisashi,Shwe Thiha. Владелец: . Дата публикации: 2020-05-07.

METHOD FOR INSPECTING PATTERN AND AN APPARATUS FOR MANUFACTURING A SEMICONDUCTOR DEVICE USING THE SAME

Номер патента: US20160275663A1. Автор: Yang Seunghune,YANG Kiho,CHI KAIYUAN. Владелец: . Дата публикации: 2016-09-22.

Systems And Methods For Predicting And Managing Power And Energy Use Of Semiconductor Devices

Номер патента: US20210157966A1. Автор: Huilgol Ninad,Crowl Lawrence. Владелец: . Дата публикации: 2021-05-27.

Circuit and method for reducing number of data input and output and semiconductor device

Номер патента: JPH1152028A. Автор: Gyu-Hong Kim,金奎泓. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-02-26.

Method for manufacturing the half tone phase shift mask of semiconductor device

Номер патента: KR100588910B1. Автор: 박세진. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-06-09.

Method for extracting the distribution of charge stored in a semiconductor device

Номер патента: WO2006128922A1. Автор: Arnaud Furnémont. Владелец: Interuniversitair Microelektronica Centrum Vzw. Дата публикации: 2006-12-07.

Method for preparing particulate metal oxide materials

Номер патента: US20230278931A1. Автор: John Hryn,Yuepeng Zhang,Gregory K. Krumdick,Jungkuk LEE. Владелец: UChicago Argonne LLC. Дата публикации: 2023-09-07.

Low-temperature route for precision synthesis of metal oxide nanoparticles

Номер патента: US09517945B2. Автор: Daisuke Ito,James E. Hutchison. Владелец: University of Oregon. Дата публикации: 2016-12-13.

Shaped articles for nuclear remediation and methods for forming such shaped articles

Номер патента: WO2015183435A2. Автор: Evgeny Todorov KOLEV. Владелец: UOP LLC. Дата публикации: 2015-12-03.

Shaped articles for nuclear remediation and methods for forming such shaped articles

Номер патента: US09682369B2. Автор: Evgeny Todorov KOLEV. Владелец: UOP LLC. Дата публикации: 2017-06-20.

Film forming apparatus for forming metal film and film forming method for forming metal film

Номер патента: US12110604B2. Автор: Haruki KONDOH. Владелец: Toyota Motor Corp. Дата публикации: 2024-10-08.

Method for forming metal film

Номер патента: US20220403544A1. Автор: Haruki KONDOH. Владелец: Toyota Motor Corp. Дата публикации: 2022-12-22.

Film forming device and method for forming metal film using the same

Номер патента: US20200190685A1. Автор: Hirofumi Iisaka. Владелец: Toyota Motor Corp. Дата публикации: 2020-06-18.

Film forming apparatus for forming metal film and film forming method for forming metal film

Номер патента: US12123103B2. Автор: Haruki KONDOH. Владелец: Toyota Motor Corp. Дата публикации: 2024-10-22.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120003797A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003811A1. Автор: . Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

Semiconductor Device and Manufacturing Method Thereof

Номер патента: US20120001332A1. Автор: TANAKA Tetsuhiro. Владелец: . Дата публикации: 2012-01-05.

ISOLATION METHOD IN SEMICONDUCTOR DEVICE

Номер патента: US20120003809A1. Автор: KIM Young Deuk. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

Method for forming self-aligned metal oxide semiconductor transistor

Номер патента: TW563189B. Автор: Ding-Jang Jang,Huang-Jung Jeng,Jeng-Jie Yang. Владелец: United Microelectronics Corp. Дата публикации: 2003-11-21.

The method for forming protection layer of metal interconnects in semiconductor device

Номер патента: TW332338B. Автор: Rae Lee Jeong,Jae Kim Min. Владелец: Hyundai Eletronics Ind Co Ltd. Дата публикации: 1998-05-21.

METHOD FOR FABRICATING AT LEAST THREE METAL-OXIDE SEMICONDUCTOR TRANSISTORS HAVING DIFFERENT THRESHOLD VOLTAGES

Номер патента: US20120080758A1. Автор: . Владелец: STMicroelectronics, Inc.. Дата публикации: 2012-04-05.

SYSTEM AND METHOD FOR RECOVERING DATA OF COMPLEMENTARY METAL-OXIDE SEMICONDUCTOR

Номер патента: US20120166784A1. Автор: . Владелец: . Дата публикации: 2012-06-28.

METHOD FOR BAND GAP TUNING OF METAL OXIDE SEMICONDUCTORS

Номер патента: US20120282733A1. Автор: Peng Jr-Wei,LEE Szetsen Steven. Владелец: . Дата публикации: 2012-11-08.

METHODS FOR FORMING RESISTIVE-SWITCHING METAL OXIDES FOR NONVOLATILE MEMORY ELEMENTS

Номер патента: US20120149164A1. Автор: . Владелец: INTERMOLECULAR, INC.. Дата публикации: 2012-06-14.

Method for forming a gate electrode of a nonvolatile semiconductor device

Номер патента: KR980012447A. Автор: 홍영기. Владелец: 김광호. Дата публикации: 1998-04-30.

A kind of method for forming n-type buried regions in the semiconductor device

Номер патента: CN104392912B. Автор: 胡强,张世勇,王思亮. Владелец: Dongfang Electric Corp. Дата публикации: 2017-11-10.

Method for forming an alignment key pattern of a semiconductor device

Номер патента: KR970072014A. Автор: 권오철. Владелец: 김광호. Дата публикации: 1997-11-07.

Method for forming low dielectric constant insulator film and semiconductor device using the same

Номер патента: JPH10209148A. Автор: Toshiaki Hasegawa,利昭 長谷川. Владелец: Sony Corp. Дата публикации: 1998-08-07.

SYSTEMS AND METHODS FOR THIN-FILM DEPOSITION OF METAL OXIDES USING EXCITED NITROGEN-OXYGEN SPECIES

Номер патента: US20120098107A1. Автор: Raisanen Petri,Sung-hoon Jung,Mohith Verghese. Владелец: . Дата публикации: 2012-04-26.

METHODS FOR ETCH OF METAL AND METAL-OXIDE FILMS

Номер патента: US20120238103A1. Автор: Wang Anchuan,Zhang Jingchun,Ingle Nitin. Владелец: Applied Materials, Inc.. Дата публикации: 2012-09-20.

Method for Producing Polysaccharide Chemically Modified Metal Oxide Particles

Номер патента: JP7041952B2. Автор: 健 大浦,眞人 坂口. Владелец: MEIJO UNIVERSITY. Дата публикации: 2022-03-25.

Method for reduction of ores and metal oxides

Номер патента: EA024243B1. Автор: Канат Шафикович Чокин. Владелец: Канат Шафикович Чокин. Дата публикации: 2016-08-31.

Method for prepararing lanthanum nickelate conductive metal oxide film material

Номер патента: CN102320670A. Автор: 褚君浩,沈育德,李亚巍. Владелец: EAST CHINA NORMAL UNIVERSITY. Дата публикации: 2012-01-18.

Method for preparing Nano crystal of metal oxide of anti agglomeration

Номер патента: CN1321942C. Автор: 王海,吴玉,徐柏庆. Владелец: TSINGHUA UNIVERSITY. Дата публикации: 2007-06-20.

Method for synthesizing spherical alkali-earth metal oxide homogenous phase mixed powder

Номер патента: CN101817546A. Автор: 李国锋,潘文,王宁会,宁桂玲. Владелец: Dalian University of Technology. Дата публикации: 2010-09-01.

Method for preparing perovskite-like composite metallic oxide by lamina

Номер патента: CN101041472B. Автор: 李峰,项顼,顾智军. Владелец: Beijing University of Chemical Technology. Дата публикации: 2010-05-19.

Method for producing oxygen 16-enriched metal oxide

Номер патента: CN115716661A. Автор: 李鑫,于雪,张俊峰,罗志福,罗洪义,牛厂磊,周晓晗. Владелец: China Institute of Atomic of Energy. Дата публикации: 2023-02-28.

Method for extracting resistive current of metal oxide arrester (MOA)

Номер патента: CN101986164B. Автор: 王永强,律方成,谢红玲,李燕青,徐志钮. Владелец: NORTH CHINA ELECTRIC POWER UNIVERSITY. Дата публикации: 2012-11-28.

Method for producing organic group composite metal oxide fine particles

Номер патента: JP4237518B2. Автор: 光生 武田,弓子 森. Владелец: NIPPON SHOKUBAI CO LTD. Дата публикации: 2009-03-11.

Method for pressurizing and forming metallic material

Номер патента: JPS6475199A. Автор: Akira Saito,Shoichi Sakota,Atsushi Yamazaki. Владелец: Fuarukoa Kk. Дата публикации: 1989-03-20.

Method for bending and forming metal pipe by placing steel balls inside

Номер патента: CN102228922B. Автор: 杨连发,石松朝. Владелец: Guilin University of Electronic Technology. Дата публикации: 2013-01-16.

Methods of Forming Metal Patterns in Openings in Semiconductor Devices

Номер патента: US20120164826A1. Автор: . Владелец: . Дата публикации: 2012-06-28.

Method of Forming Metal Silicide Regions on a Semiconductor Device

Номер патента: US20130015527A1. Автор: Baars Peter,Thees Hans-Juergen. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-01-17.

Collimator for forming of metal thin film in the semiconductor device

Номер патента: KR970000962B1. Автор: Kyung-Soo Cho. Владелец: Hyundai Electronics Ind. Дата публикации: 1997-01-21.

METHOD FOR MANUFACTURING SILICON CARBIDE SUBSTRATE, SILICON CARBIDE SUBSTRATE, AND SEMICONDUCTOR DEVICE

Номер патента: US20120012862A1. Автор: . Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-19.

METHOD FOR MANUFACTURING EPITAXIAL CRYSTAL SUBSTRATE, EPITAXIAL CRYSTAL SUBSTRATE AND SEMICONDUCTOR DEVICE

Номер патента: US20120299061A1. Автор: Momoi Hajime,Kakuta Koji. Владелец: . Дата публикации: 2012-11-29.

Method for correcting error of alignment measurement and production of semiconductor device

Номер патента: JPH1089921A. Автор: Yoshikatsu Tomimatsu,喜克 富松. Владелец: Mitsubishi Electric Corp. Дата публикации: 1998-04-10.

Method for improving critical size differences of different areas of semiconductor device

Номер патента: CN103295894A. Автор: 秦伟,高慧慧,杨渝书. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2013-09-11.

Method for manufacturing p-type group iii nitride semiconductor and semiconductor device

Номер патента: JP2021182597A. Автор: 隆弘 藤井,Takahiro Fujii. Владелец: Toyoda Gosei Co Ltd. Дата публикации: 2021-11-25.

FLEXIBLE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001173A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001168A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001170A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

Semiconductor Device and Method for Manufacturing the Same

Номер патента: US20120001180A1. Автор: Yoshizumi Kensuke,YOKOI Tomokazu. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Manufacturing Method Thereof

Номер патента: US20120001335A1. Автор: ENDO Yuta,TANAKA Tetsuhiro. Владелец: . Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер патента: US20120003795A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120001169A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120001226A1. Автор: . Владелец: Sanken Electric Co., Ltd.. Дата публикации: 2012-01-05.

IMAGE SENSOR AND MANUFACTURING METHOD FOR SAME

Номер патента: US20120003776A1. Автор: Park Sang Hyuk. Владелец: Intellectual Ventures II LLC. Дата публикации: 2012-01-05.

OPTICAL MODULATOR AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120003767A1. Автор: . Владелец: NEC Corporation. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003823A1. Автор: Sasaki Makoto,NISHIGUCHI Taro,HARADA Shin,Okita Kyoko,Namikawa Yasuo. Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

FLATBAND VOLTAGE ADJUSTMENT IN A SEMICONDUCTOR DEVICE

Номер патента: US20120001253A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001275A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001342A1. Автор: . Владелец: RENESAS ELECTRONICS CORPORATION. Дата публикации: 2012-01-05.

ENERGY STORAGE DEVICE AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003535A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

METHODS FOR SPUTTERING A RESISTIVE TRANSPARENT BUFFER THIN FILM FOR USE IN CADMIUM TELLURIDE BASED PHOTOVOLTAIC DEVICES

Номер патента: US20120000768A1. Автор: . Владелец: PRIMESTAR SOLAR, INC.. Дата публикации: 2012-01-05.

SPUTTERING TARGETS INCLUDING EXCESS CADMIUM FOR FORMING A CADMIUM STANNATE LAYER

Номер патента: US20120000776A1. Автор: Feldman-Peabody Scott Daniel. Владелец: PRIMESTAR SOLAR, INC.. Дата публикации: 2012-01-05.

Semiconductor Device and Method for Forming the Same

Номер патента: US20120001229A1. Автор: Zhu Huilong,Liang Qingqing. Владелец: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001331A1. Автор: . Владелец: KABUSHI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120001257A1. Автор: MURAKAWA Kouichi. Владелец: RENESAS ELECTRONICS CORPORATION. Дата публикации: 2012-01-05.

METHOD FOR FORMING IMAGE SENSOR WITH SHIELD STRUCTURES

Номер патента: US20120003782A1. Автор: Byun Jeong Soo,Korobov Vladimir,Pohland Oliver. Владелец: . Дата публикации: 2012-01-05.

Methods of manufacturing semiconductor devices with Si and SiGe epitaxial layers

Номер патента: US20120003799A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR DRIVING LIQUID CRYSTAL DISPLAY DEVICE

Номер патента: US20120001878A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

METHOD FOR DRIVING LIQUID CRYSTAL DISPLAY DEVICE

Номер патента: US20120001953A1. Автор: Yamazaki Shunpei,Hirakata Yoshiharu. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

Organometallic films, methods for applying organometallic films to substrates and substrates coated with such films

Номер патента: US20120003481A1. Автор: Hanson Eric L.. Владелец: Aculon, Inc.. Дата публикации: 2012-01-05.

Organometallic films, methods for applying organometallic films to substrates and substrates coated with such films

Номер патента: US20120004388A1. Автор: Hanson Eric L.. Владелец: Aculon, Inc.. Дата публикации: 2012-01-05.

NANOSCALE METAL OXIDE RESISTIVE SWITCHING ELEMENT

Номер патента: US20120001146A1. Автор: Lu Wei,Jo Sung Hyun. Владелец: THE REGENTS OF THE UNIVERSITY OF MICHIGAN. Дата публикации: 2012-01-05.

SELF-DISPERSIBLE COATED METAL OXIDE POWDER, AND PROCESS FOR PRODUCTION AND USE

Номер патента: US20120003287A1. Автор: Schlossman David,Shao Yun,Orr Carl. Владелец: KOBO PRODUCTS, INC.. Дата публикации: 2012-01-05.

Method for manufacturing thin film capacitor and thin film capacitor obtained by the same

Номер патента: US20120001298A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ELECTRODE STRUCTURE, METHOD OF FABRICATING THE SAME, AND SEMICONDUCTOR DEVICE INCLUDING THE ELECTRODE STRUCTURE

Номер патента: US20120001267A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PRODUCING ANISOPTROPIC BULK MATERIALS

Номер патента: US20120001368A1. Автор: Filippov Andrey V.,Milia Charlotte Diane. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING METAL GATE STACK STRUCTURE IN GATE-FIRST PROCESS

Номер патента: US20120003827A1. Автор: Xu Qiuxia,Li Yongliang. Владелец: . Дата публикации: 2012-01-05.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20120003829A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

BELTS FOR ELECTROSTATOGRAPHIC APPARATUS AND METHODS FOR MAKING THE SAME

Номер патента: US20120003415A1. Автор: FROMM Paul M.. Владелец: XEROX CORPORATION. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICES AND METHODS OF MANUFACTURING THE SAME

Номер патента: US20120001260A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

OPTICAL ELEMENT AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120002285A1. Автор: Matsuda Manabu. Владелец: FUJITSU LIMITED. Дата публикации: 2012-01-05.

Large Area Nitride Crystal and Method for Making It

Номер патента: US20120000415A1. Автор: Speck James S.,"DEvelyn Mark P.". Владелец: Soraa, Inc.. Дата публикации: 2012-01-05.

ISOLATION REGION, SEMICONDUCTOR DEVICE AND METHODS FOR FORMING THE SAME

Номер патента: US20120001198A1. Автор: Zhu Huilong,Yin Haizhou,Luo Zhijiong. Владелец: . Дата публикации: 2012-01-05.

ETCHANTS AND METHODS OF FABRICATING SEMICONDUCTOR DEVICES USING THE SAME

Номер патента: US20120001264A1. Автор: YANG Jun-Kyu,Kim Hong-Suk,Hwang Ki-Hyun,Ahn Jae-Young,Lim Hun-Hyeong,KIM Jin-Gyun. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device

Номер патента: US20120001269A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

Method and Apparatus For Inspecting Defect Of Pattern Formed On Semiconductor Device

Номер патента: US20120002861A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

CONNECTING MATERIAL, METHOD FOR MANUFACTURING CONNECTING MATERIAL AND SEMICONDUCTOR DEVICE

Номер патента: US20120000965A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD

Номер патента: US20120001304A1. Автор: . Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

Номер патента: US20120001346A1. Автор: SEO Dae-Young,KIM Doo-Kang. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003801A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME

Номер патента: US20120001255A1. Автор: PARK JIN WON. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

RAPID CRYSTALLIZATION OF HEAVILY DOPED METAL OXIDES AND PRODUCTS PRODUCED THEREBY

Номер патента: US20120001172A1. Автор: Li Qi,Shang Jian-Ku. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120001200A1. Автор: Yanagihara Manabu,Uemoto Yasuhiro,IKOSHI Ayanori,MORITA TATSUO. Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME

Номер патента: US20120001234A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR OPERATING RFID DEVICES ON SINGLE-USE CONNECTORS

Номер патента: US20120001731A1. Автор: . Владелец: GE HEALTHCARE BIOSCIENCE BIOPROCESS CORP.. Дата публикации: 2012-01-05.

METHOD FOR FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20120003820A1. Автор: FURUYA Akira,Kitamura Takamitsu,Nakata Ken,Makabe Isao,Yui Keiichi. Владелец: SUMITOMO ELECTRIC INDUSTRIES LTD.. Дата публикации: 2012-01-05.

FILLET WELD JOINT AND METHOD FOR GAS SHIELDED ARC WELDING

Номер патента: US20120003035A1. Автор: Suzuki Reiichi,Kinefuchi Masao,KASAI RYU. Владелец: Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.). Дата публикации: 2012-01-05.