반도체 소자의 트랜지스터 형성 방법

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method for forming epitaxial Co self-align silicide for semiconductor device

Номер патента: US6077750A. Автор: Jeong Soo Byun,Dong Kyun Sohn. Владелец: LG Semicon Co Ltd. Дата публикации: 2000-06-20.

Method for forming silicide of semiconductor device

Номер патента: US8105910B2. Автор: Hee-Jae Shin. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2012-01-31.

Method for Forming a Semiconductor Device and a Semiconductor Device

Номер патента: US20170229539A1. Автор: Hans-Joachim Schulze,Philipp Seng. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-08-10.

Method for forming the MOS transistor in semiconductor device

Номер патента: KR100400249B1. Автор: 박상욱,곽노열. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-10-01.

METHOD FOR ELIMINATING DISLOCATIONS IN ACTIVE AREA AS WELL AS SEMICONDUCTOR DEVICE

Номер патента: US20190341262A1. Автор: Zhou Jun,Li Yun,XU Jingjing,LUO Qingwei. Владелец: . Дата публикации: 2019-11-07.

Method for producing semiconductor device and semiconductor device

Номер патента: US20150303296A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2015-10-22.

Method for producing semiconductor device

Номер патента: US20170077267A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore PteLtd. Дата публикации: 2017-03-16.

Improving mosfet performance by employing an improved method for forming halo implants

Номер патента: EP1188181A2. Автор: Rajesh Rengarajan. Владелец: Infineon Technologies North America Corp. Дата публикации: 2002-03-20.

Method for manufacturing a semiconductor device

Номер патента: US7338876B2. Автор: Kensuke Okonogi,Kiyonori Oyu. Владелец: Elpida Memory Inc. Дата публикации: 2008-03-04.

Method for fabricating recess gate and recess channel in semiconductor device

Номер патента: CN101399194B. Автор: 赵瑢泰,金殷美. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-12-22.

Semiconductor device structure and method for forming the same

Номер патента: US20210313449A1. Автор: Pinyen Lin,Li-Te Lin,Han-Yu Lin,Tze-Chung Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-10-07.

Method of making a cmos semiconductor device using a stressed silicon-on-insulator (soi) wafer

Номер патента: US20180323301A1. Автор: Qing Liu,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2018-11-08.

Method of making a cmos semiconductor device using a stressed silicon-on-insulator (soi) wafer

Номер патента: US20160268433A1. Автор: Qing Liu,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2016-09-15.

Semiconductor device and associated method for manufacturing

Номер патента: US20140183627A1. Автор: Rongyao Ma,Tieshing Li. Владелец: Chengdu Monolithic Power Systems Co Ltd. Дата публикации: 2014-07-03.

Method for forming field cut-off layer in semiconductor device

Номер патента: CN105206516A. Автор: 胡强,张世勇,王思亮. Владелец: Dongfang Electric Corp. Дата публикации: 2015-12-30.

METHOD FOR DETERMINING CHARACTERISTICS SMALLER THAN A MICROMETER IN SEMICONDUCTOR DEVICES.

Номер патента: NL8204152A. Автор: . Владелец: Western Electric Co. Дата публикации: 1983-05-16.

Method for forming gate electrode and salicide contact of semiconductor devices

Номер патента: KR100315451B1. Автор: 김서원. Владелец: 아남반도체 주식회사. Дата публикации: 2001-11-28.

METHOD FOR SELECTIVELY DEPOSITING A GROUP IV SEMICONDUCTOR AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер патента: US20190027584A1. Автор: Tolle John,Margetis Joe. Владелец: . Дата публикации: 2019-01-24.

Method for selectively depositing a Group IV semiconductor and related semiconductor device structures

Номер патента: US11018002B2. Автор: John Tolle,Joe Margetis. Владелец: ASM IP Holding BV. Дата публикации: 2021-05-25.

Structure of and fabricating method for a thin film transistor

Номер патента: US5578838A. Автор: Seok W. Cho,Jong M. Choi. Владелец: LG Semicon Co Ltd. Дата публикации: 1996-11-26.

Fabricating method for a thin film transistor with a negatively sloped gate

Номер патента: US5766988A. Автор: Jong Moon Choi,Seok Won Cho. Владелец: LG Semicon Co Ltd. Дата публикации: 1998-06-16.

Method for forming semiconductor device having epitaxial channel layer using laser treatment

Номер патента: US20020001890A1. Автор: Jung-Ho Lee. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2002-01-03.

Semiconductor device and method for forming silicide layers

Номер патента: WO2008115542A1. Автор: Romain Coppard,Jérôme LOLIVIER. Владелец: ATMEL CORPORATION. Дата публикации: 2008-09-25.

Method for forming semiconductor device with low sealing loss

Номер патента: US20160141386A1. Автор: Chih-Kai Hsu,Ssu-I Fu,Yu-Hsiang Hung,Jyh-Shyang Jenq,Yen-Liang Wu. Владелец: United Microelectronics Corp. Дата публикации: 2016-05-19.

A method for forming rectangular-shape spacers for semiconductor devices

Номер патента: WO2005069362A1. Автор: Huicai Zhong,Srikanteswara Dakshina-Murthy. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2005-07-28.

Semiconductor devices and methods for manufacturing the same

Номер патента: US20190103400A1. Автор: Chien-Wei Chiu,Shin-Cheng Lin,Yu-Hao Ho. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2019-04-04.

Method for forming a gate of a high integration semiconductor device

Номер патента: US20020093066A1. Автор: Young-Hun Bae,Won-sung Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-07-18.

Semiconductor device and method for fabricating the same

Номер патента: US20240072171A1. Автор: Young Gwang YOON. Владелец: SK hynix Inc. Дата публикации: 2024-02-29.

Semiconductor device and method for fabricating the same

Номер патента: US11848383B2. Автор: Young Gwang YOON. Владелец: SK hynix Inc. Дата публикации: 2023-12-19.

An apparatus and method for non-contact assessment of a constituent in semiconductor substrates

Номер патента: WO2007024332A3. Автор: Pedro Vagos. Владелец: Accent Optical Tech Inc. Дата публикации: 2007-11-29.

An apparatus and method for non-contact assessment of a constituent in semiconductor substrates

Номер патента: WO2007024332A2. Автор: Pedro Vagos. Владелец: Accent Optical Technologies, Inc.. Дата публикации: 2007-03-01.

Method for forming self-aligned isolation trenches in semiconductor substrate and semiconductor device

Номер патента: US20150171162A1. Автор: Tzung-Han Lee,Yaw-Wen Hu. Владелец: Inotera Memories Inc. Дата публикации: 2015-06-18.

Method for manufacturing semiconductor device

Номер патента: US20150179528A1. Автор: Shukun Yu,Qingsong WEI. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2015-06-25.

Method for forming semiconductor device structure with gate

Номер патента: US20160190013A1. Автор: Po-Chi WU,Chai-Wei Chang,Wen-Han Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-06-30.

Method for forming silicon oxide film and for manufacturing capacitor and semiconductor device

Номер патента: US20070218637A1. Автор: Yoshiko Harada,Naotada Ogura. Владелец: Yamaha Corp. Дата публикации: 2007-09-20.

Method for forming a split-gate device

Номер патента: US20150279854A1. Автор: Mehul D. Shroff,Mark D. Hall. Владелец: Individual. Дата публикации: 2015-10-01.

Production method of T-shaped gate electrode in semiconductor device

Номер патента: US5304511A. Автор: Masayuki Sakai. Владелец: Mitsubishi Electric Corp. Дата публикации: 1994-04-19.

METHOD FOR FORMING ELEMENTS LESS THAN MICRON IN SEMICONDUCTOR DEVICES

Номер патента: FR2517881B1. Автор: Eliezer Kinsbron,William Thomas Lynch. Владелец: Western Electric Co Inc. Дата публикации: 1986-03-21.

METHOD FOR FORMING MICRON LOWER SIZE ELEMENTS IN SEMICONDUCTOR DEVICES

Номер патента: FR2517881A1. Автор: Eliezer Kinsbron,William Thomas Lynch. Владелец: Western Electric Co Inc. Дата публикации: 1983-06-10.

Method for forming junction of vertical cell in semiconductor device

Номер патента: KR101116357B1. Автор: 이보미. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2012-03-09.

Method for manufacturing semiconductor device

Номер патента: US9520483B2. Автор: XINPENG WANG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-12-13.

Method for manufacturing soi structure in desired region of a semiconductor device

Номер патента: US20090186463A1. Автор: Min Jung SHIN. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-07-23.

Method for forming a fine contact hole in a semiconductor device

Номер патента: US5767019A. Автор: Sang Wook Kim,Hae Jung Lee. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1998-06-16.

Manufacturing method for filling a trench or contact hole in a semiconductor device

Номер патента: US5824562A. Автор: Tai-su Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1998-10-20.

Methods for forming shallow junctions in semiconductor wafers

Номер патента: EP1019952A1. Автор: Daniel F. Downey. Владелец: Varian Semiconductor Equipment Associates Inc. Дата публикации: 2000-07-19.

Methods for forming ultrashallow junctions with low sheet resistance

Номер патента: WO2002086953A1. Автор: Daniel F. Downey. Владелец: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.. Дата публикации: 2002-10-31.

Epitaxial process for forming semiconductor devices

Номер патента: US20130130461A1. Автор: Shiang-Bau Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-05-23.

Semiconductor device and method for producing thereof

Номер патента: EP4135050A1. Автор: Hans Weber,Andreas Vörckel,Tobias Höchbauer. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2023-02-15.

Method for Forming Sidewall in Forksheet Structure and Forksheet Semiconductor Device

Номер патента: US20230261081A1. Автор: Guangxing Wan,Waisum Wong. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-08-17.

Method for preventing key hole from being generated in semiconductor device

Номер патента: KR100423065B1. Автор: 안성환,임태정. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-06-10.

METHOD FOR CONTROLLING SURFACE CHARGE ON WAFER SURFACE IN SEMICONDUCTOR FABRICATION

Номер патента: US20160307757A1. Автор: Ku Shao-Yen,Chuang Jui-Ping,LU Chen-Hsiang,Yu Weibo. Владелец: . Дата публикации: 2016-10-20.

Method for manufacturing a spin on glass film in a semiconductor device

Номер патента: KR100246779B1. Автор: 권혁진,홍상기,전상호. Владелец: 현대전자산업주식회사. Дата публикации: 2000-03-15.

Method for fabricating a semiconductor device having a device isolation trench

Номер патента: US8338246B2. Автор: Song Hyeuk Im. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-12-25.

Semiconductor device and method for forming the same

Номер патента: US20130115743A1. Автор: Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-05-09.

Method for forming a semiconductor device

Номер патента: US20220068723A1. Автор: TAO Hu,Wen Yi Tan,Jinjian Ouyang,Xiao Dong Shi. Владелец: United Semiconductor Xiamen Co Ltd. Дата публикации: 2022-03-03.

Source/drain structure for semiconductor device

Номер патента: US12040384B2. Автор: LUNG Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-16.

Source/drain structure for semiconductor device

Номер патента: US20230064000A1. Автор: LUNG Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-03-02.

Method for forming dual gate of a semiconductor device

Номер патента: US20020164857A1. Автор: Jae-Hee Ha. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-11-07.

Semiconductor device and method

Номер патента: US11728406B2. Автор: De-Wei YU,Cheng-Po CHAU,Yun Chen Teng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-08-15.

Semiconductor device and method

Номер патента: US20200044048A1. Автор: De-Wei YU,Cheng-Po CHAU,Yun Chen Teng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-02-06.

Semiconductor device

Номер патента: US20130320416A1. Автор: Youfeng He. Владелец: Semiconductor Manufacturing International Beijing Corp. Дата публикации: 2013-12-05.

Refractory metal nitride capped electrical contact and method for frabricating same

Номер патента: US20110049720A1. Автор: Sadiki Jordan. Владелец: International Rectifier Corp USA. Дата публикации: 2011-03-03.

Biased reactive refractory metal nitride capped contact of group III-V semiconductor device

Номер патента: US9484425B2. Автор: Sadiki Jordan. Владелец: Infineon Technologies North America Corp. Дата публикации: 2016-11-01.

High-voltage semiconductor device and method of manufacturing the same

Номер патента: US20070155107A1. Автор: Choul Ko. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-05.

Shielding structure for a vertical iii-nitride semiconductor device

Номер патента: EP4391065A1. Автор: Hu Liang,Stefaan Decoutere,Karen GEENS. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Shielding structure for a vertical iii-nitride semiconductor device

Номер патента: WO2024132524A1. Автор: Hu Liang,Jens Baringhaus,Kevin Dannecker,Stefaan Decoutere,Karen GEENS. Владелец: IMEC VZW. Дата публикации: 2024-06-27.

A method for forming a poly silicon layer in semiconductor device

Номер патента: KR100573482B1. Автор: 동차덕. Владелец: 에스티마이크로일렉트로닉스 엔.브이.. Дата публикации: 2006-04-24.

Method for forming a metal silicide layer in a semiconductor device

Номер патента: US7005373B2. Автор: Kwan-Jong Roh,In-sun Park,Eung-joon Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-02-28.

Method of Fabricating an Electrical Contact for Use on a Semiconductor Device

Номер патента: US20170047228A1. Автор: Sadiki Jordan. Владелец: Infineon Technologies North America Corp. Дата публикации: 2017-02-16.

Method for forming control gate salicide

Номер патента: US9330924B2. Автор: Huanxin Liu. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-05-03.

Method for forming control gate salicide

Номер патента: US20160093498A1. Автор: Huanxin Liu. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-03-31.

METHOD FOR MAKING AT LEAST ONE DEEP LAYER IN A SEMICONDUCTOR DEVICE

Номер патента: FR2548831A1. Автор: Pieter Johannes Wilhel Jochems. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1985-01-11.

METHOD FOR PRODUCING AT LEAST ONE DEEP LAYER IN A SEMICONDUCTOR DEVICE

Номер патента: FR2548831B1. Автор: Pieter Johannes Wilhel Jochems. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1988-10-14.

Method for fabricating semiconductor devices

Номер патента: US20020106863A1. Автор: In-Chul Jung,Seung-Hoon Sa. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-08-08.

Silicon phosphide semiconductor device

Номер патента: US11749567B2. Автор: Tuoh Bin Ng,Tzu-Ching Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-05.

Method for forming word line of semiconductor device

Номер патента: US20040082155A1. Автор: Won Lee. Владелец: Individual. Дата публикации: 2004-04-29.

Semiconductor device and fabrication method thereof

Номер патента: US20210091192A1. Автор: Nan Wang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2021-03-25.

Semiconductor device with graphene conductive structure and method for forming the same

Номер патента: US20220051936A1. Автор: Ching-Cheng Chuang. Владелец: Nanya Technology Corp. Дата публикации: 2022-02-17.

Method for manufacturing semiconductor device

Номер патента: US20160049522A1. Автор: Tomoaki Moriwaka. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2016-02-18.

Manufacturing method for semiconductor device

Номер патента: US20150171103A1. Автор: Kazuhiko Sato,Hiroshi Ishida. Владелец: Synaptics Display Devices GK. Дата публикации: 2015-06-18.

Method for forming a gate insulating film for semiconductor devices

Номер патента: US6303481B2. Автор: Dong Su Park. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2001-10-16.

Method for forming a high dielectric layer in semiconductor device

Номер патента: KR100510546B1. Автор: 이내인,이종호,정형석,김윤석,도석주. Владелец: 삼성전자주식회사. Дата публикации: 2005-08-26.

Method for making high gain bipolar transistors in CMOS process

Номер патента: US20020084494A1. Автор: Chi-Cheong Shen,Kamel Benaissa. Владелец: Texas Instruments Inc. Дата публикации: 2002-07-04.

Method for manufacturing etch stop areas for contacting semiconductor devices

Номер патента: US20180114724A1. Автор: Dmitri Alex Tschumakow,Claus Dahl. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-04-26.

Method for manufacturing etch stop areas for contacting semiconductor devices

Номер патента: US10354917B2. Автор: Dmitri Alex Tschumakow,Claus Dahl. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2019-07-16.

Method for Forming a Semiconductor Device

Номер патента: US20230197831A1. Автор: Hans Mertens,Boon Teik CHAN,Eugenio Dentoni Litta. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-06-22.

Method for Forming a Semiconductor Device

Номер патента: US20230197522A1. Автор: Naoto Horiguchi,Julien Ryckaert,Boon Teik CHAN,Anne Vandooren. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-06-22.

A method for forming a semiconductor device

Номер патента: EP4391039A1. Автор: Shairfe Muhammad Salahuddin,Boon Teik CHAN,Hsiao-Hsuan Liu. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Semiconductor devices and methods for forming the same

Номер патента: US20200194564A1. Автор: Chung-Yeh Lee,Sheng-Wei FU,Tsung-Yeh CHEN. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2020-06-18.

A method for forming a semiconductor device

Номер патента: EP4391038A1. Автор: Boon Teik CHAN,Hsiao-Hsuan Liu,Pieter Schuddinck. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Method for forming semiconductor device

Номер патента: US7851310B2. Автор: Wei-Chieh Lin,Li-Cheng Lin. Владелец: Anpec Electronics Corp. Дата публикации: 2010-12-14.

Semiconductor device including vertical transistor and method for manufacturing the same

Номер патента: US20130126964A1. Автор: Kyoung Han LEE. Владелец: SK hynix Inc. Дата публикации: 2013-05-23.

Semiconductor device and method

Номер патента: US12051700B2. Автор: Chung-Ting Ko,Tai-Chun Huang,Li-Fong Lin,Wan Chen Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-30.

Method and structure for forming a vertical field-effect transistor

Номер патента: US20190371920A1. Автор: Peng Xu,Kangguo Cheng,ChoongHyun Lee,Juntao Li. Владелец: International Business Machines Corp. Дата публикации: 2019-12-05.

Iii-v semiconductor device

Номер патента: US20220406926A1. Автор: Benoit Bakeroot. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2022-12-22.

Methods for forming shallow trench isolation structures in semiconductor devices

Номер патента: US20050142802A1. Автор: Young Seo. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Method for controlling sheet resistance of poly in fabrication of semiconductor device

Номер патента: US20090077509A1. Автор: Nan Soon CHOI. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-03-19.

Method for mounting a semiconductor chip on a substrate and semiconductor device adapted for mounting on a substrate

Номер патента: US20010038152A1. Автор: I-Ming Chen. Владелец: Individual. Дата публикации: 2001-11-08.

Method for forming a mental wiring pattern on a semiconductor device

Номер патента: US6296988B1. Автор: Bok-Hyung Lee. Владелец: Amkor Technology Inc. Дата публикации: 2001-10-02.

Method for forming photo mask for use in fabricating semiconductor device

Номер патента: US5679499A. Автор: Atsushi Yamamori. Владелец: NEC Corp. Дата публикации: 1997-10-21.

Method for manufacturing a multi-layer wiring structure of a semiconductor device

Номер патента: US5851917A. Автор: Sang-In Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1998-12-22.

Method for providing a self-aligned pad protection in a semiconductor device

Номер патента: US20150357234A1. Автор: Michael Rogalli,Wolfgang Lehnert. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2015-12-10.

Method for producing a semiconductor body, semiconductor body and power semiconductor device

Номер патента: WO2024056185A1. Автор: Yulieth Cristina Arango,Giovanni ALFIERI. Владелец: Hitachi Energy Ltd. Дата публикации: 2024-03-21.

Method for inducing stress in semiconductor devices

Номер патента: US11757039B2. Автор: Gaspard Hiblot,Geert Van Der Plas. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-09-12.

Semiconductor device having groove and method of fabricating the same

Номер патента: US20030068875A1. Автор: Nak-Jin Son. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2003-04-10.

Methods for forming a transistor

Номер патента: EP1759409A2. Автор: Victor Moroz,Lori D. Washington,Faran Nouri. Владелец: Applied Materials Inc. Дата публикации: 2007-03-07.

Method for forming step channel of semiconductor device

Номер патента: US20060141800A1. Автор: Young Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-06-29.

Method for forming step channel of semiconductor device

Номер патента: US7309656B2. Автор: Young Man Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-12-18.

Semiconductor device and fabrication method thereof

Номер патента: US11309318B2. Автор: JISONG Jin. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2022-04-19.

Methods for normalizing strain in a semiconductor device

Номер патента: SG155836A1. Автор: Tan Chung Foong,TEO Lee Wee,Quek Kiok Boone Elgin,Alain Chan. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2009-10-29.

Semiconductor device and method of forming the same

Номер патента: US20230154824A1. Автор: Chia-Che Chung,Ming-Tzong Yang,Hsien-Hsin Lin,Chee-Wee Liu,Wen-Kai Wan. Владелец: Individual. Дата публикации: 2023-05-18.

Semiconductor device and method for forming the same

Номер патента: US20240194769A1. Автор: Yu-Cheng Tung,Chia-Wei Wu. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2024-06-13.

Systems and methods for porous capping layers

Номер патента: GB2625513A. Автор: Clark Andrew,Hammond Richard. Владелец: IQE plc. Дата публикации: 2024-06-26.

Methods for forming semiconductor devices

Номер патента: US20130137234A1. Автор: Peter Baars,Matthias Goldbach. Владелец: Globalfoundries Inc. Дата публикации: 2013-05-30.

Method of forming a semiconductor device

Номер патента: US20070184601A1. Автор: Bich-Yen Nguyen,Da Zhang. Владелец: Individual. Дата публикации: 2007-08-09.

Method for fabricating finfet isolation structure

Номер патента: US20170110557A1. Автор: Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-20.

Method for forming different types of devices

Номер патента: US20210384198A1. Автор: Yen-Ming Chen,Feng-Cheng Yang,Wei-Yang Lee,Feng-Ching Chu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-12-09.

Method for forming different types of devices

Номер патента: US20240251539A1. Автор: Yen-Ming Chen,Feng-Cheng Yang,Wei-Yang Lee,Feng-Ching Chu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-25.

METHOD FOR FORMING SELF-ALIGNED ISOLATION TRENCHES IN SEMICONDUCTOR SUBSTRATE AND SEMICONDUCTOR DEVICE

Номер патента: US20150171162A1. Автор: LEE TZUNG-HAN,Hu Yaw-Wen. Владелец: INOTERA MEMORIES, INC.. Дата публикации: 2015-06-18.

Etching method and method for manufacturing semiconductor device

Номер патента: US20120094445A1. Автор: Shinya Sasagawa,Hiroshi Fujiki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2012-04-19.

Nanowire semiconductor device

Номер патента: US9735258B2. Автор: SANGHOON Lee,Karthik Balakrishnan,Pouya Hashemi. Владелец: International Business Machines Corp. Дата публикации: 2017-08-15.

Nanowire semiconductor device

Номер патента: US9613873B1. Автор: SANGHOON Lee,Karthik Balakrishnan,Pouya Hashemi. Владелец: International Business Machines Corp. Дата публикации: 2017-04-04.

Nanowire semiconductor device

Номер патента: US20170263507A1. Автор: SANGHOON Lee,Karthik Balakrishnan,Pouya Hashemi. Владелец: International Business Machines Corp. Дата публикации: 2017-09-14.

Nanowire semiconductor device

Номер патента: US20170179251A1. Автор: SANGHOON Lee,Karthik Balakrishnan,Pouya Hashemi. Владелец: International Business Machines Corp. Дата публикации: 2017-06-22.

Methods for performing a gate cut last scheme for finfet semiconductor devices

Номер патента: US20170345913A1. Автор: Xintuo Dai,Xusheng Wu,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-30.

METHODS FOR PERFORMING A GATE CUT LAST SCHEME FOR FINFET SEMICONDUCTOR DEVICES

Номер патента: US20170345913A1. Автор: Huang Haigou,Wu Xusheng,DAI Xintuo. Владелец: . Дата публикации: 2017-11-30.

METHODS FOR DEPOSITING A DOPED GERMANIUM TIN SEMICONDUCTOR AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер патента: US20190067004A1. Автор: Kohen David,Profijt Harald Benjamin. Владелец: . Дата публикации: 2019-02-28.

METHOD FOR SELF-ALIGNING METAL CONTACTS ON A SELF-ALIGNED SEMICONDUCTOR DEVICE.

Номер патента: FR2663157B1. Автор: Collot Philippe,Schmidt Paul Erick. Владелец: Thomson CSF SA. Дата публикации: 1992-08-07.

The method for controlling the wafer bow in III-V type semiconductor devices

Номер патента: CN109103099A. Автор: S.肯南,P.W.金,S.E.朴,M.唐加尔,万建伟. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2018-12-28.

Method for oxidizing a structure during the fabrication of a semiconductor device

Номер патента: EP2063464A3. Автор: Takayuki Niuya,Ming Hwang,Boyang Lin,Song C. Park. Владелец: Texas Instruments Inc. Дата публикации: 2009-06-17.

Method for forming a copper metal line in semiconductor device

Номер патента: KR100474857B1. Автор: 최경근. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2005-03-10.

Method for forming multiple layer metal wiring in semiconductor device

Номер патента: KR100223748B1. Автор: 홍기로. Владелец: 현대전자산업주식회사. Дата публикации: 1999-10-15.

Method for forming ultra fine contact holes in semiconductor devices

Номер патента: US7001710B2. Автор: Sang-Tae Choi,Seung-Weon Paek. Владелец: Individual. Дата публикации: 2006-02-21.

Method for forming connection between conductive layers in semiconductor devices

Номер патента: KR940027133A. Автор: 유의규. Владелец: 현대전자산업 주식회사. Дата публикации: 1994-12-10.

Method for forming storage node contact plug in semiconductor device

Номер патента: TW200735188A. Автор: Hae-Jung Lee,Ik-Soo Choi,Chang-Youn Hwang,Hyung-Hwan Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-09-16.

Method for oxidizing a structure during the fabrication of a semiconductor device

Номер патента: EP2063464B1. Автор: Takayuki Niuya,Ming Hwang,Boyang Lin,Song C. Park. Владелец: Texas Instruments Inc. Дата публикации: 2017-11-29.

Method for forming pattern and gate electrode in semiconductor processing

Номер патента: KR100554514B1. Автор: 강희성,류충렬. Владелец: 삼성전자주식회사. Дата публикации: 2006-03-03.

Method for cleaning the inside of a pipe in semiconductor device fabricating machine

Номер патента: US6214130B1. Автор: Yasushi Sasaki. Владелец: NEC Corp. Дата публикации: 2001-04-10.

Method for forming a gate oxide layer of a semiconductor device

Номер патента: KR20010091519A. Автор: 황철주,양두영. Владелец: 황 철 주. Дата публикации: 2001-10-23.

METHOD FOR FORMING A SELF-ALIGNED CONTACT IN A SEMICONDUCTOR DEVICE

Номер патента: FR2784229B1. Автор: Chang Hyum Cho,Kyu Hyun Lee,Lae Goo Lee,Sang Sub Jeong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-03-12.

Method for forming a gate oxide layer of a semiconductor device

Номер патента: KR100440501B1. Автор: 황철주,양두영. Владелец: 주성엔지니어링(주). Дата публикации: 2004-07-15.

METHOD FOR FORMING A SELF-ALIGNED CONTACT IN A SEMICONDUCTOR DEVICE

Номер патента: FR2784229A1. Автор: Chang Hyum Cho,Kyu Hyun Lee,Lae Goo Lee,Sang Sub Jeong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-04-07.

Method for forming a self aligned contact in a semiconductor device

Номер патента: GB9909492D0. Автор: . Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-06-23.

Method for forming a copper metal interconnection of a semiconductor device using two seed layers

Номер патента: US20070155145A1. Автор: Ji Ho Hong. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-05.

CONDUCTIVE POWDER FORMATION METHOD, DEVICE FOR FORMING CONDUCTIVE POWDER, AND METHOD OF FORMING SEMICONDUCTOR DEVICE

Номер патента: US20180301375A1. Автор: Chou You-Hua,Chuang Kuo-Sheng. Владелец: . Дата публикации: 2018-10-18.

Method for improving interconnection between aluminum and copper in semiconductor metal line process

Номер патента: KR100877268B1. Автор: 김건희. Владелец: 주식회사 동부하이텍. Дата публикации: 2009-01-07.

Method for reducing line edge roughness for trench etch, and semiconductor device thereof

Номер патента: CN100477135C. Автор: P·勒温哈德特,D·乐,H·H·朱,E·沃加纳. Владелец: Lam Research Corp. Дата публикации: 2009-04-08.

Method for producing a diffusion barrier metal layer in a semiconductor device

Номер патента: DE19620022A1. Автор: Kyeong Keun Choi. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1996-11-21.

Method for cleaning the inside of a pipe of a semiconductor device manufacturing apparatus

Номер патента: JP2910761B1. Автор: 康 佐々木. Владелец: NEC Corp. Дата публикации: 1999-06-23.

Method for producing a diffusion barrier metal layer in a semiconductor device

Номер патента: DE19620022C2. Автор: Kyeong Keun Choi. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2002-09-19.

Method for fabricating a diffusion barrier metal layer in a semiconductor device

Номер патента: GB9610393D0. Автор: . Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1996-07-24.

Method for fabricating semiconductor device

Номер патента: US20010005616A1. Автор: Jae Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2001-06-28.

Semiconductor devices and methods for forming semiconductor devices

Номер патента: US20040097048A1. Автор: Kwan Koh. Владелец: Anam Semiconductor Inc. Дата публикации: 2004-05-20.

Method for manufacturing gate in semiconductor device

Номер патента: US20020001934A1. Автор: Hai Won Kim,Kwang Joo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-01-03.

Method for detecting EPI induced buried layer shifts in semiconductor devices

Номер патента: US20060038553A1. Автор: Xiaoju Wu,Qingfeng Wang,Xinfen Chen,John Arch. Владелец: Texas Instruments Inc. Дата публикации: 2006-02-23.

Semiconductor device and fabrication thereof

Номер патента: US20110260220A1. Автор: Min-Hwa Chi,Mu-Chi Chiang,Cheng-Ku Chen,Wen-Chuan Chiang. Владелец: Individual. Дата публикации: 2011-10-27.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US20190304855A1. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2019-10-03.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US20190333830A1. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2019-10-31.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US10847433B2. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2020-11-24.

Semiconductor device and method

Номер патента: US12033940B2. Автор: Jhon Jhy Liaw,Ren-Fen Tsui,Dian-Sheg Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Method for forming the MOS transistor in semiconductor device

Номер патента: KR100574487B1. Автор: 서문식. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-04-27.

Method for forming shallow trench isolation film in semiconductor device

Номер патента: KR100499409B1. Автор: 이병석. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-07-05.

Method of making a semiconductor device using trench isolation regions to maintain channel stress

Номер патента: US20150099335A1. Автор: Qing Liu,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2015-04-09.

Method for forming self-aligning contact structure in semiconductor IC device

Номер патента: CN1319886A. Автор: 金允基,朴东建,朴钟佑. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2001-10-31.

Method for forming a semiconductor device having nanocrystal

Номер патента: US20120264277A1. Автор: Sung-taeg Kang,Jane A. Yater. Владелец: Individual. Дата публикации: 2012-10-18.

Semiconductor device and manufacturing method thereof

Номер патента: US9508817B2. Автор: Chun-Hsiung Lin,Huan-Just Lin,Chi-Cheng Hung,Yung-Sung Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-29.

Method for manufacturing peripheral dummy gate of transistor for testing semiconductor device

Номер патента: KR100223941B1. Автор: 남상혁. Владелец: 엘지반도체주식회사. Дата публикации: 1999-10-15.

Contact Isolation in Semiconductor Devices

Номер патента: US20210066116A1. Автор: Zheng Tao,Boon Teik CHAN,Waikin Li. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2021-03-04.

Contact isolation in semiconductor devices

Номер патента: US11862452B2. Автор: Zheng Tao,Boon Teik CHAN,Waikin Li. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-01-02.

An integrated circuit device and a method for forming the same

Номер патента: EP4391040A1. Автор: Anshul Gupta,Hans Mertens. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Semiconductor device and method for fabricating the same

Номер патента: US20240145594A1. Автор: Po-Yu YANG. Владелец: United Microelectronics Corp. Дата публикации: 2024-05-02.

Semiconductor device and method for manufacturing same

Номер патента: US20060163668A1. Автор: Tomoko Matsuda. Владелец: NEC Electronics Corp. Дата публикации: 2006-07-27.

Methods for forming semiconductor device having uniform fin pitch

Номер патента: US20220020593A1. Автор: Min Gyu Sung. Владелец: Applied Materials Inc. Дата публикации: 2022-01-20.

Method for forming an isolating trench with a dielectric material

Номер патента: US20070087516A1. Автор: Uwe Wellhausen,Henry Heidemeyer,Joern Regul. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2007-04-19.

Method for forming interlayer insulating film in semiconductor device

Номер патента: US8048802B2. Автор: Ho-Yeong Choe. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2011-11-01.

Method for forming a three-component nitride film containing metal and silicon

Номер патента: EP1044288A2. Автор: Kyoung-Soo Yi,Sang-Won Kang,Won-Yong Koh. Владелец: Genitech Co Ltd. Дата публикации: 2000-10-18.

Semiconductor device and method for manufacturing same

Номер патента: US20150364427A1. Автор: Takashi KANSAKU. Владелец: Longitude Semiconductor SARL. Дата публикации: 2015-12-17.

Method for forming a silicide layer of semiconductor device

Номер патента: US20030119309A1. Автор: Jeong Lee,Chang Ryoo,Yong Sohn. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-06-26.

Tungsten plug structure of semiconductor device and method for forming the same

Номер патента: US20070102824A1. Автор: In Chun. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2007-05-10.

Method for forming thin films of semiconductor devices

Номер патента: US20030124760A1. Автор: Seok Lee,Sung Joo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-07-03.

Method for forming a capacitor of a semiconductor device

Номер патента: US20030109103A1. Автор: Kyong Kim,Ho-Jung Sun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-06-12.

Method for forming a metal plug of a semiconductor device

Номер патента: US20030013299A1. Автор: Jung Kim,Ki-Hong Yang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-01-16.

Semiconductor device and fabrication method thereof

Номер патента: US20210066124A1. Автор: Tiantian Zhang,Jingjing Tan,Zengsheng XU. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2021-03-04.

Methods for forming semiconductor devices using modified photomask layer

Номер патента: US20240222118A1. Автор: PENG Wang,Emilia Hirsch. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-07-04.

Methods for forming semiconductor devices using modified photomask layer

Номер патента: WO2024144886A1. Автор: PENG Wang,Emilia Hirsch. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2024-07-04.

Semiconductor device and a method for forming a semiconductor device

Номер патента: US20170250112A1. Автор: Stefan Barzen. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-08-31.

Method for forming metal line in a semiconductor device

Номер патента: US20070166987A1. Автор: Han-Choon Lee,In-Cheol Baek. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-19.

Semiconductor device and method for forming semiconductor device

Номер патента: US20210408228A1. Автор: Xiguang Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2021-12-30.

Semiconductor device and methods for forming the same

Номер патента: US20240274441A1. Автор: Yi-Chung Chen,Yu-Ting Huang,Wei-Nan CHUANG. Владелец: Winbond Electronics Corp. Дата публикации: 2024-08-15.

Backside and sidewall metallization of semiconductor devices

Номер патента: US20240194486A1. Автор: Wen Hung HUANG,Yufu Liu,Kuan-Hsiang Mao,Che Ming Fang. Владелец: NXP BV. Дата публикации: 2024-06-13.

Systems and Methods for Forming Semiconductor Devices

Номер патента: US20150170912A1. Автор: KHALED Ahmed. Владелец: Intermolecular Inc. Дата публикации: 2015-06-18.

Semiconductor device and fabrication method thereof

Номер патента: US20210057272A1. Автор: Tiantian Zhang,Jingjing Tan. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2021-02-25.

Method for forming bit line of semiconductor device

Номер патента: US20040067656A1. Автор: Sung Jin,Jai Roh. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-04-08.

Method for fabrication of floating gate in semiconductor device

Номер патента: US20090176320A1. Автор: Jin-Ho Kim,Ki-Min Lee. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-07-09.

Method for forming an interconnect pattern in a semiconductor device

Номер патента: US20020142614A1. Автор: Eisuke Kodama. Владелец: NEC Corp. Дата публикации: 2002-10-03.

Method for forming semiconductor device

Номер патента: US20230290642A1. Автор: Yu-Jen Huang,Hsiu-Han Liao,Chu-Chun HSIEH. Владелец: Winbond Electronics Corp. Дата публикации: 2023-09-14.

Semiconductor device and method of forming the same

Номер патента: US20240243187A1. Автор: Meng-Han LIN. Владелец: Powerchip Semiconductor Manufacturing Corp. Дата публикации: 2024-07-18.

Method for forming interlayer insulation film in semiconductor device

Номер патента: US20050142848A1. Автор: Kang Shin,Sang Ryu. Владелец: Individual. Дата публикации: 2005-06-30.

Method of forming interconnectings in semiconductor devices

Номер патента: US20020090810A1. Автор: Mario Napolitano. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2002-07-11.

Method for forming buried word line in semiconductor device

Номер патента: US20110027988A1. Автор: Sun-Hwan Hwang,Se-Aug Jang,Kee-Joon Oh,Soon-Young Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-02-03.

Method for forming low dielectric constant layer

Номер патента: US20020132494A1. Автор: Wen-Yi Hsieh. Владелец: United Microelectronics Corp. Дата публикации: 2002-09-19.

Method for forming align key pattern in semiconductor device

Номер патента: US5578519A. Автор: Yun-hee Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1996-11-26.

Semiconductor Device and Method

Номер патента: US20240250019A1. Автор: Ming-Da Cheng,Po-Hao Tsai,Ting-Li Yang,Yung-Han Chuang,Hsueh-Sheng Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-25.

Methods for fabricating an STI film of a semiconductor device

Номер патента: US7217633B2. Автор: Geon-Ook Park. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-05-15.

Methods for fabricating an STI film of a semiconductor device

Номер патента: US20050142805A1. Автор: Geon-Ook Park. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Method for forming a titanium dioxide layer

Номер патента: US6251803B1. Автор: Hsin-Chih Liao,Ming-Kwei Lee. Владелец: Winbond Electronics Corp. Дата публикации: 2001-06-26.

METHODS FOR FORMING ON-CHIP CAPACITOR STRUCTURES IN SEMICONDUCTOR DEVICES

Номер патента: US20220068947A1. Автор: Chen Liang. Владелец: . Дата публикации: 2022-03-03.

Method for forming a dual damascene pattern in semiconductor device

Номер патента: KR100539446B1. Автор: 류상욱,전호열. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2005-12-27.

Method for forming self-aligned contact hole in semiconductor device

Номер патента: KR100441998B1. Автор: 서준,송종희. Владелец: 삼성전자주식회사. Дата публикации: 2004-07-30.

Method for forming a deep contact hole in semiconductor device

Номер патента: KR20060064998A. Автор: 이성권. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-06-14.

Method for forming trench type isolation layer in semiconductor device

Номер патента: KR100681212B1. Автор: 정채오. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-02-09.

A method for forming trench type isolation layer in semiconductor device

Номер патента: KR100825011B1. Автор: 김명진. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-04-24.

Method for forming inter-layer insulating film in semiconductor device

Номер патента: KR100244410B1. Автор: 이성구,이한승. Владелец: 현대전자산업주식회사. Дата публикации: 2000-03-02.

Method for forming an isolation layer dielectric in semiconductor device

Номер патента: KR100605583B1. Автор: 유철휘. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-07-31.

A method for forming capacitor charge storage electrodes in semiconductor devices

Номер патента: KR100490656B1. Автор: 박찬동. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-06-01.

Method for forming multiple layer metal wiring in semiconductor device

Номер патента: KR100243002B1. Автор: 윤강식,윤기석. Владелец: 현대반도체주식회사. Дата публикации: 2000-03-02.

Method for forming interconnection between metal lines in semiconductor device fabrication process

Номер патента: KR100620159B1. Автор: 박철수. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-09-01.

Method for forming trench type isolation layer in semiconductor device

Номер патента: KR20060010933A. Автор: 김형균,송창록. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-02-03.

Method for forming trench type isolation layer in semiconductor device

Номер патента: KR100460770B1. Автор: 김응수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-12-09.

Method for forming trench type isolation layer in semiconductor device

Номер патента: KR100703836B1. Автор: 윤양한. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-04-06.

Method for forming trench type isolation layer in semiconductor device

Номер патента: KR100614575B1. Автор: 은병수,진성곤. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-08-25.

A method for forming damascene type metal wire in semiconductor device

Номер патента: KR100324596B1. Автор: 임태정,조정일. Владелец: 박종섭. Дата публикации: 2002-02-16.

Method for forming a deep contact hole in semiconductor device

Номер патента: KR100681209B1. Автор: 조용태,황창연. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-02-09.

Method for forming an isolation layer dielectric in semiconductor device

Номер патента: KR20060075053A. Автор: 유철휘. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-07-04.

Method for forming silicon-rich nitride layer in semiconductor device

Номер патента: KR100498419B1. Автор: 남석우,이세진,형용우. Владелец: 삼성전자주식회사. Дата публикации: 2005-09-08.

Methods for Forming On-Chip Capacitor Structures in Semiconductor Devices

Номер патента: KR20230012623A. Автор: 량 천. Владелец: 양쯔 메모리 테크놀로지스 씨오., 엘티디.. Дата публикации: 2023-01-26.

Method for forming a dual damascene pattern in semiconductor device

Номер патента: KR101005738B1. Автор: 류상욱. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2011-01-06.

Method for forming metal interlayer insulating film in semiconductor device

Номер патента: JPH0777219B2. Автор: 昶圭 金,志鉉 崔. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1995-08-16.

Method for forming the bit line contact in semiconductor device

Номер патента: KR100668726B1. Автор: 최동구,김준동. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-01-26.

Method for forming on-chip capacitor structures in semiconductor devices

Номер патента: TW202211325A. Автор: 陳亮. Владелец: 大陸商長江存儲科技有限責任公司. Дата публикации: 2022-03-16.

Method for forming pattern of a layer in semiconductor device

Номер патента: KR100641553B1. Автор: 김영실. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-11-01.

Method for forming storage node of capacitor in semiconductor device

Номер патента: TW200625547A. Автор: Sung-Kwon Lee,Jun-Hyeub Sun,Sung-Yoon Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-07-16.

Method for forming trench type isolation layer in semiconductor device

Номер патента: KR100429555B1. Автор: 전승준. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-05-03.

Methods for forming porous insulator structures on semiconductor devices

Номер патента: US7285502B2. Автор: Tongbi Jiang,Warren M. Farnworth. Владелец: Micron Technology Inc. Дата публикации: 2007-10-23.

Method for forming cylinder type storage node in semiconductor device

Номер патента: KR100368935B1. Автор: 이원준,안태혁,정상섭,최성길,정대혁. Владелец: 삼성전자 주식회사. Дата публикации: 2003-01-24.

Method for forming trench type isolation layer in semiconductor device

Номер патента: KR20040059444A. Автор: 김현수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-07-05.

Method for forming storage node of capacitor in semiconductor device

Номер патента: US7410866B2. Автор: Sung-Kwon Lee,Jun-Hyeub Sun,Sung-Yoon Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-08-12.

Method for forming storage node of capacitor in semiconductor device

Номер патента: KR100721548B1. Автор: 이성권,조성윤. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-05-23.

Method for forming storage node contact hole in semiconductor device

Номер патента: KR20020093261A. Автор: 김태훈. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2002-12-16.

Method for forming self aligned contacts

Номер патента: US20020098640A1. Автор: Ii-Wook Kim,Jong-Sam Kim,Dong-kuk Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-07-25.

Method of forming beam leads on semiconductor devices and integrated circuits

Номер патента: US3653999A. Автор: Clyde Rhea Fuller. Владелец: Texas Instruments Inc. Дата публикации: 1972-04-04.

Method for producing hyperabrupt doping profiles in semiconductors

Номер патента: US4559091A. Автор: Robert A. Metzger,Dwight C. Streit,Frederick G. Allen. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 1985-12-17.

Semiconductor device and method

Номер патента: US11955423B2. Автор: Ming-Da Cheng,Po-Hao Tsai,Ting-Li Yang,Yung-Han Chuang,Hsueh-Sheng Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-09.

Method for forming self aligned contact hole in semiconductor

Номер патента: KR100839527B1. Автор: 전재영. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-06-19.

Method for forming inter-metal dielectric layer in semiconductor

Номер патента: KR100450569B1. Автор: 이재석. Владелец: 동부전자 주식회사. Дата публикации: 2004-09-30.

Methods for forming backside alignment markers useable in semiconductor lithography

Номер патента: US7223674B2. Автор: Peter Benson,Pary Baluswamy. Владелец: Micron Technology Inc. Дата публикации: 2007-05-29.

Methods for Controlling an End-to-End Distance in Semiconductor Device

Номер патента: US20200083046A1. Автор: Yang Cheng-Hua,Lin Huan-Just,HUANG Yu-Lien,Li Tsai-Chun,Chen Huang-Ming,Wu Yang-Cheng. Владелец: . Дата публикации: 2020-03-12.

Methods for Controlling an End-to-End Distance in Semiconductor Device

Номер патента: US20190259613A1. Автор: Yang Cheng-Hua,Lin Huan-Just,HUANG Yu-Lien,Li Tsai-Chun,Chen Huang-Ming,Wu Yang-Cheng. Владелец: . Дата публикации: 2019-08-22.

method for removing photoresist after metal layer etching in semiconductor device

Номер патента: KR100439844B1. Автор: 김재필. Владелец: 삼성전자주식회사. Дата публикации: 2004-07-12.

Method for in-situ forming oxide and nitride in semiconductor device

Номер патента: KR100548550B1. Автор: 진승우,이태혁. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-02-02.

Method for manufacturing isolation pattern of word line in semiconductor device

Номер патента: KR100701684B1. Автор: 조영만. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-03-29.

METHODS FOR FORMING LOW TEMPERATURE SEMICONDUCTOR LAYERS AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер патента: US20180350588A1. Автор: Raisanen Petri,Hsu Peng-Fu,MOUSA MOATAZ BELLAH. Владелец: . Дата публикации: 2018-12-06.

METHOD FOR FORMING METAL CHALCOGENIDE THIN FILMS ON A SEMICONDUCTOR DEVICE

Номер патента: US20160372365A1. Автор: Maes Jan Willem,Tang Fu,Givens Michael Eugene,Xie Qi,Woodruff Jacob Huffman. Владелец: . Дата публикации: 2016-12-22.

A method for forming a self-aligned contact of a semiconductor device

Номер патента: KR100609523B1. Автор: 남상혁. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-08-04.

Method for forming an element isolation region in a semiconductor device

Номер патента: KR100189733B1. Автор: 박주석. Владелец: 엘지반도체주식회사. Дата публикации: 1999-06-01.

A method for forming a inter-layer oxide of a semiconductor device

Номер патента: KR100447253B1. Автор: 염승진,김남경. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-09-07.

Method for forming an element isolation region in a semiconductor device

Номер патента: KR100190053B1. Автор: 김성의. Владелец: 윤종용. Дата публикации: 1999-06-01.

Method for forming titanium silicide ohmic contact layer of semiconductor device

Номер патента: KR100626741B1. Автор: 손현철,엄장웅. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-09-22.

Method for forming an element isolation region in a semiconductor device

Номер патента: KR100189992B1. Автор: 이재경,박찬식,조경환,이성한. Владелец: 윤종용. Дата публикации: 1999-06-01.

A method for forming a contact hole pattern of a semiconductor device

Номер патента: KR20050002368A. Автор: 복철규,이원욱. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-01-07.

Method for forming an element isolation region in a semiconductor device

Номер патента: KR100203906B1. Автор: 김영복. Владелец: 현대전자산업주식회사. Дата публикации: 1999-06-15.

Method for forming a metal line pattern of the semiconductor device

Номер патента: KR100827488B1. Автор: 문주형. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2008-05-06.

Method for forming a metallic wiring layer in a semiconductor device

Номер патента: DE4200809C2. Автор: Chang-Soo Park,Sang-In Lee,Jeong-Ha Son. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1996-12-12.

Method for forming a field oxide layer of a semiconductor device

Номер патента: DE4109184C2. Автор: Taek-Yong Jang,Weon-Sik Paek,Weon-Taek Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1995-12-21.

Method for forming contact hole with different depth of semiconductor device

Номер патента: KR100257771B1. Автор: 서원준. Владелец: 현대전자산업주식회사. Дата публикации: 2000-06-01.

Method for forming contact hole in metal wiring of semiconductor device

Номер патента: KR950015589A. Автор: 서동량. Владелец: 금성일렉트론 주식회사. Дата публикации: 1995-06-17.

METHOD FOR FORMING A MULTIPLE LEVEL CONNECTION IN A SEMICONDUCTOR DEVICE

Номер патента: DE4125221A1. Автор: Han-su Kim,Jang-Rae Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1992-07-16.

Method for forming a hard mask pattern in a semiconductor device

Номер патента: CN101447398A. Автор: 郑宇荣. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-06-03.

A method for forming a fine pattern transistor of a semiconductor device

Номер патента: KR100673099B1. Автор: 복철규,김희범,임창문. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-01-22.

Apparatus and method for detecting failure in a mechanical press

Номер патента: US20200047442A1. Автор: Kwok Pun LAW,Hong Yeung LI,Cho Wai LEUNG. Владелец: ASM TECHNOLOGY SINGAPORE PTE LTD. Дата публикации: 2020-02-13.

Method for detecting the transition of different materials in semiconductor structures

Номер патента: WO1999004425A1. Автор: Andrea Schilp,Volker Becker,Franz LÄRMER. Владелец: ROBERT BOSCH GMBH. Дата публикации: 1999-01-28.

Washing solution and washing method for semiconductor substrate

Номер патента: US20230402275A1. Автор: Atsushi Mizutani. Владелец: Fujifilm Corp. Дата публикации: 2023-12-14.

Method for controlling charged particle of plasma etcher in semiconductor

Номер патента: KR100266671B1. Автор: 김낙섭. Владелец: 현대반도체주식회사. Дата публикации: 2000-10-02.

Method for the anisotropic etching of metal films in the fabrication of interconnects

Номер патента: US5350484A. Автор: Xiao-Chun Mu,Donald S. Gardner,David B. Fraser. Владелец: Intel Corp. Дата публикации: 1994-09-27.

Method for producing laminate, producing apparatus for laminate, laminate, and semiconductor device

Номер патента: US20240177993A1. Автор: Hiroshi Hashigami. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-05-30.

Mehtod for forming inter layer insulting film in the semiconductor device

Номер патента: KR100301530B1. Автор: 김창규,조웅래. Владелец: 동부전자 주식회사. Дата публикации: 2001-10-19.

PROCESS FOR FORMING A FIELD OXIDE LAYER OF A SEMICONDUCTOR DEVICE.

Номер патента: FR2669467B1. Автор: Paek Weon-Sik,Jang Taek-Yong,Choi Weon-Taek. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1997-07-04.

Method for manufacturing molecular beam epitaxial growth device and optical semiconductor device

Номер патента: KR960012304A. Автор: . Владелец: . Дата публикации: 1996-04-20.

It is used to form the method for pattern and the method using this method manufacturing semiconductor devices

Номер патента: CN110323139A. Автор: 千宰协. Владелец: Hynix Semiconductor Inc. Дата публикации: 2019-10-11.

Methods for removing selected fins that are formed for finfet semiconductor devices

Номер патента: US20150318215A1. Автор: Ruilong Xie,William J. Taylor, Jr.. Владелец: Globalfoundries Inc. Дата публикации: 2015-11-05.

Method for mounting a semiconductor chip on a substrate, and semiconductor device adapted for mounting on a substrate

Номер патента: KR100367809B1. Автор: 아이-밍 첸. Владелец: 아이-밍 첸. Дата публикации: 2003-01-10.

APPARATUSES AND METHODS FOR ARRANGING THROUGH-SILICON VIAS AND PADS IN A SEMICONDUCTOR DEVICE

Номер патента: US20200212008A1. Автор: KITANO Tomohiro. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2020-07-02.

METHOD FOR PROVIDING A SELF-ALIGNED PAD PROTECTION IN A SEMICONDUCTOR DEVICE

Номер патента: US20150357234A1. Автор: Lehnert Wolfgang,Rogalli Michael. Владелец: . Дата публикации: 2015-12-10.

Method for manufacturing wine glass type contact hole of the semiconductor device

Номер патента: KR100781455B1. Автор: 강병주. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-12-03.

Method for fabricating a pad-layer/fuse-layer of a semiconductor device

Номер патента: KR100413582B1. Автор: 이기민. Владелец: 동부전자 주식회사. Дата публикации: 2003-12-31.

Method for removing circle defect on the metal layer of semiconductor device

Номер патента: KR100702796B1. Автор: 조보연. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-04-03.

Method for adjusting transport position of wafer, adjustment apparatus, and semiconductor device

Номер патента: WO2023035434A1. Автор: 刘洋. Владелец: 长鑫存储技术有限公司. Дата публикации: 2023-03-16.

Method for manufacturing a shallow trench isolation layer of the semiconductor device

Номер патента: KR100503344B1. Автор: 김성래. Владелец: 동부아남반도체 주식회사. Дата публикации: 2005-07-26.

Method for forming gate oxide layer in semiconductor device

Номер патента: US20050142770A1. Автор: Yong Choi. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Fuse part in semiconductor device and method for forming the same

Номер патента: US20120088361A1. Автор: Byung-Duk LEE. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-04-12.

Fuse part in semiconductor device and method for forming the same

Номер патента: US20090243033A1. Автор: Byung-Duk LEE. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-10-01.

Method for fabricating polycide dual gate in semiconductor device

Номер патента: US20010006832A1. Автор: Jong Bae,Ji Park,Dong Sohn. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2001-07-05.

Anti-fuse array of semiconductor device and method for forming the same

Номер патента: US9000560B2. Автор: Min Chul SUNG. Владелец: SK hynix Inc. Дата публикации: 2015-04-07.

Semiconductor device having a p-mos transistor with source-drain extension counter-doping

Номер патента: WO2007032897A2. Автор: Sinan Goktepeli,James D. Burnett. Владелец: FREESCALE SEMICONDUCTOR. Дата публикации: 2007-03-22.

Anti-fuse array of semiconductor device and method for forming the same

Номер патента: US20150179526A1. Автор: Min Chul SUNG. Владелец: SK hynix Inc. Дата публикации: 2015-06-25.

Semiconductor device having a p-mos transistor with source-drain extension counter-doping

Номер патента: WO2007032897A3. Автор: Sinan Goktepeli,James D Burnett. Владелец: James D Burnett. Дата публикации: 2009-04-16.

Method for manufacturing a semiconductor device, as well as a semiconductor substrate

Номер патента: US20060234468A1. Автор: Takeshi Saito. Владелец: Seiko Epson Corp. Дата публикации: 2006-10-19.

Fuse box and method for fabricating the same and method for repairing the same in semiconductor device

Номер патента: US20090039463A1. Автор: Jeong-Kyu KANG. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-02-12.

Method for fabricating semiconductor device

Номер патента: US7220638B2. Автор: Osamu Yamaguchi,Kouichi Tani. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2007-05-22.

Fuse of Semiconductor Device and Method for Forming the Same

Номер патента: US20090236687A1. Автор: Min Gu Ko. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-09-24.

Semiconductor device including nonvolatile memory and method for fabricating the same

Номер патента: US7282413B2. Автор: Masataka Takebuchi,Fumitaka Arai. Владелец: Toshiba Corp. Дата публикации: 2007-10-16.

Semiconductor device including nonvolatile memory and method for fabricating the same

Номер патента: US20090283815A1. Автор: Masataka Takebuchi,Fumitaka Arai. Владелец: Toshiba Corp. Дата публикации: 2009-11-19.

Fuse of semiconductor device and method for forming the same

Номер патента: US8017454B2. Автор: Min Gu Ko. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-09-13.

Manufacturing method for a semiconductor device

Номер патента: US11462627B2. Автор: Xiang Peng,Haoyu Chen,Qiwei Wang. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2022-10-04.

Method for forming a semiconductor device has a lengthened channel length

Номер патента: US20090124085A1. Автор: Hung-Ming Tsai,Ying Cheng CHUANG. Владелец: Nanya Technology Corp. Дата публикации: 2009-05-14.

Structures and methods for reducing stress in three-dimensional memory device

Номер патента: US20210050446A1. Автор: Jian Hua SUN,Ji XIA,Sizhe Li,Qinxiang Wei. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2021-02-18.

Semiconductor optical devices and method for forming

Номер патента: US7494832B2. Автор: Yang Du,Leo Mathew,Voon-Yew Thean. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2009-02-24.

Semiconductor device with air gap and boron nitride cap and method for forming the same

Номер патента: US20220028970A1. Автор: Yuan-Yuan Lin. Владелец: Nanya Technology Corp. Дата публикации: 2022-01-27.

Semiconductor device and method for forming the same

Номер патента: US20110254083A1. Автор: Hae Il SONG. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-10-20.

Semiconductor device and method for forming the same

Номер патента: US20120043605A1. Автор: Se In KWON,Hyun Jin Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-02-23.

Method for forming capacitor, capacitor and semiconductor device

Номер патента: US12041763B2. Автор: GuangSu SHAO,Xingsong SU,Mengkang YU. Владелец: Beijing Superstring Academy of Memory Technology. Дата публикации: 2024-07-16.

method for forming bottom electrode of capacitor in semiconductor device

Номер патента: KR100345664B1. Автор: 오수진. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2002-07-24.

Method for forming a capacitor in a semiconductor device

Номер патента: US5728597A. Автор: Suk Soo Kim. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1998-03-17.

Method for forming a capacitor storage node in semiconductor device

Номер патента: KR960011817B1. Автор: Jong-Sung Park,Moon-Moo Jung. Владелец: LG Semicon Co Ltd. Дата публикации: 1996-08-30.

Method for forming capacitor, capacitor and semiconductor device

Номер патента: US20230063571A1. Автор: GuangSu SHAO,Xingsong SU,Mengkang YU. Владелец: Beijing Superstring Academy of Memory Technology. Дата публикации: 2023-03-02.

Method for forming bump of metal line in semiconductor

Номер патента: KR100559513B1. Автор: 김영실. Владелец: 동부아남반도체 주식회사. Дата публикации: 2006-03-10.

Method for forming a capacitor for use in a semiconductor device

Номер патента: US7361547B2. Автор: Yong-Sun Ko,In-seak Hwang,Ki-Hyun Hwang,Kwang Wook Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-04-22.

Measuring method for life of small number of carrier in semiconductor

Номер патента: JPS5727043A. Автор: Toshiki Ito,Kunihiko Hara. Владелец: NipponDenso Co Ltd. Дата публикации: 1982-02-13.

Semiconductor module and method for mounting the same

Номер патента: US20050116330A1. Автор: Tomotoshi Sato,Rina Murayama. Владелец: Individual. Дата публикации: 2005-06-02.

Apparatus and Method For Generating Test Pattern Data For Testing Semiconductor Device

Номер патента: US20080040639A1. Автор: Jong Koo Kang. Владелец: UniTest Inc. Дата публикации: 2008-02-14.

Apparatus and methods for sensing long wavelength light

Номер патента: US11798969B2. Автор: Ying-Hao Chen,Yun-Wei Cheng,Chun-Hao Chou,Kuo-Cheng Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-24.

Semiconductor device and method for generating integrated circuit layout

Номер патента: US20240014202A1. Автор: Chun-Cheng Ku,Kuan-Jung Jhu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-11.

Composition for forming alpha-ray-shielding film, laminate, and semiconductor device

Номер патента: CN115210328A. Автор: 荒山恭平,宫田哲志,横山宪文. Владелец: Fujifilm Corp. Дата публикации: 2022-10-18.

Method for fabricating a lower plate for a capacitor of semiconductor device

Номер патента: KR100338959B1. Автор: 박상희. Владелец: 박종섭. Дата публикации: 2002-06-01.

Production method for copolymer film, copolymer film for med therefrom, and semiconductor device using said copolymer film

Номер патента: CN1269866C. Автор: 林喜宏,川原润. Владелец: NEC Corp. Дата публикации: 2006-08-16.

Method for mounting a semiconductor chip on a substrate, and semiconductor device adapted for mounting on substrate

Номер патента: AU742589B2. Автор: I-Ming Chen. Владелец: Evergrand Holdings Ltd. Дата публикации: 2002-01-10.

Method for mounting a semiconductor chip on a substrate and semiconductor device adapted for mounting on a substrate

Номер патента: KR100353223B1. Автор: 아이-밍 첸. Владелец: 아이-밍 첸. Дата публикации: 2002-09-18.

APPARATUSES AND METHODS FOR COUPLING CONTACT PADS TO A CIRCUIT IN A SEMICONDUCTOR DEVICE

Номер патента: US20190304855A1. Автор: Igeta Masahiko,Terui Yoshimi. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2019-10-03.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US20190333830A1. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2019-10-31.

Method for uniform electric characteristics of transistor in wafer

Номер патента: KR100588914B1. Автор: 이동진. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-06-09.

Method for low stress flip-chip assembly of fine-pitch semiconductor devices

Номер патента: US8530360B2. Автор: Abram M. Castro. Владелец: Texas Instruments Inc. Дата публикации: 2013-09-10.

Method for protecting semiconductor integrated circuit against reverse engineering and semiconductor device

Номер патента: CN111610425A. Автор: 村上洋树. Владелец: Winbond Electronics Corp. Дата публикации: 2020-09-01.

System and method for thermal protection of a transistor in an electrically powered vehicle

Номер патента: DE102012015841A1. Автор: Lewei Qian. Владелец: Caterpillar Inc. Дата публикации: 2013-02-28.

Fabrication method for chip size package and non-chip size package semiconductor devices

Номер патента: US7632711B2. Автор: Makoto Terui. Владелец: Oki Semiconductor Co Ltd. Дата публикации: 2009-12-15.

Method for Forming Isolation Layer in Semiconductor Devices

Номер патента: US20070166949A1. Автор: In Kyu Chun. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-19.

Semiconductor device structures and printed circuit boards comprising semiconductor devices

Номер патента: US20130228922A1. Автор: Mark E. Tuttle. Владелец: Micron Technology Inc. Дата публикации: 2013-09-05.

Method for forming metal wires in semiconductor device

Номер патента: US20050233579A1. Автор: Ihl Cho. Владелец: Individual. Дата публикации: 2005-10-20.

Method for forming fine patterns in semiconductor device

Номер патента: US20030186547A1. Автор: YOON Hyun,Cha Koh. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-10-02.

Method for forming isolation layer in semiconductor device

Номер патента: US20030119266A1. Автор: Cheol Park. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2003-06-26.

Method for Forming Super Contact in Semiconductor Device

Номер патента: US20100140806A1. Автор: Sang Chul Kim. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2010-06-10.

Semiconductor device and method for manufacturing the same

Номер патента: US20150056730A1. Автор: Myung cheol Yoo,Sang Don Lee,Se Jong Oh,Kyu Sung Hwang,Moo Keun Park. Владелец: VERTICLE Inc. Дата публикации: 2015-02-26.

On-chip capacitors in semiconductor devices and methods for forming the same

Номер патента: US20240213144A1. Автор: LIANG Chen,WEI Liu,Lei Xue. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-06-27.

Method for forming shallow trench in semiconductor device

Номер патента: US20050148152A1. Автор: Tse-Yao Huang,Yi-Nan Chen,Hsiu-Chun Lee. Владелец: Nanya Technology Corp. Дата публикации: 2005-07-07.

Semiconductor dielectric structure and method for making the same

Номер патента: US20010023989A1. Автор: Milind Weling,Rao Annapragada. Владелец: Philips Electronics North America Corp. Дата публикации: 2001-09-27.

Semiconductor device and method for forming semiconductor device

Номер патента: US12100657B2. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Method and apparatus for providing mask in semiconductor processing

Номер патента: WO2007136515A1. Автор: Jonathan Kim,Camelia Rusu,Yoojin Kim. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2007-11-29.

Protective structures for bond wires, methods for forming same, and test apparatus including such structures

Номер патента: US20020031847A1. Автор: Salman Akram. Владелец: Individual. Дата публикации: 2002-03-14.

Method for fabricating isolation layer in semiconductor device

Номер патента: US20040203225A1. Автор: Seung Pyi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-10-14.

Method for manufacturing semiconductor device

Номер патента: US20200144500A1. Автор: Soo Gil Kim,Joo Young MOON,Young Seok Ko. Владелец: SK hynix Inc. Дата публикации: 2020-05-07.

Method for manufacturing semiconductor device

Номер патента: US20200403155A1. Автор: Soo Gil Kim,Joo Young MOON,Young Seok Ko. Владелец: SK hynix Inc. Дата публикации: 2020-12-24.

Semiconductor device and manufacturing method therefor

Номер патента: US20200006372A1. Автор: Feng Ji,Haoyu Chen,Qiwei Wang,Jinshuang Zhang. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2020-01-02.

Method for forming multi-layer metal line of semiconductor device

Номер патента: US20030129825A1. Автор: Jun Yoon. Владелец: Individual. Дата публикации: 2003-07-10.

Semiconductor device with fuse and anti-fuse structures and method for forming the same

Номер патента: US20220157717A1. Автор: Chin-Ling Huang. Владелец: Nanya Technology Corp. Дата публикации: 2022-05-19.

Method of fabricating capacitor in semiconductor device and semiconductor device using the same

Номер патента: US20050287758A1. Автор: Jea Kim. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-12-29.

Method for forming a capacitor in a semiconductor device

Номер патента: US20020094657A1. Автор: Woo-Seok Yang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-07-18.

Method for forming semiconductor device and semiconductor device

Номер патента: US20230282517A1. Автор: Chih-Cheng Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-09-07.

Semiconductor device and method for producing same

Номер патента: US20140367864A1. Автор: Hiroyuki Fujimoto. Владелец: Longitude Semiconductor SARL. Дата публикации: 2014-12-18.

Semiconductor device and semiconductor device manufacturing method

Номер патента: US20020127869A1. Автор: Taizo Oku,Junichi Aoki,Youichi Yamamoto,Takashi Koromokawa. Владелец: Individual. Дата публикации: 2002-09-12.

Method of manufacturing a semiconductor device having a pre-metal dielectric liner

Номер патента: US7550397B2. Автор: Sung Kyung Jung. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-06-23.

Apparatus and method for manufacturing semiconductor device

Номер патента: US20070116863A1. Автор: Kazunari Kimino. Владелец: Individual. Дата публикации: 2007-05-24.

Method of manufacturing a semiconductor device having a pre-metal dielectric liner

Номер патента: US20070148959A1. Автор: Sung Jung. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-06-28.

Methods for forming dielectric layer in forming semiconductor device

Номер патента: US12080560B2. Автор: Xiaohong Zhou,Yonggang YANG. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-09-03.

Semiconductor device and method for making the same

Номер патента: US20240105467A1. Автор: Sanghyun SON,YoungUk Noh,Myungho JUNG. Владелец: Stats Chippac Pte Ltd. Дата публикации: 2024-03-28.

Method for forming field oxide film of semiconductor device

Номер патента: US6013561A. Автор: Jong Choul Kim,Byung Jin Cho,Se Aug Jang. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2000-01-11.

Method for forming hybrid bonding with through substrate via (tsv)

Номер патента: US20180005977A1. Автор: Jing-Cheng Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-04.

Method for forming a nitridized interface on a semiconductor substrate

Номер патента: US20010044222A1. Автор: Jonathan Taylor,David Jendresky. Владелец: Individual. Дата публикации: 2001-11-22.

Semiconductor device structure with fine boron nitride spacer patterns and method for forming the same

Номер патента: US20220037155A1. Автор: Pei-Cheng Fan. Владелец: Nanya Technology Corp. Дата публикации: 2022-02-03.

Method for manufacturing semiconductor device

Номер патента: US12074110B2. Автор: Jung-Kuo Tu,Ching-Kai Shen,Yi-Chuan Teng,Wei-Chu LIN,Hung-Wei Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-27.

Semiconductor die, semiconductor device and method for forming semiconductor device

Номер патента: US20240234309A9. Автор: Jiarui Zhang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-11.

Method for fabricating semiconductor device including resist flow process and film coating process

Номер патента: US20070059926A1. Автор: Jae Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-03-15.

Method for forming semiconductor structure

Номер патента: US20200301280A1. Автор: Ching-Yu Chang,Chin-Hsiang Lin,An-Ren Zi. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-09-24.

Method for forming semiconductor structure

Номер патента: US20190155156A1. Автор: Ching-Yu Chang,Chin-Hsiang Lin,An-Ren Zi. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-05-23.

Method for Forming a Protective Layer for Use In Packaging a Semiconductor Die

Номер патента: US20040183163A1. Автор: Tongbi Jiang,Zhiping Yin,Mike Connell. Владелец: Individual. Дата публикации: 2004-09-23.

Method for forming field oxide

Номер патента: US20060094254A1. Автор: Shih-Chi Lai,Jen Chieh Chang,Yi Fu Chung. Владелец: Mosel Vitelic Inc. Дата публикации: 2006-05-04.

Method for forming an oxynitride layer

Номер патента: US20030077915A1. Автор: Chia-Lin Ku,Yung-Hsien Wu. Владелец: Promos Technologies Inc. Дата публикации: 2003-04-24.

Methods for manufacturing shallow trench isolation layers of semiconductor devices

Номер патента: US20060024913A1. Автор: Bo Jo. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2006-02-02.

Method for forming isolation film

Номер патента: US6656851B1. Автор: Young-Kuk Cha. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-12-02.

Method for forming pattern using hard mask

Номер патента: US20080160778A1. Автор: Ki-Won Nam,Ky-Hyun Han. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-07-03.

Method for forming wiring on semiconductor device

Номер патента: US20230352341A1. Автор: Hiroshi Komatsu. Владелец: Connectec Japan Corp. Дата публикации: 2023-11-02.

Method for forming isolation regions on semiconductor device

Номер патента: US20020192961A1. Автор: Motoki Kobayashi. Владелец: Individual. Дата публикации: 2002-12-19.

Method for forming isolation regions on semiconductor device

Номер патента: US20020052118A1. Автор: Motoki Kobayashi. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2002-05-02.

Semiconductor device structure with air gap structure and method for preparing the same

Номер патента: US20210351140A1. Автор: Tzu-Ching Tsai. Владелец: Nanya Technology Corp. Дата публикации: 2021-11-11.

Method for forming and filling isolation trenches

Номер патента: EP1338033A2. Автор: Andreas Knorr,Rajeev Malik,Mihel Seitz. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2003-08-27.

Method for forming isolation regions on semiconductor device

Номер патента: US6579807B2. Автор: Motoki Kobayashi. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2003-06-17.

Manufacturing method for semiconductor device

Номер патента: US20220238345A1. Автор: Atsushi Takahashi,Yuya Nagata,Ayata Harayama. Владелец: Kioxia Corp. Дата публикации: 2022-07-28.

Semiconductor devices, memory devices, and methods for forming the same

Номер патента: WO2023130203A1. Автор: LIANG Chen. Владелец: Yangtze Memory Technologies Co., Ltd.. Дата публикации: 2023-07-13.

Semiconductor device forming method and semiconductor device

Номер патента: EP4325576A1. Автор: Shuai Guo,Mingguang ZUO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-21.

Materials and structures for optical and electrical iii-nitride semiconductor devices and methods

Номер патента: US20210296516A1. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2021-09-23.

Materials and structures for optical and electrical III-nitride semiconductor devices and methods

Номер патента: US11631775B2. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2023-04-18.

Method for forming inductor in semiconductor device

Номер патента: US20050037589A1. Автор: Young Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-02-17.

Detection and Reduction of Dielectric Breakdown in Semiconductor Devices

Номер патента: US20080211500A1. Автор: Masayasu Miyata,William A. Goddard,Jamil Tahir-Kheli. Владелец: Individual. Дата публикации: 2008-09-04.

Semiconductor Device and Method for Manufacturing Semiconductor Device

Номер патента: US20110260165A1. Автор: Hideto Ohnuma. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2011-10-27.

Pad arrangement in semiconductor memory device and method of driving semiconductor device

Номер патента: US20040256641A1. Автор: Jung-Bae Lee,Mee-Hyun Ahn. Владелец: Individual. Дата публикации: 2004-12-23.

System and method for forming solder bumps

Номер патента: WO2021018466A1. Автор: Jae-Woong Nah,Jeng-Bang Yau,Peter Jerome Sorce,Eric Peter Lewandowski. Владелец: Ibm United Kingdom Limited. Дата публикации: 2021-02-04.

Systems and methods for determining measurement location in semiconductor wafer metrology

Номер патента: WO2022071981A1. Автор: Asaf Granot. Владелец: KLA Corporation. Дата публикации: 2022-04-07.

Systems and methods for determining measurement location in semiconductor wafer metrology

Номер патента: EP4200899A1. Автор: Asaf Granot. Владелец: KLA Corp. Дата публикации: 2023-06-28.

Semiconductor device having stacked capacitor and method for forming the same

Номер патента: US20240268094A1. Автор: Yen-Min RUAN. Владелец: Winbond Electronics Corp. Дата публикации: 2024-08-08.

Method for forming semiconductor device and semiconductor device

Номер патента: US20230015279A1. Автор: Deyuan Xiao,GuangSu SHAO,Weiping BAI. Владелец: Beijing Superstring Academy of Memory Technology. Дата публикации: 2023-01-19.

Semiconductor device with air gaps between adjacent conductive lines

Номер патента: US20220165662A1. Автор: Chin-Ling Huang. Владелец: Nanya Technology Corp. Дата публикации: 2022-05-26.

Method for manufacturing capacitor array, capacitor array, and semiconductor device

Номер патента: US20230231007A1. Автор: Liutao ZHOU,Shuo Pan. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-07-20.

Microwave integrated circuit package and method for forming such package

Номер патента: US8153449B2. Автор: Michael G. Adlerstein,Francois Y. Colomb. Владелец: Raytheon Co. Дата публикации: 2012-04-10.

Microwave integrated circuit package and method for forming such package

Номер патента: US20110223692A1. Автор: Michael G. Adlerstein,Francois Y. Colomb. Владелец: Raytheon Co. Дата публикации: 2011-09-15.

Microwave integrated circuit package and method for forming such package

Номер патента: EP2156467A1. Автор: Michael G. Adlerstein,Francois Y. Colomb. Владелец: Raytheon Co. Дата публикации: 2010-02-24.

Semiconductor device and method for manufacturing same

Номер патента: US20080048228A1. Автор: Takashi Sakoh,Mami Toda. Владелец: NEC Electronics Corp. Дата публикации: 2008-02-28.

Method for manufacturing a front electrode of a semiconductor device

Номер патента: US20160260851A1. Автор: Xiaoli Liu,Delin Li. Владелец: Soltrium Advanced Materials Technology Ltd Shenzhen. Дата публикации: 2016-09-08.

Optoelectronic semiconductor component and method for producing the same

Номер патента: US12046696B2. Автор: Martin Behringer,Tansen Varghese,Alexander Tonkikh. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2024-07-23.

Method for forming a DRAM semiconductor device with a sense amplifier

Номер патента: US20070148850A1. Автор: Dong Chul Koo. Владелец: Individual. Дата публикации: 2007-06-28.

Device and method for individual finger isolation in an optoelectronic device

Номер патента: US20130049154A1. Автор: Andreas Hegedus. Владелец: Individual. Дата публикации: 2013-02-28.

Semiconductor device and method for setting voltage in semiconductor device

Номер патента: US20160291628A1. Автор: Makoto Suwada. Владелец: Fujitsu Ltd. Дата публикации: 2016-10-06.

Semiconductor device contact pad and method of contact pad fabrication

Номер патента: US12034027B2. Автор: Hui Zang. Владелец: Omnivision Technologies Inc. Дата публикации: 2024-07-09.

Semiconductor device and method for forming the same

Номер патента: US20240304579A1. Автор: Dai-Ying LEE,Cheng-Hsien Lu. Владелец: Macronix International Co Ltd. Дата публикации: 2024-09-12.

Chip packages and methods for forming the same

Номер патента: US10770417B2. Автор: Wang-Lai Yang. Владелец: Shunsin Technology Zhongshan Ltd. Дата публикации: 2020-09-08.

Method for the fabrication of bonding solder layers on metal bumps with improved coplanarity

Номер патента: US20130052817A1. Автор: Tim Hsiao. Владелец: WIN Semiconductors Corp. Дата публикации: 2013-02-28.

Semiconductor device and method for forming the same

Номер патента: US20190304837A1. Автор: Han-Liang Tseng,Hsin-Hui Lee,Hsueh-Jung LIN. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2019-10-03.

Method for forming semiconductor device

Номер патента: US20040009435A1. Автор: Eun-Young Chung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-01-15.

Chip packages and methods for forming the same

Номер патента: US20190172805A1. Автор: Wang-Lai Yang. Владелец: Shunsin Technology Zhongshan Ltd. Дата публикации: 2019-06-06.

Chip packages and methods for forming the same

Номер патента: US20200388585A1. Автор: Wang-Lai Yang. Владелец: Shunsin Technology Zhongshan Ltd. Дата публикации: 2020-12-10.

Method For Manufacturing Semiconductor Device

Номер патента: US20080081408A1. Автор: Myung Hwan Song. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-04-03.

Method for forming a capacitor of a semiconductor device

Номер патента: US20020192906A1. Автор: Sang-Ho Woo,Eui-Sik Kim,Kwang-seok Jeon. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-12-19.

Method for forming capacitor opening hole, and method for forming memory capacitor

Номер патента: EP4002504A1. Автор: ChihCheng LIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-05-25.

Semiconductor device and method for forming the same

Номер патента: US20240213403A1. Автор: Yung-Hsiang Lin,Tien-Yu Wang. Владелец: Epistar Corp. Дата публикации: 2024-06-27.

Semiconductor device and method for forming the same

Номер патента: US20220102429A1. Автор: Chuan-Fu Wang,Wen-Jen Wang,Chun-hung Cheng. Владелец: United Microelectronics Corp. Дата публикации: 2022-03-31.

Method for forming cylindrical capacitor lower plate in semiconductor device

Номер патента: US5858834A. Автор: Toshiyuki Hirota,Kiyotaka Sakamoto,Shuji Fujiwara. Владелец: NEC Corp. Дата публикации: 1999-01-12.

Method for forming laminated resin film and method for producing semiconductor device

Номер патента: US20110306185A1. Автор: Yukihiro Tsuji. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2011-12-15.

Transient wavelength drift reduction in semiconductor lasers

Номер патента: WO2016116565A1. Автор: Thomas Pfeiffer,Romain Brenot,Hélène Debregeas,Jean-Guy Provost. Владелец: ALCATEL LUCENT. Дата публикации: 2016-07-28.

Cold Forming Method for Forming Power Pins and Power Pin Formed Thereof

Номер патента: US20160336675A1. Автор: Manzhi Zhou,Guangdong Song. Владелец: Individual. Дата публикации: 2016-11-17.

Dynamically adjusting operation of a circuit within a semiconductor device

Номер патента: US20090072855A1. Автор: Sujeet Ayyapureddi,Raghukiran Sreeramaneni. Владелец: Micron Technology Inc. Дата публикации: 2009-03-19.

Devices and Methods for Automatic Thermal Bias of Transistors in Musical Devices

Номер патента: US20220085768A1. Автор: Christopher Benson. Владелец: Benson Amps Inc. Дата публикации: 2022-03-17.

Method for reducing particles and defects during flash memory fabrication

Номер патента: US20030181008A1. Автор: Kent Chang,Weng-Hsing Huang. Владелец: Macronix International Co Ltd. Дата публикации: 2003-09-25.

APPARATUSES AND METHODS FOR DEACTIVATING A DELAY LOCKED LOOP UPDATE IN SEMICONDUCTOR DEVICES

Номер патента: US20210065782A1. Автор: MAE Kenji,MIYANO Kazutaka,Satoh Yasuo. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2021-03-04.

Apparatuses and methods for deactivating a delay locked loop update in semiconductor devices

Номер патента: US11049543B2. Автор: Yasuo Satoh,Kazutaka Miyano,Kenji Mae. Владелец: Micron Technology Inc. Дата публикации: 2021-06-29.

Method for conditioning semiconductor-on-insulator transistors in programmable logic devices

Номер патента: CA2431432C. Автор: Austin H. Lesea,Robert J. Francis. Владелец: Xilinx Inc. Дата публикации: 2006-05-02.

Inverter for forming high-speed power driving circuit of semiconductor device

Номер патента: KR100410813B1. Автор: 여정현. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-03-30.

Method for manufacturing a dynamic random access memory cell

Номер патента: US5270239A. Автор: Jae K. Kim,Wi S. Min. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1993-12-14.

Making method for light emitting device by ink jet printing and semiconductor device using the same

Номер патента: KR20230068909A. Автор: 박병주. Владелец: 광운대학교 산학협력단. Дата публикации: 2023-05-18.

Method for manufacturing material for use in manufacturing electroluminescent organic semiconductor devices

Номер патента: EP2381503B1. Автор: Janos Veres. Владелец: Polyphotonix Ltd. Дата публикации: 2013-04-17.

Devices and Methods for Automatic Thermal Bias of Transistors in Musical Devices

Номер патента: US20220085768A1. Автор: BENSON Christopher. Владелец: . Дата публикации: 2022-03-17.

Method for conditioning semiconductor-on-insulator transistors in programmable logic devices

Номер патента: CA2431432A1. Автор: Austin H. Lesea,Robert J. Francis. Владелец: Robert J. Francis. Дата публикации: 2002-07-25.

Method for estimating the end of lifetime for a power semiconductor device

Номер патента: EP2724170A1. Автор: Bjørn Rannestad,Paul Bach Thogersen. Владелец: KK-ELECTRONIC AS. Дата публикации: 2014-04-30.

Apparatus and method for non-contact assessment of a constituent in semiconductor workpieces

Номер патента: WO2007008311A3. Автор: Steve Hummel,Andrzej Buczkowski. Владелец: Andrzej Buczkowski. Дата публикации: 2008-01-10.

Apparatus and method for non-contact assessment of a constituent in semiconductor workpieces

Номер патента: WO2007008311A2. Автор: Steve Hummel,Andrzej Buczkowski. Владелец: Nanometrics Incorporated. Дата публикации: 2007-01-18.

Apparatus and method for the parallel and independent testing of voltage-supplied semiconductor devices

Номер патента: US6903565B2. Автор: Udo Hartmann. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2005-06-07.

Method for forming a semiconductor device with a single-sided buried strap

Номер патента: US20080268590A1. Автор: Neng-Tai Shih,Ming-Cheng Chang. Владелец: Nanya Technology Corp. Дата публикации: 2008-10-30.

Methods for forming three-dimensional memory devices, and related structures

Номер патента: US20120199987A1. Автор: Nishant Sinha,Krishna K. Parat. Владелец: Micron Technology Inc. Дата публикации: 2012-08-09.

Semiconductor device and method for fabricating the same

Номер патента: US12089508B2. Автор: Hung-Chan Lin. Владелец: United Microelectronics Corp. Дата публикации: 2024-09-10.

Semiconductor device and method for forming the same

Номер патента: US12022739B2. Автор: Chih-Wei Kuo. Владелец: United Microelectronics Corp. Дата публикации: 2024-06-25.

Method for forming a resist pattern

Номер патента: US20240219834A1. Автор: Tokio Nishita,Takahiro Kishioka,Shun KUBODERA. Владелец: Nissan Chemical Corp. Дата публикации: 2024-07-04.

Systems and methods for programmable chip enable and chip address in semiconductor memory

Номер патента: TW200912632A. Автор: Jian Chen,Long Pham,Tien-Chien Kuo,Alex Mak,Loc Tu. Владелец: SanDisk Corp. Дата публикации: 2009-03-16.

Method for forming a pattern and method of manufacturing semiconductor device

Номер патента: US20050153245A1. Автор: Yasunobu Onishi,Yasuhiko Sato. Владелец: Toshiba Corp. Дата публикации: 2005-07-14.

Method for forming pre-metal dielectric layer in a semiconductor device

Номер патента: KR100508531B1. Автор: 김성래. Владелец: 동부아남반도체 주식회사. Дата публикации: 2005-08-17.

METHOD FOR USING ACOUSTIC WAVES FOR PURGING FILTERS IN SEMICONDUCTOR MANUFACTURING EQUIPMENT

Номер патента: US20150079518A1. Автор: Jacobson Guy. Владелец: . Дата публикации: 2015-03-19.

Method for producing adhesive tape for housing used in semiconductor field

Номер патента: KR100718811B1. Автор: 김애란. Владелец: 김애란. Дата публикации: 2007-05-16.

Circuit and method for supplying voltage source of sense amplifier in semiconductor memory apparatus

Номер патента: KR100738959B1. Автор: 정봉화. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-07-12.

Internal signal generator and method for generating an internal address for use in semiconductor memory device

Номер патента: TWI320188B. Автор: Beom-Ju Shin. Владелец: . Дата публикации: 2010-02-01.

Methods for planarization and encapsulation of micromechanical devices in semiconductor processes

Номер патента: EP0820599B1. Автор: Joseph T. Kung. Владелец: Analog Devices Inc. Дата публикации: 2001-09-19.

Apparatuses and methods for accessing hybrid memory system

Номер патента: US20200363978A1. Автор: Kazuhiko Kajigaya. Владелец: Micron Technology Inc. Дата публикации: 2020-11-19.

Apparatuses and methods for accessing hybrid memory system

Номер патента: US11449258B2. Автор: Kazuhiko Kajigaya. Владелец: Micron Technology Inc. Дата публикации: 2022-09-20.

Method for measuring aberation of lens in exposing device of semiconductor device

Номер патента: KR100682177B1. Автор: 김희범. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-02-12.

Production method for exposure mask, exposure mask, and production method of semiconductor device

Номер патента: WO2003071590A1. Автор: Minoru Sugawara. Владелец: SONY CORPORATION. Дата публикации: 2003-08-28.

METHOD FOR ESTIMATING THE END OF LIFETIME FOR A POWER SEMICONDUCTOR DEVICE

Номер патента: US20140125366A1. Автор: Rannestad Bjørn,Thøgersen Paul Bach. Владелец: KK-ELECTRONIC A/S. Дата публикации: 2014-05-08.

SYSTEMS AND METHODS FOR DEPOPULATING PINS FROM CONTACTOR TEST SOCKETS FOR PACKAGED SEMICONDUCTOR DEVICES

Номер патента: US20180106835A1. Автор: Tong Kay Chan,Ata Hisashi,Shwe Thiha. Владелец: . Дата публикации: 2018-04-19.

Systems and Methods for Depopulating Pins from Contactor Test Sockets for Packaged Semiconductor Devices

Номер патента: US20200141974A1. Автор: Tong Kay Chan,Ata Hisashi,Shwe Thiha. Владелец: . Дата публикации: 2020-05-07.

METHOD FOR INSPECTING PATTERN AND AN APPARATUS FOR MANUFACTURING A SEMICONDUCTOR DEVICE USING THE SAME

Номер патента: US20160275663A1. Автор: Yang Seunghune,YANG Kiho,CHI KAIYUAN. Владелец: . Дата публикации: 2016-09-22.

Method for Testing a Plurality of Transistors in a Target Chip

Номер патента: US20150002184A1. Автор: Ouyang Xu,Shao Kangpeng,Zheng Yongjun. Владелец: Semitronix Corporation. Дата публикации: 2015-01-01.

Systems And Methods For Predicting And Managing Power And Energy Use Of Semiconductor Devices

Номер патента: US20210157966A1. Автор: Huilgol Ninad,Crowl Lawrence. Владелец: . Дата публикации: 2021-05-27.

Circuit and method for reducing number of data input and output and semiconductor device

Номер патента: JPH1152028A. Автор: Gyu-Hong Kim,金奎泓. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-02-26.

Method for manufacturing the half tone phase shift mask of semiconductor device

Номер патента: KR100588910B1. Автор: 박세진. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-06-09.

Method for extracting the distribution of charge stored in a semiconductor device

Номер патента: WO2006128922A1. Автор: Arnaud Furnémont. Владелец: Interuniversitair Microelektronica Centrum Vzw. Дата публикации: 2006-12-07.

Method for testing memory cell in semiconductor device

Номер патента: US6556493B2. Автор: Tae-Kyu Kim,Yoon-Soo Jang,Young-Seon You,Mun-Hwa Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-04-29.

Refresh method capable of reducing memory cell access time in semiconductor memory device

Номер патента: US20020141269A1. Автор: Jong-Yul Park,Seong-kue Jo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-10-03.

Mask blank and method for manufacturing transfer mask

Номер патента: US20090233190A1. Автор: Masahiro Hashimoto. Владелец: Hoya Corp. Дата публикации: 2009-09-17.

Method for forming carbon-carbon bond

Номер патента: EP3971156A1. Автор: Hironao Sajiki,Shinji Nakamura,Hitoshi Takada,Tsuyoshi Yamada,Yoshinari Sawama. Владелец: Organo Corp. Дата публикации: 2022-03-23.

Method for forming thin film

Номер патента: WO2003041142A1. Автор: Won-Yong Koh,Choon-Soo Lee. Владелец: Genitech Co., Ltd.. Дата публикации: 2003-05-15.

Method for forming thin film

Номер патента: EP1454347A1. Автор: Won-Yong 105-605 Hanul Apt. KOH,Choon-Soo 113-402 Hanmaeul Apt. 200-4 LEE. Владелец: Genitech Co Ltd. Дата публикации: 2004-09-08.

Method for production of l-glutamic acid

Номер патента: RU2282662C2. Автор: Такаюки КОДА,Хироси УЕДА,Масаказу САТО. Владелец: Адзиномото Ко., Инк.. Дата публикации: 2006-08-27.

Cladding and the method for its formation

Номер патента: RU2759409C1. Автор: Константин Юрьевич Севенард. Владелец: Константин Юрьевич Севенард. Дата публикации: 2021-11-12.

Heat exchanger, method for formation thereof and use thereof

Номер патента: RU2667565C2. Автор: Петер Ян КОЛ. Владелец: Интергэс Хитинг Эссетс Б.В.. Дата публикации: 2018-09-21.

Method for detecting resistive-open defects in semiconductor memories

Номер патента: EP1738375A1. Автор: Mohamed Azimane. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2007-01-03.

Method for detecting resistive-open defects in semiconductor memories

Номер патента: US20050216799A1. Автор: Mohamed Azimane. Владелец: Individual. Дата публикации: 2005-09-29.

Method for detecting resistive-open defects in semiconductor memories

Номер патента: EP1738375B1. Автор: Mohamed c/o NXP Semiconductors AZIMANE. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2008-05-21.

Method for forming fixed images

Номер патента: EP2649495A1. Автор: YASUHIRO Hidaka,Akihiro Eida. Владелец: Kao Corp. Дата публикации: 2013-10-16.

Method for reducing thermal budget in forming capacitor in semiconductor integrated circuit

Номер патента: TW508723B. Автор: Wang-Cheng Shr,Lan-Lin Jau. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2002-11-01.

METHODS FOR FORMING A CONTACT METAL LAYER IN SEMICONDUCTOR DEVICES

Номер патента: US20130189840A1. Автор: Gandikota Srinivas,Lei Yu,Fu Xinyu,Shah Kavita,YU SANG HO. Владелец: Applied Materials, Inc.. Дата публикации: 2013-07-25.

Method for manufacturing dual-layer gate of a metal oxide semiconductor device

Номер патента: TW457569B. Автор: Hung-Huei Tzeng. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2001-10-01.

Method for rounding corner of thin film component in semiconductor device

Номер патента: TW559921B. Автор: Shin-Yi Tsai. Владелец: Macronix Int Co Ltd. Дата публикации: 2003-11-01.

Method for forming a gate electrode of a nonvolatile semiconductor device

Номер патента: KR980012447A. Автор: 홍영기. Владелец: 김광호. Дата публикации: 1998-04-30.

A kind of method for forming n-type buried regions in the semiconductor device

Номер патента: CN104392912B. Автор: 胡强,张世勇,王思亮. Владелец: Dongfang Electric Corp. Дата публикации: 2017-11-10.

Method for forming an alignment key pattern of a semiconductor device

Номер патента: KR970072014A. Автор: 권오철. Владелец: 김광호. Дата публикации: 1997-11-07.

Method for forming low dielectric constant insulator film and semiconductor device using the same

Номер патента: JPH10209148A. Автор: Toshiaki Hasegawa,利昭 長谷川. Владелец: Sony Corp. Дата публикации: 1998-08-07.

Collimator for forming of metal thin film in the semiconductor device

Номер патента: KR970000962B1. Автор: Kyung-Soo Cho. Владелец: Hyundai Electronics Ind. Дата публикации: 1997-01-21.

METHOD FOR MANUFACTURING SILICON CARBIDE SUBSTRATE, SILICON CARBIDE SUBSTRATE, AND SEMICONDUCTOR DEVICE

Номер патента: US20120012862A1. Автор: . Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-19.

METHOD FOR MANUFACTURING EPITAXIAL CRYSTAL SUBSTRATE, EPITAXIAL CRYSTAL SUBSTRATE AND SEMICONDUCTOR DEVICE

Номер патента: US20120299061A1. Автор: Momoi Hajime,Kakuta Koji. Владелец: . Дата публикации: 2012-11-29.

Method for correcting error of alignment measurement and production of semiconductor device

Номер патента: JPH1089921A. Автор: Yoshikatsu Tomimatsu,喜克 富松. Владелец: Mitsubishi Electric Corp. Дата публикации: 1998-04-10.

Method for improving critical size differences of different areas of semiconductor device

Номер патента: CN103295894A. Автор: 秦伟,高慧慧,杨渝书. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2013-09-11.

Method for manufacturing p-type group iii nitride semiconductor and semiconductor device

Номер патента: JP2021182597A. Автор: 隆弘 藤井,Takahiro Fujii. Владелец: Toyoda Gosei Co Ltd. Дата публикации: 2021-11-25.

Method for measuring gate-to-body current of metal oxide semiconductor device

Номер патента: TW546484B. Автор: Ke-Wei Su,Jau-Kang He. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2003-08-11.