• Главная
  • 在半导体器件中制造凹陷栅极以及制造凹陷沟道的方法

在半导体器件中制造凹陷栅极以及制造凹陷沟道的方法

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method for fabricating semiconductor device

Номер патента: US20150004783A1. Автор: Jong-ho Lee,Sung-Won Choi,Bum-Joon Youn,Min-Keun Kwak. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-01-01.

Method of manufacturing a semiconductor device and a semiconductor device

Номер патента: US11742404B2. Автор: Chun Chieh Wang,Yueh-Ching Pai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-08-29.

Fabrication method of soi semiconductor devices

Номер патента: EP1371089A1. Автор: Denis Flandre,Jean-Pierre Raskin,Amaury Neve De Mevergnies. Владелец: Universite Catholique de Louvain UCL. Дата публикации: 2003-12-17.

Fabrication method of soi semiconductor devices

Номер патента: EP1371089B1. Автор: Denis Flandre,Jean-Pierre Raskin,Amaury Neve De Mevergnies. Владелец: Universite Catholique de Louvain UCL. Дата публикации: 2007-12-05.

Semiconductor device and method for fabricating the same

Номер патента: US20100052019A1. Автор: Hiroshi Yamamoto,Mitsuru Yoshikawa. Владелец: MagnaChip Semiconductor Ltd. Дата публикации: 2010-03-04.

Method for fabricating split-gate non-volatile memory

Номер патента: US20200251481A1. Автор: Geeng-Chuan Chern. Владелец: Nexchip Semiconductor Co Ltd. Дата публикации: 2020-08-06.

Nonvolatile memory device and method for fabricating the same

Номер патента: US9070781B2. Автор: Sung-Kun Park. Владелец: SK hynix Inc. Дата публикации: 2015-06-30.

Semiconductor device and method for fabricating the same

Номер патента: US20240266288A1. Автор: Jin Bum Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-08.

Semiconductor device and method for fabricating the same

Номер патента: EP4411800A2. Автор: Jin Bum Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-07.

Semiconductor device and method for fabricating the same

Номер патента: EP4411800A3. Автор: Jin Bum Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-14.

Semiconductor device with capping layer

Номер патента: US20240304696A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-09-12.

Semiconductor device and method for fabricating the same

Номер патента: US9142684B2. Автор: Kyung-Sik MUN. Владелец: SK hynix Inc. Дата публикации: 2015-09-22.

Semiconductor device and method for fabricating the same

Номер патента: US20150115347A1. Автор: Kyung-Sik MUN. Владелец: SK hynix Inc. Дата публикации: 2015-04-30.

Method for fabricating semiconductor device with protection liner for bit line

Номер патента: US12057348B2. Автор: Huan-Yung Yeh,Chun-Chi Lai. Владелец: Nanya Technology Corp. Дата публикации: 2024-08-06.

Semiconductor device and method for fabricating the same

Номер патента: US20160049506A1. Автор: Wai-Yi Lien,Yu-Cheng Tung,Ming-Tsung Chen,Ji-Fu Kung,Hsin-Ming Hou. Владелец: United Microelectronics Corp. Дата публикации: 2016-02-18.

Method for fabricating semiconductor device

Номер патента: US20210050253A1. Автор: Li-Han Chen,Wei-Chuan Tsai,Yen-Tsai Yi,Jin-Yan Chiou,Hsiang-Wen Ke. Владелец: United Microelectronics Corp. Дата публикации: 2021-02-18.

Method for fabricating a semiconductor device including a MOS transistor having a silicide layer

Номер патента: US12033858B2. Автор: Young Gwang YOON. Владелец: SK hynix Inc. Дата публикации: 2024-07-09.

Semiconductor device with recess gate and method for fabricating the same

Номер патента: US20100258861A1. Автор: Tae-Kyun Kim,Yun-Hyuck Ji,Seung-Mi Lee,Jin-Yul Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-10-14.

Semiconductor device and method for manufacturing the same

Номер патента: US9859171B2. Автор: Dong-Yean Oh. Владелец: SK hynix Inc. Дата публикации: 2018-01-02.

Semiconductor device and method for manufacturing the same

Номер патента: US20170178977A1. Автор: Dong-Yean Oh. Владелец: SK hynix Inc. Дата публикации: 2017-06-22.

Method for fabricating recessed lightly doped drain field effect transistors

Номер патента: US20020187603A1. Автор: Horng-Huei Tseng. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2002-12-12.

Semiconductor device and method for fabricating the same

Номер патента: EP4369412A1. Автор: PURAKH Raj Verma,Chia-Huei Lin,Kuo-Yuh Yang,Chu-Chun Chang. Владелец: United Microelectronics Corp. Дата публикации: 2024-05-15.

Method for fabricating semiconductor device

Номер патента: US20190043964A1. Автор: Hsin-Yu Chen,Chun-Hao Lin,Shou-Wei Hsieh. Владелец: United Microelectronics Corp. Дата публикации: 2019-02-07.

Contact Isolation in Semiconductor Devices

Номер патента: US20210066116A1. Автор: Zheng Tao,Boon Teik CHAN,Waikin Li. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2021-03-04.

Contact isolation in semiconductor devices

Номер патента: US11862452B2. Автор: Zheng Tao,Boon Teik CHAN,Waikin Li. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-01-02.

Semiconductor device and method for fabricating the same

Номер патента: US20210287942A1. Автор: Kun-Hsien Lee,Kuan-Ti Wang,Tien-Yu Hsieh,Han-Chen CHEN. Владелец: United Microelectronics Corp. Дата публикации: 2021-09-16.

Method for fabricating semiconductor device

Номер патента: US20150056768A1. Автор: Cheng-Yuan Hsu,Chi REN,Tzeng-Fei Wen. Владелец: United Microelectronics Corp. Дата публикации: 2015-02-26.

Methods for fabricating semiconductor devices

Номер патента: US20120244674A1. Автор: Dong Hyuk Kim,DongSuk Shin,Myungsun Kim,Hoi Sung Chung. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2012-09-27.

Method for fabricating semiconductor device including fin shaped structure

Номер патента: US20170194193A1. Автор: Chao-Hung Lin,Chung-Yi Chiu,Shih-Fang Hong. Владелец: United Microelectronics Corp. Дата публикации: 2017-07-06.

Method for fabricating semiconductor device including fin shaped structure

Номер патента: US9881831B2. Автор: Chao-Hung Lin,Chung-Yi Chiu,Shih-Fang Hong. Владелец: United Microelectronics Corp. Дата публикации: 2018-01-30.

Method for fabricating semiconductor device with bulb-shaped recess gate

Номер патента: US20070148980A1. Автор: Jun-hee Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-06-28.

Dummy Gate Structure for Semiconductor Devices

Номер патента: US20160005814A1. Автор: Shih-Chi Fu,Chien-Chih Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-01-07.

Transistors with various threshold voltages and method for manufacturing the same

Номер патента: US20200119016A1. Автор: Jhon-Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-16.

Method and apparatus for fabricating an ultra thin silicon on insulator

Номер патента: US20090224320A1. Автор: Kevin K. Chan,Jakub Kedzierski,Raymond M. Sicina. Владелец: Individual. Дата публикации: 2009-09-10.

Semiconductor device and method for fabricating the device

Номер патента: US20130043490A1. Автор: Haruyuki Sorada. Владелец: Panasonic Corp. Дата публикации: 2013-02-21.

Semiconductor device and method for manufacturing the same with recess gate of t shape

Номер патента: KR100844984B1. Автор: 김석기,유재선. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-07-09.

Method for fabricating semiconductor device with programmable anti-fuse feature

Номер патента: US11735520B2. Автор: Chin-Ling Huang. Владелец: Nanya Technology Corp. Дата публикации: 2023-08-22.

Semiconductor device and method for fabricating the same

Номер патента: EP2562794A4. Автор: Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-12-18.

Semiconductor device and method for fabricating the same

Номер патента: GB201122185D0. Автор: . Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-02-01.

Semiconductor device with self-aligning contact and method for fabricating the same

Номер патента: US20220271036A1. Автор: Chun-Chi Lai. Владелец: Nanya Technology Corp. Дата публикации: 2022-08-25.

Semiconductor device and method for fabricating the same

Номер патента: US20170194422A1. Автор: Yu-Cheng Tung. Владелец: United Microelectronics Corp. Дата публикации: 2017-07-06.

Method for fabricating semiconductor device with programmable element

Номер патента: US20220069126A1. Автор: Chang-Chieh Lin. Владелец: Nanya Technology Corp. Дата публикации: 2022-03-03.

Semiconductor device and method for fabricating the same

Номер патента: US20220013363A1. Автор: Jee-Hyun Park,Young-Gwang YOON,Yun-Ik SON. Владелец: SK hynix Inc. Дата публикации: 2022-01-13.

Semiconductor device and method for fabricating the same

Номер патента: US11784051B2. Автор: Jee-Hyun Park,Young-Gwang YOON,Yun-Ik SON. Владелец: SK hynix Inc. Дата публикации: 2023-10-10.

Semiconductor device and method for fabricating the same

Номер патента: US20010000914A1. Автор: Young Park,Jong Lee,Hyeok Lee. Владелец: LG Semicon Co Ltd. Дата публикации: 2001-05-10.

Method for fabricating finfet isolation structure

Номер патента: US20170110557A1. Автор: Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-20.

Semiconductor device and method for manufacturing the same

Номер патента: US20160308047A1. Автор: Jong Hwan Kim,Sang Kee LEE. Владелец: SK hynix Inc. Дата публикации: 2016-10-20.

Semiconductor device and method for manufacturing the same

Номер патента: US20140374822A1. Автор: Jong Hwan Kim,Sang Kee LEE. Владелец: SK hynix Inc. Дата публикации: 2014-12-25.

Semiconductor device and method for fabricating the same

Номер патента: US20080093701A1. Автор: Eun-Mi Hong,Kwang-tae Kim,Ji-hoon Park,Tea-kwang Yu,Kong-Sam Jang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-04-24.

Conductive structures in semiconductor devices

Номер патента: US10867906B2. Автор: Tien-I Bao,Tai-I Yang,Tien-Lu Lin,Yu-Chieh Liao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-12-15.

Semiconductor device and a method for fabricating the same

Номер патента: US20180005897A1. Автор: Chen-Chin Liu,Meng-Han LIN,Chih-Ren Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-04.

Semiconductor devices and methods for fabricating the same

Номер патента: US20240243171A1. Автор: Kern Rim,Dae Won Ha,Mun Hyeon Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-18.

Semiconductor device and method for fabricating the same

Номер патента: US20030003666A1. Автор: Tetsuo Izawa,Yasunori Iriyama. Владелец: Fujitsu Ltd. Дата публикации: 2003-01-02.

Semiconductor device and method for fabricating the same

Номер патента: US12101934B2. Автор: Dae Sung EOM. Владелец: SK hynix Inc. Дата публикации: 2024-09-24.

Method for fabricating semiconductor device

Номер патента: US20010005616A1. Автор: Jae Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2001-06-28.

Method for fabricating partial SOI substrate

Номер патента: US7927965B2. Автор: Myung-Ok Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-04-19.

Method for fabricating partial soi substrate

Номер патента: US20100120218A1. Автор: Myung-Ok Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-05-13.

High voltage semiconductor device and method for fabricating the same

Номер патента: US9318586B2. Автор: Nam Young Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-04-19.

Semiconductor device with flowable layer and method for fabricating the same

Номер патента: US20220013629A1. Автор: Liang-Pin Chou. Владелец: Nanya Technology Corp. Дата публикации: 2022-01-13.

Method for fabricating semiconductor device

Номер патента: US20220077144A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2022-03-10.

Semiconductor device and method for fabricating the same

Номер патента: US20190214458A1. Автор: PURAKH Raj Verma,Chia-Huei Lin,Kuo-Yuh Yang. Владелец: United Microelectronics Corp. Дата публикации: 2019-07-11.

Semiconductor device and method for fabricating the same

Номер патента: US20160372381A1. Автор: Yu-Cheng Tung. Владелец: United Microelectronics Corp. Дата публикации: 2016-12-22.

Method for fabricating semiconductor device

Номер патента: US20160254349A1. Автор: Byung-gook Park,Min-chul Sun. Владелец: Individual. Дата публикации: 2016-09-01.

Method for fabricating trench type transistor

Номер патента: US8916438B2. Автор: Yung-Fa Lin. Владелец: Anpec Electronics Corp. Дата публикации: 2014-12-23.

Method for fabricating semiconductor device with recess gate

Номер патента: US20070105388A1. Автор: Ky-Hyun Han,Jung-Seock Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-05-10.

Method for forming the transistor with recess gate

Номер патента: KR100598172B1. Автор: 강명희. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-07-10.

Method for fabricating isolation layer in semiconductor device

Номер патента: US20040203225A1. Автор: Seung Pyi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-10-14.

Method for Forming Isolation Layer in Semiconductor Devices

Номер патента: US20070166949A1. Автор: In Kyu Chun. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-19.

Etching methods, etching apparatus and methods for fabricating semiconductor devices

Номер патента: US20030036285A1. Автор: Takashi Kokubun. Владелец: Seiko Epson Corp. Дата публикации: 2003-02-20.

Method for fabricating semiconductor device having radiation hardened insulators

Номер патента: US20100035393A1. Автор: John M. Aitken,Ethan H. Cannon. Владелец: Individual. Дата публикации: 2010-02-11.

Semiconductor devices and methods for fabricating the same

Номер патента: US20230069612A1. Автор: LAN Yao,Yanwei Shi,Huidan Hou. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2023-03-02.

Semiconductor device and method for fabricating the same

Номер патента: US20060014372A1. Автор: Seung-Ho Pyi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-01-19.

Methods for fabricating an STI film of a semiconductor device

Номер патента: US7217633B2. Автор: Geon-Ook Park. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-05-15.

Methods for fabricating an STI film of a semiconductor device

Номер патента: US20050142805A1. Автор: Geon-Ook Park. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Method for fabricating semiconductor devices

Номер патента: US20020068438A1. Автор: Takeshi Nogami,Naoki Komai,Mitsuru Taguchi,Hideyuki Kito. Владелец: Sony Corp. Дата публикации: 2002-06-06.

Method for Fabricating Semiconductor Device

Номер патента: US20080003835A1. Автор: Dong Yeal Keum. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-01-03.

Method for forming interlayer insulating film in semiconductor device

Номер патента: US8048802B2. Автор: Ho-Yeong Choe. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2011-11-01.

Method for forming a three-component nitride film containing metal and silicon

Номер патента: EP1044288A2. Автор: Kyoung-Soo Yi,Sang-Won Kang,Won-Yong Koh. Владелец: Genitech Co Ltd. Дата публикации: 2000-10-18.

Vertical semiconductor device and method for fabricating the vertical semiconductor device

Номер патента: US11751395B2. Автор: Hai-Won Kim,In-Su Park,Jong-Gi KIM,Hoe-Min Jeong. Владелец: SK hynix Inc. Дата публикации: 2023-09-05.

Method for forming shallow trench in semiconductor device

Номер патента: US20050148152A1. Автор: Tse-Yao Huang,Yi-Nan Chen,Hsiu-Chun Lee. Владелец: Nanya Technology Corp. Дата публикации: 2005-07-07.

Method for fabricating three-dimensional semiconductor device using buried stop layer in substrate

Номер патента: US20240268119A1. Автор: He Chen. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-08-08.

Semiconductor device and methods for fabricating a semiconductor device

Номер патента: EP4415027A1. Автор: Hans Taddiken. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2024-08-14.

Method for inducing stress in semiconductor devices

Номер патента: US11757039B2. Автор: Gaspard Hiblot,Geert Van Der Plas. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-09-12.

Sonos Device and Method for Fabricating the Same

Номер патента: US20140329387A1. Автор: Chih-Yuan Wu,Kai-Hsiang Chang,Kuang-Wen Liu,Ching-Chang Lin. Владелец: Macronix International Co Ltd. Дата публикации: 2014-11-06.

Semiconductor device and method for fabricating the same

Номер патента: US20240234319A9. Автор: Jin Kyu Kim,Jae Hyun Ahn,Ho Jun Kim,So Ra You,Jee Woong Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-11.

Semiconductor device and method for fabricating the same

Номер патента: US20240136290A1. Автор: Jin Kyu Kim,Jae Hyun Ahn,Ho Jun Kim,So Ra You,Jee Woong Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-04-25.

Semiconductor device with contact structure and method for fabricating the same

Номер патента: US20240105807A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-03-28.

Semiconductor device and method for fabricating the same

Номер патента: US20210074639A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-03-11.

Method for fabricating lateral semiconductor device

Номер патента: US7589347B2. Автор: John Henry Jefferson,Geoffrey Richard Nash,Keith James Nash. Владелец: Qinetiq Ltd. Дата публикации: 2009-09-15.

Semiconductor device and method for fabricating the same

Номер патента: US10211313B2. Автор: Shih-Hung Tsai,Yu-Ting Tseng,Po-Kuang Hsieh,Kuan-Hao TSENG,Cheng-Ping Kuo. Владелец: United Microelectronics Corp. Дата публикации: 2019-02-19.

Semiconductor device and method for manufacturing the same

Номер патента: US20130105919A1. Автор: LI Jiang,Mingqi Li,Pulei Zhu. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2013-05-02.

Semiconductor device and method for fabricating the same

Номер патента: US20020058390A1. Автор: Shinichi Imai. Владелец: Individual. Дата публикации: 2002-05-16.

Semiconductor memory apparatus and method for fabricating the same

Номер патента: US20110074035A1. Автор: Hyoung Soon Yune,Joo Hong Jeong. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-03-31.

Method for fabricating self-aligned recessed-gate MOS transistor device

Номер патента: TWI278043B. Автор: Jar-Ming Ho,Shian-Jyh Lin,Yu-Pi Lee. Владелец: Nanya Technology Corp. Дата публикации: 2007-04-01.

Method for fabricating self-aligned recessed-gate MOS transistor device

Номер патента: TW200743159A. Автор: Jar-Ming Ho,Shian-Jyh Lin,Yu-Pi Lee. Владелец: Nanya Technology Corp. Дата публикации: 2007-11-16.

Method for fabricating a semiconductor device

Номер патента: US6660617B2. Автор: Hiroyuki Kawano. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2003-12-09.

Method for forming isolation layer in semiconductor device

Номер патента: US20030119266A1. Автор: Cheol Park. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2003-06-26.

Semiconductor device with assistant layer and method for fabricating the same

Номер патента: US12080754B2. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-09-03.

Method for fabricating semiconductor device with assistant layer

Номер патента: US12051718B2. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-30.

Method of fabricating insulation layer and method of fabricating semiconductor device using the same

Номер патента: US7846850B2. Автор: Yang-Han Yoon. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-12-07.

Method of fabricating insulation layer and method of fabricating semiconductor device using the same

Номер патента: US20100151668A1. Автор: Yang-Han Yoon. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-06-17.

Method for designing soi water and method for manufacturing soi wafer

Номер патента: SG183422A1. Автор: Susumu Kuwabara. Владелец: Shinetsu Handotai Kk. Дата публикации: 2012-09-27.

Structures, materials and methods for fabrication of nanostructures by transposed split of ion cut materials

Номер патента: WO2004066356A3. Автор: Robert W Bower. Владелец: Robert W Bower. Дата публикации: 2005-06-09.

Semiconductor device and method for fabricating the same

Номер патента: US20200243515A1. Автор: Zhi-Biao Zhou. Владелец: United Microelectronics Corp. Дата публикации: 2020-07-30.

LOW EXTERNAL RESISTANCE CHANNELS IN III-V SEMICONDUCTOR DEVICES

Номер патента: US20160071968A1. Автор: Leobandung Effendi,Sun Yanning. Владелец: . Дата публикации: 2016-03-10.

Semiconductor device with sidewall oxidized dielectric and method for fabricating the same

Номер патента: US20210234037A1. Автор: Te-Yin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2021-07-29.

Semiconductor device and method for fabricating the semiconductor device

Номер патента: US20210098485A1. Автор: Il-Young Kwon,Jin-Ho Bin,Dong-Chul Yoo,Hye-Hyeon BYEON. Владелец: SK hynix Inc. Дата публикации: 2021-04-01.

Semi-floating gate memory device and method for fabricating the same

Номер патента: US12040413B2. Автор: Heng Liu,Zhigang Yang,Jianghua LENG,Tianpeng Guan. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-07-16.

Methods for fabricating semiconductor devices

Номер патента: US20040142569A1. Автор: Seok Kim,Chee Choi. Владелец: Individual. Дата публикации: 2004-07-22.

Semiconductor device including recess gate structure and method of manufacturing the same

Номер патента: US20240274713A1. Автор: Hyun-Yong Yu,Seung Geun JUNG,Mu Yeong SON. Владелец: SK hynix Inc. Дата публикации: 2024-08-15.

Semiconductor device and methods for fabricating and operating the device

Номер патента: US20240224816A1. Автор: Pavel ASEEV. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2024-07-04.

Semiconductor device with tapering impurity region and method for fabricating the same

Номер патента: US20210351185A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-11-11.

Semiconductor devices

Номер патента: US12051722B2. Автор: Kihwan Kim,Youngdae CHO,Sunguk JANG,Sujin JUNG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-30.

Semiconductor device and method for fabricating the same

Номер патента: US5973362A. Автор: Hae Chang Yang,Min Wha Park. Владелец: LG Semicon Co Ltd. Дата публикации: 1999-10-26.

Electronic device and method for fabricating the same

Номер патента: US20150249154A1. Автор: Joong-Sik Kim. Владелец: SK hynix Inc. Дата публикации: 2015-09-03.

Method for fabricating flash memory cell

Номер патента: US20030124801A1. Автор: Chi-Hui Lin. Владелец: Nanya Technology Corp. Дата публикации: 2003-07-03.

Flash memory device and method for fabricating the same

Номер патента: US20030052359A1. Автор: Sung Shin,Jae Eom. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-03-20.

Semiconductor device and method for fabricating the same

Номер патента: US10756179B2. Автор: Dong Il Bae,Dong Hun Lee,Jung Gil Yang,Seung Min Song,Chang Woo SOHN. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-08-25.

Semiconductor device and method for fabricating the same

Номер патента: US10347718B2. Автор: Dong Il Bae,Dong Hun Lee,Jung Gil Yang,Seung Min Song,Chang Woo SOHN. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-07-09.

Semiconductor device and method for manufacturing the same

Номер патента: US20240072141A1. Автор: Xi SONG,Pengyu Han,Huiqin Wang. Владелец: Dynax Semiconductor Inc. Дата публикации: 2024-02-29.

A method for forming a semiconductor device

Номер патента: EP4391039A1. Автор: Shairfe Muhammad Salahuddin,Boon Teik CHAN,Hsiao-Hsuan Liu. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Method for producing semiconductor device and semiconductor device

Номер патента: US20160343879A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2016-11-24.

Lateral double diffused metal oxide semiconductor device and method for manufacturing the same

Номер патента: US20130075816A1. Автор: Jong Min Kim,Jae Hyun Yoo. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2013-03-28.

Semiconductor device and method for fabricating the same

Номер патента: US20140183556A1. Автор: Jong Seok Lee,Dae Hwan Chun,Youngkyun Jung,Kyoung-Kook Hong. Владелец: Hyundai Motor Co. Дата публикации: 2014-07-03.

Semiconductor devices and methods of manufacturing thereof

Номер патента: US20240304667A1. Автор: Huang-Lin Chao,Hsiang-Pi Chang,Shen-Yang LEE. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-12.

Semiconductor device and method for fabricating the same

Номер патента: US20020090789A1. Автор: Hitoshi Tanaka,Hisao Shigematsu,Kenji Imanishi. Владелец: Fujitsu Ltd. Дата публикации: 2002-07-11.

Semiconductor device having split gate structure and manufacturing method therefor

Номер патента: US20240304717A1. Автор: Dong Fang,Kui Xiao. Владелец: CSMC Technologies Fab2 Co Ltd. Дата публикации: 2024-09-12.

Mos transistors for thin soi integration and methods for fabricating the same

Номер патента: EP2186123A1. Автор: John A. Iacoponi,Kingsuk Maitra. Владелец: Advanced Micro Devices Inc. Дата публикации: 2010-05-19.

Semiconductor device and method for fabricating same

Номер патента: US20070241420A1. Автор: Kang Sik Choi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-10-18.

Semiconductor device and method for manufacturing semiconductor device

Номер патента: US20020048961A1. Автор: Kenji Yamada. Владелец: Seiko Epson Corp. Дата публикации: 2002-04-25.

Vertical thin film transistor and method for fabricating the same

Номер патента: US10361314B2. Автор: Chin-Rung Yan. Владелец: INT Tech Co Ltd. Дата публикации: 2019-07-23.

Semiconductor Device and Method for Fabricating Semiconductor Device

Номер патента: US20080087957A1. Автор: Masaru Kito,Hideaki Aochi,Ryota Katsumata,Masaru Kidoh. Владелец: Toshiba Corp. Дата публикации: 2008-04-17.

Semiconductor device and method for fabricating the same

Номер патента: US20210020636A1. Автор: Keun Hwi Cho,Myoung-Sun LEE. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-01-21.

Semiconductor dielectric structure and method for making the same

Номер патента: US20010023989A1. Автор: Milind Weling,Rao Annapragada. Владелец: Philips Electronics North America Corp. Дата публикации: 2001-09-27.

Semiconductor device structures and printed circuit boards comprising semiconductor devices

Номер патента: US20130228922A1. Автор: Mark E. Tuttle. Владелец: Micron Technology Inc. Дата публикации: 2013-09-05.

Semiconductor device with single step height and method for fabricating the same

Номер патента: US20220122991A1. Автор: Yu-Ting Lin,Mao-Ying Wang,Lai-Cheng TIEN,Hui-Lin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2022-04-21.

Method for forming metal wires in semiconductor device

Номер патента: US20050233579A1. Автор: Ihl Cho. Владелец: Individual. Дата публикации: 2005-10-20.

Method for forming interlayer insulation film in semiconductor device

Номер патента: US20050142848A1. Автор: Kang Shin,Sang Ryu. Владелец: Individual. Дата публикации: 2005-06-30.

Method for fabricating semiconductor device including resist flow process and film coating process

Номер патента: US20070059926A1. Автор: Jae Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-03-15.

Method for fabricating semiconductor devices

Номер патента: US7776622B2. Автор: Kouichi Nagai. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2010-08-17.

Semiconductor device with self-aligned landing pad and method for fabricating the same

Номер патента: US11121137B1. Автор: Te-Yin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2021-09-14.

Method of forming interconnectings in semiconductor devices

Номер патента: US20020090810A1. Автор: Mario Napolitano. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2002-07-11.

Semiconductor device and method for fabricating the same

Номер патента: US20050140011A1. Автор: Jea Kim. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Semiconductor device with porous dielectric layers and method for fabricating the same

Номер патента: US20240030133A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-01-25.

Method for fabricating a semiconductor device

Номер патента: US20020142555A1. Автор: Seon Cha. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-10-03.

Semiconductor device with uneven electrode surface and method for fabricating the same

Номер патента: US20230105066A1. Автор: Tsu-Chieh AI. Владелец: Nanya Technology Corp. Дата публикации: 2023-04-06.

Method for Forming Super Contact in Semiconductor Device

Номер патента: US20100140806A1. Автор: Sang Chul Kim. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2010-06-10.

Semiconductor device having a graphene film and method for fabricating thereof

Номер патента: US12014988B2. Автор: Jang Eun Lee,Hyun bae Lee,Wan Don KIM,Min Joo Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-18.

Semiconductor device and method for fabricating the same

Номер патента: US20220013526A1. Автор: Se Han Kwon. Владелец: SK hynix Inc. Дата публикации: 2022-01-13.

Semiconductor device and method for fabricating the same

Номер патента: US11532631B2. Автор: Se Han Kwon. Владелец: SK hynix Inc. Дата публикации: 2022-12-20.

Semiconductor device with programmable unit and method for fabricating the same

Номер патента: US20220173045A1. Автор: Te-Yin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2022-06-02.

Semiconductor device with conductive protrusions and method for fabricating the same

Номер патента: US20210296174A1. Автор: Shing-Yih Shih. Владелец: Nanya Technology Corp. Дата публикации: 2021-09-23.

Semiconductor device with connection structure and method for fabricating the same

Номер патента: US20210305208A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-09-30.

Semiconductor device with porous decoupling feature and method for fabricating the same

Номер патента: US20210375881A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-12-02.

Semiconductor device and method for fabricating the same

Номер патента: US20210327887A1. Автор: Cheng-Ling Yang. Владелец: Nanya Technology Corp. Дата публикации: 2021-10-21.

Interconnection structure, semiconductor device with interconnection structure and method for fabricating the same

Номер патента: US20230046051A1. Автор: Jong Su Kim. Владелец: SK hynix Inc. Дата публикации: 2023-02-16.

Semiconductor device with anti-back-sputter layer and method for fabricating the same

Номер патента: US20240203753A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-06-20.

Semiconductor device and method for fabricating the same

Номер патента: US20210091087A1. Автор: Chun-Cheng Liao. Владелец: Nanya Technology Corp. Дата публикации: 2021-03-25.

Semiconductor device with protection liners and method for fabricating the same

Номер патента: US20230299005A1. Автор: Te-Yin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2023-09-21.

Semiconductor device and method for fabricating the same

Номер патента: US20210082922A1. Автор: Chih-Wei Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-03-18.

Semiconductor device with protruding contact and method for fabricating the same

Номер патента: US20220122979A1. Автор: Chih-Hung Chen,Chiang-Lin Shih,Szu-Yao Chang. Владелец: Nanya Technology Corp. Дата публикации: 2022-04-21.

Semiconductor device with slanted conductive layers and method for fabricating the same

Номер патента: US11398441B2. Автор: Kuo-Hui Su. Владелец: Nanya Technology Corp. Дата публикации: 2022-07-26.

Semiconductor device with conductive layers having different pattern densities and method for fabricating the same

Номер патента: US12080642B2. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-09-03.

Semiconductor device with emi protection structure and method for fabricating the same

Номер патента: US20210327821A1. Автор: Chin-Te Kuo. Владелец: Nanya Technology Corp. Дата публикации: 2021-10-21.

Method for fabricating semiconductor device

Номер патента: US20070148787A1. Автор: Genichi Komuro,Kenji Kiuchi. Владелец: Fujitsu Ltd. Дата публикации: 2007-06-28.

Semiconductor device with connection structure and method for fabricating the same

Номер патента: US20220077118A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2022-03-10.

Method for fabricating semiconductor device with metal spacers

Номер патента: US11756885B2. Автор: Kuo-Hui Su. Владелец: Nanya Technology Corp. Дата публикации: 2023-09-12.

Method for fabricating semiconductor device with protruding contact

Номер патента: US11574911B2. Автор: Chih-Hung Chen,Chiang-Lin Shih,Szu-Yao Chang. Владелец: Nanya Technology Corp. Дата публикации: 2023-02-07.

Method for fabricating semiconductor device with protruding contact

Номер патента: US20220122982A1. Автор: Chih-Hung Chen,Chiang-Lin Shih,Szu-Yao Chang. Владелец: Nanya Technology Corp. Дата публикации: 2022-04-21.

Semiconductor device and method for fabricating the same

Номер патента: US20200219883A1. Автор: Chang-Hyeon Nam,Injoon Yeo. Владелец: Xia Tai Xin Semiconductor Qing Dao Ltd. Дата публикации: 2020-07-09.

Method for fabricating semiconductor device

Номер патента: US20220059448A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2022-02-24.

Method for fabricating semiconductor device

Номер патента: US20110306202A1. Автор: Jung-Hee Park. Владелец: Individual. Дата публикации: 2011-12-15.

Method for fabricating semiconductor device with self-aligned landing pad

Номер патента: US20210351187A1. Автор: Te-Yin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2021-11-11.

Semiconductor device and method for fabricating the same

Номер патента: US20240237549A1. Автор: Hsin-Fu Huang,Wei-Chuan Tsai,Yen-Tsai Yi,Jin-Yan Chiou,Hsiang-Wen Ke. Владелец: United Microelectronics Corp. Дата публикации: 2024-07-11.

Vertical semiconductor device and method for fabricating the same

Номер патента: US12035526B2. Автор: Yoo Hyun NOH. Владелец: SK hynix Inc. Дата публикации: 2024-07-09.

Semiconductor device and method for fabricating the same

Номер патента: US20240196756A1. Автор: Wei Chen,Hui-Lin WANG,Po-Kai Hsu,Yu-Ping Wang,Hung-Yueh Chen. Владелец: United Microelectronics Corp. Дата публикации: 2024-06-13.

Method for fabricating semiconductor device

Номер патента: US20040048462A1. Автор: Masahiro Joei. Владелец: Individual. Дата публикации: 2004-03-11.

Semiconductor device and method for fabricating the same

Номер патента: US20200083226A1. Автор: Jae-Houb CHUN. Владелец: SK hynix Inc. Дата публикации: 2020-03-12.

Semiconductor device and method for fabricating the same

Номер патента: US12063792B2. Автор: Wei Chen,Hui-Lin WANG,Po-Kai Hsu,Jing-Yin Jhang,Yu-Ping Wang,Hung-Yueh Chen. Владелец: United Microelectronics Corp. Дата публикации: 2024-08-13.

Method for fabricating semiconductor device with EMI protection structure

Номер патента: US11587885B2. Автор: Chin-Te Kuo. Владелец: Nanya Technology Corp. Дата публикации: 2023-02-21.

Semiconductor device and method for fabricating the same

Номер патента: US11765982B2. Автор: Ching-Wen Hung,Ya-Sheng Feng. Владелец: United Microelectronics Corp. Дата публикации: 2023-09-19.

Semiconductor device and method for fabricating the same

Номер патента: US20200136015A1. Автор: Ching-Wen Hung,Ya-Sheng Feng. Владелец: United Microelectronics Corp. Дата публикации: 2020-04-30.

Method for fabricating semiconductor device

Номер патента: US20090081865A1. Автор: Shunsuke Isono. Владелец: Panasonic Corp. Дата публикации: 2009-03-26.

Method for fabricating semiconductor device with emi protection structure

Номер патента: US20220084956A1. Автор: Chin-Te Kuo. Владелец: Nanya Technology Corp. Дата публикации: 2022-03-17.

Method for fabricating semiconductor device

Номер патента: US8450211B2. Автор: Sung-Hyun Yoon,Soo-Byung KO,Kee-Joon Oh,Soon-Young Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2013-05-28.

Method for fabricating semiconductor device

Номер патента: US20120220124A1. Автор: Sung-Hyun Yoon,Soo-Byung KO,Kee-Joon Oh,Soon-Young Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-08-30.

Method of fabricating semiconductor device having fine contact holes

Номер патента: US20080096391A1. Автор: Joo-Young Kim,Jae-Hwang Sim,Dong-Hwa Kwak,Sung-Hyun KWON. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-04-24.

Semiconductor memory device capable of preventing oxidation of plug and method for fabricating the same

Номер патента: US20030001186A1. Автор: Soon-Yong Kweon. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-01-02.

Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device

Номер патента: US20020098672A1. Автор: Theodore Houston. Владелец: Individual. Дата публикации: 2002-07-25.

Semiconductor device with fuse and method for fabricating the same

Номер патента: US20090166803A1. Автор: Buem-Suck Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-07-02.

Metal interconnect structure and method for fabricating the same

Номер патента: US10438893B2. Автор: Ting-Chun Wang,Chih-Sheng Chang,Shih-Hsien Chen,Meng-Jun Wang. Владелец: United Microelectronics Corp. Дата публикации: 2019-10-08.

Method for manufacturing a semiconductor device

Номер патента: US20010003675A1. Автор: Daisuke Komada. Владелец: Fujitsu Ltd. Дата публикации: 2001-06-14.

Method for fabricating a metal-insulator-metal capacitor

Номер патента: US20060292715A1. Автор: June Lee. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2006-12-28.

Method for fabricating a metal-insulator-metal capacitor

Номер патента: US20070190774A1. Автор: June Lee. Владелец: Electronics and Telecommunications Research Institute ETRI. Дата публикации: 2007-08-16.

On-chip capacitors in semiconductor devices and methods for forming the same

Номер патента: US20240213144A1. Автор: LIANG Chen,WEI Liu,Lei Xue. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-06-27.

Self-aligned patterning technique for semiconductor device features

Номер патента: US20150004800A1. Автор: Jing Li,Chung H. Lam. Владелец: International Business Machines Corp. Дата публикации: 2015-01-01.

Semiconductor device and method for fabricating the same

Номер патента: US20070224761A1. Автор: Masatoshi Takami. Владелец: Fujitsu Ltd. Дата публикации: 2007-09-27.

Semiconductor device and method for fabricating the same

Номер патента: US7534712B2. Автор: Masatoshi Takami. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2009-05-19.

Semiconductor device and method for fabricating the same

Номер патента: US7235849B2. Автор: Masatoshi Takami. Владелец: Fujitsu Ltd. Дата публикации: 2007-06-26.

Semiconductor device with polymer liner and method for fabricating the same

Номер патента: US20240234249A1. Автор: Shing-Yih Shih. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-11.

Semiconductor device with polymer liner and method for fabricating the same

Номер патента: US20240234252A1. Автор: Shing-Yih Shih. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-11.

Semiconductor structure and method for fabricating the same

Номер патента: US20230013215A1. Автор: Meng HUANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-19.

Method for forming a capping layer on a copper interconnect

Номер патента: US20020197865A1. Автор: Koichi Ohto. Владелец: NEC Corp. Дата публикации: 2002-12-26.

Semiconductor device and method for making the same

Номер патента: US20240250062A1. Автор: Heesoo Lee,Changoh Kim,JinHee Jung. Владелец: Stats Chippac Pte Ltd. Дата публикации: 2024-07-25.

Method for fabricating a wiring plane on a semiconductor chip with an antifuse

Номер патента: US6455435B1. Автор: Matthias Lehr,Wolfgang Leiberg. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2002-09-24.

Semiconductor device with filling layer

Номер патента: US20240304552A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-09-12.

Semiconductor device and method for fabricating the same

Номер патента: US20230253242A1. Автор: Jun Sik Kim. Владелец: SK hynix Inc. Дата публикации: 2023-08-10.

Semiconductor device and method for fabricating the same

Номер патента: US20210343739A1. Автор: Kuang-Wen Liu,Cheng-Wei Lin,Jr-Meng Wang. Владелец: Macronix International Co Ltd. Дата публикации: 2021-11-04.

METHODS FOR FORMING IC STRUCTURE HAVING RECESSED GATE SPACERS AND RELATED IC STRUCTURES

Номер патента: US20190131424A1. Автор: Tabakman Keith H.,Xu Guowei,Zang Hui,Patil Suraj K.,ONISHI Katsunori. Владелец: . Дата публикации: 2019-05-02.

LOW EXTERNAL RESISTANCE CHANNELS IN III-V SEMICONDUCTOR DEVICES

Номер патента: US20180053650A1. Автор: Leobandung Effendi,Sun Yanning. Владелец: . Дата публикации: 2018-02-22.

Semiconductor device fabrication methods with enhanced control in recessing processes

Номер патента: US20130078791A1. Автор: Robert J. Miller,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2013-03-28.

Semiconductor device, method for fabricating the same and apparatus for fabricating the same

Номер патента: WO2011040047A1. Автор: Masaru Sasaki. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2011-04-07.

Refractory metal nitride capped electrical contact and method for frabricating same

Номер патента: US20110049720A1. Автор: Sadiki Jordan. Владелец: International Rectifier Corp USA. Дата публикации: 2011-03-03.

Low mismatch semiconductor device and method for fabricating same

Номер патента: US20110186934A1. Автор: Akira Ito,Xiangdong Chen. Владелец: Broadcom Corp. Дата публикации: 2011-08-04.

Semiconductor device and method for fabricating the same

Номер патента: US20150287823A1. Автор: Chia-Fu Hsu,Chih-Wei Yang,Jian-Cun KE. Владелец: United Microelectronics Corp. Дата публикации: 2015-10-08.

Biased reactive refractory metal nitride capped contact of group III-V semiconductor device

Номер патента: US9484425B2. Автор: Sadiki Jordan. Владелец: Infineon Technologies North America Corp. Дата публикации: 2016-11-01.

Method for fabricating semiconductor device

Номер патента: US20210320187A1. Автор: Chia-Ming Kuo,Chia-Wei Chang,Chia-Yuan Chang,Guan-Wei Huang,Fu-Jung Chuang,Po-Jen Chuang. Владелец: United Microelectronics Corp. Дата публикации: 2021-10-14.

Method for fabricating semiconductor device

Номер патента: US20060228882A1. Автор: Yong-Sik Jeong. Владелец: MagnaChip Semiconductor Ltd. Дата публикации: 2006-10-12.

Thin-film semiconductor device and method for fabricating thin-film semiconductor device

Номер патента: US20130161630A1. Автор: Kenichirou Nishida. Владелец: Panasonic Corp. Дата публикации: 2013-06-27.

Semiconductor Device and Method for Fabricating the Same

Номер патента: US20090294851A1. Автор: Joong Sik Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-12-03.

Method for fabricating semiconductor device

Номер патента: US8324109B2. Автор: Dong-Hyun Kim,Tae-Han Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-12-04.

Method for fabricating semiconductor device having an embedded source/drain

Номер патента: US20150079740A1. Автор: Jin-Bum Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-03-19.

Method for fabricating a semiconductor device

Номер патента: US20080157233A1. Автор: Hyuk Park. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-07-03.

Method for fabricating semiconductor device

Номер патента: US20160293724A1. Автор: Tsutomu Komatani. Владелец: Sumitomo Electric Device Innovations Inc. Дата публикации: 2016-10-06.

Method for fabricating semiconductor device

Номер патента: US20170330956A1. Автор: Chao-Hung Lin,Jyh-Shyang Jenq,Shih-Hung Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2017-11-16.

Semiconductor device and method for fabricating the same

Номер патента: US20150380407A1. Автор: Moon-Sig Joo,Se-Aug Jang,Yun-Hyuck Ji,Hyung-Chul Kim. Владелец: SK hynix Inc. Дата публикации: 2015-12-31.

Semiconductor device and method for fabricating the same

Номер патента: US20240282843A1. Автор: Kuan-Hung Chen,Chun-Chia Chen,Chung-Fu Chang,Chun-Tsen Lu,Guang-Yu Lo. Владелец: United Microelectronics Corp. Дата публикации: 2024-08-22.

Semiconductor devices and methods for forming semiconductor devices

Номер патента: US20040097048A1. Автор: Kwan Koh. Владелец: Anam Semiconductor Inc. Дата публикации: 2004-05-20.

Ono dielectric for memory cells and method for fabricating the same

Номер патента: US20040207000A1. Автор: Jung-Yu Hsieh. Владелец: Macronix International Co Ltd. Дата публикации: 2004-10-21.

Method for wafer bonding and compound semiconductor wafer

Номер патента: US12068296B2. Автор: Stefan Hampl,Kerstin Kaemmer,Marco Haubold,Norbert Thyssen. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2024-08-20.

Method for fabricating semiconductor devices

Номер патента: US20020106863A1. Автор: In-Chul Jung,Seung-Hoon Sa. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-08-08.

Method for forming semiconductor device having epitaxial channel layer using laser treatment

Номер патента: US20020001890A1. Автор: Jung-Ho Lee. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2002-01-03.

Method for fabricating semiconductor device

Номер патента: US20050205899A1. Автор: Ping-Pang Hsieh. Владелец: Individual. Дата публикации: 2005-09-22.

Semiconductor device and method for fabricating the same

Номер патента: US20160099152A1. Автор: Seung-Woo Jin,Il-Sik JANG,Jae-Chun CHA,An-Bae LEE. Владелец: SK hynix Inc. Дата публикации: 2016-04-07.

Semiconductor device and method for fabricating the same

Номер патента: US20150255291A1. Автор: Seung-Woo Jin,Il-Sik JANG,Jae-Chun CHA,An-Bae LEE. Владелец: SK hynix Inc. Дата публикации: 2015-09-10.

Method for fabricating a split gate flash memory cell

Номер патента: US20030049904A1. Автор: Chi-Hui Lin. Владелец: Nanya Technology Corp. Дата публикации: 2003-03-13.

Method for fabricating a field-effect transistor having a floating gate

Номер патента: US20030119261A1. Автор: Franz Hofmann,Robert Strenz,Georg Tempel,Robert Wiesner. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2003-06-26.

Methods for fabricating integrated circuits with improved implantation processes

Номер патента: US20160204038A1. Автор: Ran Yan,El Mehdi Bazizi,Jan Hoentschel,Alban Zaka. Владелец: Globalfoundries Inc. Дата публикации: 2016-07-14.

Methods for fabricating integrated circuits with improved implantation processes

Номер патента: US20150287646A1. Автор: Ran Yan,El Mehdi Bazizi,Jan Hoentschel,Alban Zaka. Владелец: Globalfoundries Inc. Дата публикации: 2015-10-08.

Method for manufacturing a transistor of a semiconductor device

Номер патента: US20080026521A1. Автор: Woo Young Chung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-01-31.

Semiconductor device and method of making the same

Номер патента: US20130049066A1. Автор: Yuan-Hsiang Chang,Sung-Bin Lin. Владелец: United Microelectronics Corp. Дата публикации: 2013-02-28.

Method for Fabricating a MOS Transistor with Reduced Channel Length Variation

Номер патента: US20130017658A1. Автор: WEI Xia,Xiangdong Chen,Henry Kuo-Shun Chen. Владелец: Broadcom Corp. Дата публикации: 2013-01-17.

Semiconductor device and method for forming the same

Номер патента: US20110254083A1. Автор: Hae Il SONG. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-10-20.

Method for fabricating a buried bit line for a semiconductor memory

Номер патента: US20040018686A1. Автор: Veronika Polei,Mayk Röhrich. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2004-01-29.

Method for forming transistor with recess channel for use in semiconductor memory

Номер патента: KR100568854B1. Автор: 김지영. Владелец: 삼성전자주식회사. Дата публикации: 2006-04-10.

Method of manufacturing silicon rich oxide (SRO) and semiconductor device employing SRO

Номер патента: US20070072424A1. Автор: Jung-hyun Lee,Sang-Bong Bang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-03-29.

Semiconductor device having a recess channel and method for fabricating the same

Номер патента: US7442990B2. Автор: Byung Soo Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-10-28.

Semiconductor device having a recess channel and method for fabricating the same

Номер патента: US20080318402A1. Автор: Byung Soo Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-12-25.

Semiconductor device having a recess channel and method for fabricating the same

Номер патента: US20060237783A1. Автор: Byung Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-10-26.

Sonos device and method for fabricating the same

Номер патента: US20140070299A1. Автор: Chih-Yuan Wu,Kai-Hsiang Chang,Kuang-Wen Liu,Ching-Chang Lin. Владелец: Macronix International Co Ltd. Дата публикации: 2014-03-13.

Method for fabricating semiconductor device

Номер патента: US7445998B2. Автор: Pin-Yao Wang,Rex Young. Владелец: Powerchip Semiconductor Corp. Дата публикации: 2008-11-04.

Method for manufacturing gate in semiconductor device

Номер патента: US20020001934A1. Автор: Hai Won Kim,Kwang Joo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-01-03.

Method for fabricating semiconductor device

Номер патента: US20090142901A1. Автор: In-Cheol Baek. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-06-04.

Method for fabricating semiconductor device

Номер патента: US8021944B2. Автор: In-Cheol Baek. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2011-09-20.

Semiconductor device with energy-removable layer and method for fabricating the same

Номер патента: US20240178287A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-05-30.

Method for fabricating a semiconductor device having a device isolation trench

Номер патента: US8338246B2. Автор: Song Hyeuk Im. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-12-25.

Fabrication method for thin film transistor, thin film transistor and display apparatus

Номер патента: US20180277376A1. Автор: Wei Wang. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-09-27.

Method for fabricating semiconductor device with gate spacer

Номер патента: US7265042B2. Автор: Ki-Won Nam. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-09-04.

Semiconductor device and method for fabricating the same

Номер патента: US20200403082A1. Автор: Chi-Mao Hsu,Chia-Ming Kuo,Tsuo-Wen Lu,Fu-Jung Chuang,Po-Jen Chuang. Владелец: United Microelectronics Corp. Дата публикации: 2020-12-24.

Method for fabricating semiconductor device

Номер патента: US9263544B2. Автор: Takeshi Araya,Tsutomu Komatani. Владелец: Sumitomo Electric Device Innovations Inc. Дата публикации: 2016-02-16.

Method for fabricating semiconductor device

Номер патента: US8815664B2. Автор: Takeshi Araya,Tsutomu Komatani. Владелец: Sumitomo Electric Device Innovations Inc. Дата публикации: 2014-08-26.

Method for fabricating semiconductor device

Номер патента: US20140187004A1. Автор: Jong Seok Lee,Dae Hwan Chun,Youngkyun Jung,Kyoung-Kook Hong. Владелец: Hyundai Motor Co. Дата публикации: 2014-07-03.

Method for fabricating semiconductor device

Номер патента: US8772112B1. Автор: Jong Seok Lee,Dae Hwan Chun,Youngkyun Jung,Kyoung-Kook Hong. Владелец: Hyundai Motor Co. Дата публикации: 2014-07-08.

Semiconductor device and method for fabricating the same

Номер патента: US20240266437A1. Автор: Chun-Yu Chen,Yu-Shu Lin,Keng-Jen Lin,Bo-Lin HUANG,Jhong-Yi Huang. Владелец: United Microelectronics Corp. Дата публикации: 2024-08-08.

Semiconductor device and method for fabricating the same

Номер патента: US12094783B2. Автор: Chih-Kai Hsu,Ssu-I Fu,Chun-Ya Chiu,Chin-Hung Chen,Chi-Ting Wu,Yu-Hsiang Lin. Владелец: United Microelectronics Corp. Дата публикации: 2024-09-17.

Method for fabricating metal-oxide semiconductor transistor

Номер патента: US6150276A. Автор: Gary Hong,Claymens Lee. Владелец: United Semiconductor Corp. Дата публикации: 2000-11-21.

Method for preventing dishing during the manufacture of semiconductor devices

Номер патента: US10373876B2. Автор: Kuan-Liang Liu,Shih-Yin Hsiao. Владелец: United Microelectronics Corp. Дата публикации: 2019-08-06.

Method for preventing dishing during the manufacture of semiconductor devices

Номер патента: US20180233416A1. Автор: Kuan-Liang Liu,Shih-Yin Hsiao. Владелец: United Microelectronics Corp. Дата публикации: 2018-08-16.

High electron mobility transistor and method for fabricating the same

Номер патента: US20240234559A1. Автор: Kuo-Yu Liao,Sheng-Yuan Hsueh,Chien-Liang Wu,Kuo-Hsing Lee. Владелец: United Microelectronics Corp. Дата публикации: 2024-07-11.

Systems and methods for fabricating FinFETs with different threshold voltages

Номер патента: US12027522B2. Автор: Chi-Wen Liu,Chao-Hsiung Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-02.

Top-down method for fabricating nanowire device

Номер патента: US10304926B2. Автор: Ming Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-05-28.

Semiconductor device with multiple threshold voltages

Номер патента: US20200098569A1. Автор: Ekmini Anuja De Silva,Praveen Joseph,Indira Seshadri. Владелец: International Business Machines Corp. Дата публикации: 2020-03-26.

Semiconductor device with multiple threshold voltages

Номер патента: US20200098570A1. Автор: Ekmini Anuja De Silva,Praveen Joseph,Indira Seshadri. Владелец: International Business Machines Corp. Дата публикации: 2020-03-26.

Method for making semiconductor device

Номер патента: US20230352347A1. Автор: Guang Yang,Chin-Chun Huang,Wen Yi Tan,Jinjian Ouyang,Linshan Yuan,Yuchun Guo. Владелец: United Semiconductor Xiamen Co Ltd. Дата публикации: 2023-11-02.

Method for fabricating a semiconductor component

Номер патента: US20050118816A1. Автор: Franz Hirler,Markus Zundel. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2005-06-02.

Fabrication method for single and dual gate spacers on a semiconductor device

Номер патента: US20070015324A1. Автор: Chih-Cheng Wang,Chao-Hsi Chung,Chu-Chun Hu. Владелец: Promos Technologies Inc. Дата публикации: 2007-01-18.

Method for fabricating avalanche photodiode

Номер патента: US20020001911A1. Автор: Seung-Kee Yang,Dong-Soo Bang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-01-03.

Semiconductor device structures including energy barriers, and related methods

Номер патента: US20150035082A1. Автор: Chandra V. Mouli. Владелец: Micron Technology Inc. Дата публикации: 2015-02-05.

Technique for fabricating logic elements using multiple gate layers

Номер патента: WO2004006338A1. Автор: Nima Mokhlesi,Jeffrey Lutze. Владелец: SanDisk Corporation. Дата публикации: 2004-01-15.

Semiconductor device with energy-removable layer

Номер патента: US20240178288A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-05-30.

Technique for fabricating logic elements using multiple gate layers

Номер патента: EP1520302A1. Автор: Nima Mokhlesi,Jeffrey Lutze. Владелец: SanDisk Corp. Дата публикации: 2005-04-06.

Vertical semiconductor device and method for fabricating the same

Номер патента: US11690225B2. Автор: Jin-Ha Kim. Владелец: SK hynix Inc. Дата публикации: 2023-06-27.

A system and method for fabricating diodes

Номер патента: WO2004100225A3. Автор: Ying Gao,Stanislav Soloviev,T S Sudarshan. Владелец: T S Sudarshan. Дата публикации: 2005-04-21.

Semiconductor device and method for fabricating the same

Номер патента: US20160268311A1. Автор: Chia-Fu Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2016-09-15.

Method for fabricating semiconductor device

Номер патента: US20130323908A1. Автор: Chun-Yen Chen,Hung-Hsien Chang,Wei-Hua Fang,Chine-Li WANG,Yung-Chin Yen. Владелец: United Microelectronics Corp. Дата публикации: 2013-12-05.

Method for forming buried word line in semiconductor device

Номер патента: US20110027988A1. Автор: Sun-Hwan Hwang,Se-Aug Jang,Kee-Joon Oh,Soon-Young Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-02-03.

Method for fabricating a semiconductor device

Номер патента: US20200098637A1. Автор: Tae Gyu Kang,Sang-Il Choi,Seong Gi Jeon,Hee Seok Nho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-03-26.

Method for Fabricating Array-Molded Package-on-Package

Номер патента: US20140030851A1. Автор: Mark A. Gerber,David N. Walter. Владелец: Texas Instruments Inc. Дата публикации: 2014-01-30.

Method for Fabricating Semiconductor Elements

Номер патента: US20090298233A1. Автор: Chin-Ti Chen. Владелец: Powertech Technology Inc. Дата публикации: 2009-12-03.

Method for fabricating semiconductor device

Номер патента: US7521305B2. Автор: Zing-Way Pei,Cha-Hsin Lin,Shing-Chii Lu,Ming-Jinn Tsai. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2009-04-21.

Hybrid orientation substrate and method for fabrication thereof

Номер патента: US20090029531A1. Автор: Haining S. Yang,Judson R. Holt,Henry K. Utomo. Владелец: International Business Machines Corp. Дата публикации: 2009-01-29.

Method for fabrication of floating gate in semiconductor device

Номер патента: US20090176320A1. Автор: Jin-Ho Kim,Ki-Min Lee. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-07-09.

Exposure Mask and Method for Fabricating Semiconductor Device Using the Same

Номер патента: US20080153277A1. Автор: Yong Soon Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-06-26.

Method for manufacturing semiconductor device

Номер патента: US20060141757A1. Автор: Kang Choi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-06-29.

Method for fabricating semiconductor device

Номер патента: US20020106816A1. Автор: Yoshihiro Mori,Yasutoshi Okuno,Akihiko Tsuzumitani. Владелец: Individual. Дата публикации: 2002-08-08.

Metal line of semiconductor device and method for fabricating the same

Номер патента: US20020058401A1. Автор: CHANG Kim. Владелец: LG Semicon Co Ltd. Дата публикации: 2002-05-16.

Fabricating Semiconductor Device

Номер патента: US20080048324A1. Автор: Ji Ho Hong. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-02-28.

Method for fabricating semiconductor devices

Номер патента: US20020197858A1. Автор: Tsai-Sen Lin,Chon-Shin Jou,Chieh-Ju Chang,Lung-Yu Yen. Владелец: Mosel Vitelic Inc. Дата публикации: 2002-12-26.

Method for fabricating multiple work function layers

Номер патента: US20240170279A1. Автор: Jian Wang,Wenzhan ZHOU,Hongzhu ZHENG,Yunqing DAI. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-05-23.

Method for fabricating a gate mask of a semiconductor device

Номер патента: US7309627B2. Автор: Osamu Kato. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2007-12-18.

Method for fabricating a gate mask of a semiconductor device

Номер патента: US20060014341A1. Автор: Osamu Kato. Владелец: Individual. Дата публикации: 2006-01-19.

Method for fabricating a gate dielectric layer and for fabricating a gate structure

Номер патента: US20120276731A1. Автор: Yi Nan Chen,Hsien Wen Liu,Kuo Hui Su. Владелец: Nanya Technology Corp. Дата публикации: 2012-11-01.

Semiconductor device and method for fabricating the same

Номер патента: US20030025153A1. Автор: Sug Chun. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2003-02-06.

Semiconductor device and method for fabricating the same

Номер патента: US20180269212A1. Автор: Su Xing,Wanxun He. Владелец: United Microelectronics Corp. Дата публикации: 2018-09-20.

Method for fabricating recess pattern in semiconductor device

Номер патента: US7862991B2. Автор: Yong-Soon Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-01-04.

Semiconductor devices having stressor regions and related fabrication methods

Номер патента: US20110303954A1. Автор: Bin Yang,Man Fai NG. Владелец: Globalfoundries Inc. Дата публикации: 2011-12-15.

Semiconductor devices having encapsulated stressor regions and related fabrication methods

Номер патента: US20120193686A1. Автор: Jan Hoentschel,Stefan Flachowsky. Владелец: Globalfoundries Inc. Дата публикации: 2012-08-02.

Asymmetric finfet in memory device, method of fabricating same and semiconductor device

Номер патента: US20200273863A1. Автор: Rongfu ZHU,Dingyou LIN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2020-08-27.

Method for fabricating a semiconductor device

Номер патента: US20040013867A1. Автор: MARK Martin. Владелец: Individual. Дата публикации: 2004-01-22.

Method for fabricating fully depleted silicon-on-insulator pmos devices

Номер патента: US20240186402A1. Автор: LIAN Lu,Xiangguo Meng,Siyuan CHE. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-06-06.

Semiconductor device and method for fabricating the same

Номер патента: US20130015508A1. Автор: Wen-Yueh Jang. Владелец: Winbond Electronics Corp. Дата публикации: 2013-01-17.

Semiconductor device and method for fabricating the same

Номер патента: US20220085164A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2022-03-17.

Semiconductor device and method for fabricating the same

Номер патента: US11631738B2. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2023-04-18.

Methods for normalizing strain in a semiconductor device

Номер патента: SG155836A1. Автор: Tan Chung Foong,TEO Lee Wee,Quek Kiok Boone Elgin,Alain Chan. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2009-10-29.

Method for fabricating thin-film semiconductor device for display

Номер патента: US20130071972A1. Автор: Hisao Nagai,Kenichirou Nishida. Владелец: Panasonic Corp. Дата публикации: 2013-03-21.

Thin film transistor substrate, electronic apparatus, and methods for fabricating the same

Номер патента: US20100019243A1. Автор: Ming-Wei Sun,Chih-Wei Chao. Владелец: AU OPTRONICS CORP. Дата публикации: 2010-01-28.

Thin film transistor substrate, electronic apparatus, and methods for fabricating the same

Номер патента: US20100176402A1. Автор: Ming-Wei Sun,Chih-Wei Chao. Владелец: AU OPTRONICS CORP. Дата публикации: 2010-07-15.

Semiconductor device and method for fabricating the same

Номер патента: US20210104528A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-04-08.

Semiconductor device and method for fabricating the same

Номер патента: US20240145594A1. Автор: Po-Yu YANG. Владелец: United Microelectronics Corp. Дата публикации: 2024-05-02.

Method for fabricating a semiconductor device and the same

Номер патента: US20210288052A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-09-16.

Method for fabricating semiconductor device

Номер патента: US20130230989A1. Автор: Tzu-Yu Tseng,An-Chi Liu,Chi-Heng Lin,Chih-Wen Teng. Владелец: United Microelectronics Corp. Дата публикации: 2013-09-05.

Method for fabricating semiconductor device

Номер патента: US20130217193A1. Автор: Wen-Yueh Jang. Владелец: Winbond Electronics Corp. Дата публикации: 2013-08-22.

Semiconductor devices and methods for fabricating the same

Номер патента: US20050139933A1. Автор: Young-Hun Seo. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Method of making semiconductor devices

Номер патента: US7347228B2. Автор: Wen-Yi Hsieh,Zing-Way Pei,Cha-Hsin Lin,Shing-Chii Lu. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2008-03-25.

Method of making semiconductor devices

Номер патента: US7033899B2. Автор: Wen-Yi Hsieh,Zing-Way Pei,Cha-Hsin Lin,Shing-Chii Lu. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2006-04-25.

Flat Panel Display Device with Oxide Thin Film Transistors and Method for Fabricating the Same

Номер патента: US20150079732A1. Автор: Ji Eun Chae,Tae Keun Lee. Владелец: LG Display Co Ltd. Дата публикации: 2015-03-19.

Method for fabricating a semiconductor component having at least one transistor cell and an edge cell

Номер патента: US20030232476A1. Автор: Hans Weber. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2003-12-18.

Method of making semiconductor devices

Номер патента: US20060040479A1. Автор: Wen-Yi Hsieh,Zing-Way Pei,Cha-Hsin Lin,Shing-Chii Lu. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2006-02-23.

Method of making semiconductor devices

Номер патента: US20060094135A1. Автор: Wen-Yi Hsieh,Zing-Way Pei,Cha-Hsin Lin,Shing-Chii Lu. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2006-05-04.

Method of fabricating memory cell in semiconductor device

Номер патента: US20050189581A1. Автор: Tae Park. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-09-01.

Method for fabricating a semiconductor component having at least one transistor cell and an edge cell

Номер патента: US6833298B2. Автор: Hans Weber. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2004-12-21.

Nonvolatile memory and methods for manufacturing the same with molecule-engineered tunneling barriers

Номер патента: US20100246269A1. Автор: Tuo-Hung Hou,Edwin C. Kan. Владелец: CORNELL UNIVERSITY. Дата публикации: 2010-09-30.

Thin-film transistor and method for making the smae

Номер патента: US20010028057A1. Автор: Tsutomu Tanaka,Hisao Hayashi,Masahiro Fujino. Владелец: Sony Corp. Дата публикации: 2001-10-11.

Semiconductor device and method for fabricating the same

Номер патента: US20060157780A1. Автор: Satoe Miyata,Shuji Mizokuchi. Владелец: Individual. Дата публикации: 2006-07-20.

Method for fabricating a semiconductor device and apparatus for inspecting a semiconductor

Номер патента: WO2005086211A1. Автор: Yasunobu Tagusa. Владелец: SHARP KABUSHIKI KAISHA. Дата публикации: 2005-09-15.

Method for fabricating electrode and semiconductor device including the same

Номер патента: US20240234148A1. Автор: Cha Deok Dong,Jeong Myeong Kim,Keo Rock CHOI. Владелец: SK hynix Inc. Дата публикации: 2024-07-11.

Methods for forming shallow junctions in semiconductor wafers

Номер патента: EP1019952A1. Автор: Daniel F. Downey. Владелец: Varian Semiconductor Equipment Associates Inc. Дата публикации: 2000-07-19.

Methods for fabricating integrated circuits with stressed semiconductor material

Номер патента: US20140017903A1. Автор: Abhijeet Paul,Abner Bello. Владелец: Globalfoundries Inc. Дата публикации: 2014-01-16.

Systems and methods for microwave-radiation annealing

Номер патента: US20150206808A1. Автор: Chao-Hsiung Wang,Chun-Hsiung Tsai,Zi-Wei FANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-07-23.

Methods for forming ultrashallow junctions with low sheet resistance

Номер патента: WO2002086953A1. Автор: Daniel F. Downey. Владелец: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.. Дата публикации: 2002-10-31.

Method for manufacturing quantum computing semiconductor device

Номер патента: EP4190742A1. Автор: Hiroshi Takeno,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-06-07.

Method and system for an improved power distribution network for use with a semiconductor device

Номер патента: US20060087024A1. Автор: Eiichi Hosomi. Владелец: Individual. Дата публикации: 2006-04-27.

Method and device for reconstructing a position of semiconductor devices on a wafer

Номер патента: US20230376850A1. Автор: Frank Schmidt,Andreas Steimer. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2023-11-23.

Method for fabricating polycide dual gate in semiconductor device

Номер патента: US20010006832A1. Автор: Jong Bae,Ji Park,Dong Sohn. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2001-07-05.

Semiconductor device and method for manufacturing the same

Номер патента: US20150056730A1. Автор: Myung cheol Yoo,Sang Don Lee,Se Jong Oh,Kyu Sung Hwang,Moo Keun Park. Владелец: VERTICLE Inc. Дата публикации: 2015-02-26.

Protective structures for bond wires, methods for forming same, and test apparatus including such structures

Номер патента: US20020031847A1. Автор: Salman Akram. Владелец: Individual. Дата публикации: 2002-03-14.

Fuse box and method for fabricating the same and method for repairing the same in semiconductor device

Номер патента: US20090039463A1. Автор: Jeong-Kyu KANG. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-02-12.

Method for forming gate oxide layer in semiconductor device

Номер патента: US20050142770A1. Автор: Yong Choi. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Method and system supporting production of a semiconductor device using a plurality of fabrication processes

Номер патента: EP2056338A3. Автор: Masood Syaed. Владелец: Broadcom Corp. Дата публикации: 2013-03-06.

Method for fabricating semiconductor device

Номер патента: US20170069503A1. Автор: Kazuyuki Higashi,Mika Fujii,Kazumichi Tsumura,Takashi Shirono. Владелец: Toshiba Corp. Дата публикации: 2017-03-09.

Method and apparatus for providing mask in semiconductor processing

Номер патента: WO2007136515A1. Автор: Jonathan Kim,Camelia Rusu,Yoojin Kim. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2007-11-29.

Method for fabricating semiconductor device

Номер патента: US20240213023A1. Автор: Chan Hwang,Seung Yoon Lee,Jeong Jin Lee,Doo Gyu LEE,Min-Cheol KWAK. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-27.

Method for fabricating semiconductor device using tilted etch process

Номер патента: US20220076959A1. Автор: Huan-Yung Yeh. Владелец: Nanya Technology Corp. Дата публикации: 2022-03-10.

Semiconductor device including nonvolatile memory and method for fabricating the same

Номер патента: US7282413B2. Автор: Masataka Takebuchi,Fumitaka Arai. Владелец: Toshiba Corp. Дата публикации: 2007-10-16.

Semiconductor device including nonvolatile memory and method for fabricating the same

Номер патента: US20090283815A1. Автор: Masataka Takebuchi,Fumitaka Arai. Владелец: Toshiba Corp. Дата публикации: 2009-11-19.

Method for forming fine patterns in semiconductor device

Номер патента: US20030186547A1. Автор: YOON Hyun,Cha Koh. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-10-02.

Semiconductor device and method for fabricating the same

Номер патента: US20230215855A1. Автор: Chien-Ting Lin,Chih-Kai Hsu,Ssu-I Fu,Yu-Hsiang Lin,Shih-Hung Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2023-07-06.

Package structure and method for fabricating same

Номер патента: US20240047437A1. Автор: Mingxing ZUO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-08.

Method for fabricating semiconductor device

Номер патента: US7220638B2. Автор: Osamu Yamaguchi,Kouichi Tani. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2007-05-22.

Semiconductor device with composite conductive features and method for fabricating the same

Номер патента: US20230299023A1. Автор: Teng-Yen Huang. Владелец: Nanya Technology Corp. Дата публикации: 2023-09-21.

Semiconductor device with composite conductive features and method for fabricating the same

Номер патента: US20240274554A1. Автор: Teng-Yen Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-08-15.

Semiconductor device with thermal release layer and method for fabricating the same

Номер патента: US20220165639A1. Автор: Jar-Ming Ho. Владелец: Nanya Technology Corp. Дата публикации: 2022-05-26.

Method for fabricating capacitor of semiconductor device

Номер патента: US7666738B2. Автор: Dong-Woo Shin,Jin-woong Kim,Jong-Min Lee,Hyung-Bok Choi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-02-23.

Method for fabricating semiconductor apparatus using board frame

Номер патента: US6948239B2. Автор: Takahiro Oka. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2005-09-27.

Semiconductor device with composite conductive features and method for fabricating the same

Номер патента: US20240250047A1. Автор: Teng-Yen Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-25.

Methods for fabricating semiconductor devices

Номер патента: US20100311242A1. Автор: Jongwook Kye,Yunfei Deng. Владелец: Globalfoundries Inc. Дата публикации: 2010-12-09.

Semiconductor device with alignment marks and method for fabricating the same

Номер патента: US12094833B2. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-09-17.

Method for fabricating semiconductor device

Номер патента: US20080157290A1. Автор: Eunjong SHIN. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-07-03.

Method for fabricating semiconductor device using tilted etch process

Номер патента: US20220139716A1. Автор: Huan-Yung Yeh. Владелец: Nanya Technology Corp. Дата публикации: 2022-05-05.

Method for fabricating semiconductor device

Номер патента: US20040185671A1. Автор: Seong-Wook Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-09-23.

Method for fabricating semiconductor device

Номер патента: US20230064701A1. Автор: Tsung-Hsun Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2023-03-02.

A method of fabricating a semiconductor device

Номер патента: MY151464A. Автор: Lee Hing Wah,Daniel Bien Chia SHENG,Rozina Abdul Rani,Mohd Ismahadi Syono. Владелец: MIMOS BERHAD. Дата публикации: 2014-05-30.

Method for Fabricating Semiconductor Device

Номер патента: US20100248434A1. Автор: Jin-Ki Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-09-30.

Method for fabricating semiconductor device

Номер патента: US20120244713A1. Автор: Sung Koo Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-09-27.

Semiconductor device and method for fabricating the same

Номер патента: US20240243124A1. Автор: Chun-Hsien Lin,Shih-Min Lu,Chih-Wei Yang,Yao-Jhan Wang,Chi-Sheng Tseng. Владелец: United Microelectronics Corp. Дата публикации: 2024-07-18.

Method for fabricating semiconductor device

Номер патента: US20110207305A1. Автор: Jin-Ki Jung. Владелец: Individual. Дата публикации: 2011-08-25.

Method for fabricating semiconductor device

Номер патента: EP4236662A1. Автор: Yi-Wen Chen,Yu-Hsiang Lin,Jia-Rong Wu,Hung-Yi Wu,Kun-Sheng Yang. Владелец: United Microelectronics Corp. Дата публикации: 2023-08-30.

Method for fabricating a fine pattern in a semiconductor device

Номер патента: US20080003834A1. Автор: Jae-Young Lee,Sung-Kwon Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-01-03.

Method for fabricating semiconductor device

Номер патента: US20120108073A1. Автор: Hae-Jung Lee,Eun-Mi Kim,Kyung-Bo Ko. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-05-03.

Method for fabricating semiconductor device

Номер патента: US8283240B2. Автор: Ken Nakata,Akira Furuya,Isao Makabe,Keiichi Yui,Takamitsu Kitamura. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2012-10-09.

Semiconductor device and method for fabricating the same

Номер патента: US10672772B2. Автор: Hun Lee,Deok-Sin Kil,Beom-Yong Kim. Владелец: SK hynix Inc. Дата публикации: 2020-06-02.

Method for fabricating a capacitor

Номер патента: US20020052090A1. Автор: Tae-Woo Jung,Hean-Cheol Lee. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2002-05-02.

Method for fabricating semiconductor device

Номер патента: US20070117390A1. Автор: Ki-Won Nam. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-05-24.

Method for fabricating semiconductor device

Номер патента: US20110159694A1. Автор: Young-Bang Lee,Ok-Min Moon. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-06-30.

Method for fabricating semiconductor device

Номер патента: US20110269304A1. Автор: Kwon Hong,Gyu-Hyun Kim,Cha-deok Dong. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-11-03.

Method for fabricating capacitor of semiconductor device

Номер патента: US20020061622A1. Автор: Young Chen. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-05-23.

Method for fabricating semiconductor device

Номер патента: US20120003820A1. Автор: Ken Nakata,Akira Furuya,Isao Makabe,Keiichi Yui,Takamitsu Kitamura. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2012-01-05.

Semiconductor package and method for fabricating base for semiconductor package

Номер патента: EP4235762A3. Автор: Wen-Sung Hsu,Ta-Jen Yu,Tzu-Hung Lin,Andrew C. Chang. Владелец: MediaTek Inc. Дата публикации: 2023-09-20.

Semiconductor device fabricating method

Номер патента: US20090017608A1. Автор: Kenji Tateiwa. Владелец: Individual. Дата публикации: 2009-01-15.

Semiconductor device and method for fabricating the same

Номер патента: US7602055B2. Автор: Yoshitaka Aiba,Keiji Nosaka. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2009-10-13.

Semiconductor device and method for fabricating the same

Номер патента: US20070134843A1. Автор: Yoshitaka Aiba,Keiji Nosaka. Владелец: Fujitsu Ltd. Дата публикации: 2007-06-14.

Semiconductor device and method for manufacturing the same

Номер патента: US11600493B2. Автор: YU HUANG,Fulong Qiao,Pengkai Xu,Wenyan Sun. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2023-03-07.

Method for fabricating capacitor

Номер патента: US20070202687A1. Автор: Ky-Hyun Han. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-08-30.

Board frame, method for fabricating thereof and method for fabricating semiconductor apparatus

Номер патента: US20030082855A1. Автор: Takahiro Oka. Владелец: Individual. Дата публикации: 2003-05-01.

Method for fabricating multiple thickness insulator layers

Номер патента: US6916674B2. Автор: Pang-Shiu Chen,Chee-Wee Liu,Buo-Chin Hsu. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2005-07-12.

Fuse part in semiconductor device and method for forming the same

Номер патента: US20120088361A1. Автор: Byung-Duk LEE. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-04-12.

Fuse part in semiconductor device and method for forming the same

Номер патента: US20090243033A1. Автор: Byung-Duk LEE. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-10-01.

Apparatus for fabricating ingot and method for fabricating ingot

Номер патента: WO2013019027A3. Автор: Bum Sup Kim,Chang Hyun Son. Владелец: LG INNOTEK CO., LTD.. Дата публикации: 2013-04-11.

Flip-chip packaging substrate and method for fabricating the same

Номер патента: US20190348375A1. Автор: Shih-Ping Hsu,Pao-Hung Chou,Tung-Yao Kuo,Chun-Hsien Yu. Владелец: Phoenix Pioneer Technology Co Ltd. Дата публикации: 2019-11-14.

Electronic package module and method for fabrication of the same

Номер патента: US20240266236A1. Автор: Li-Cheng Shen,Chao-Hsuan Wang. Владелец: Usi Science And Technology Shenzhen Co Ltd. Дата публикации: 2024-08-08.

Method for removing mottled etch in semiconductor fabricating process

Номер патента: US7276452B2. Автор: Hyung Seok Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-10-02.

Method for fabricating well-aligned zinc oxide microrods and nanorods and application thereof

Номер патента: US20140065766A1. Автор: Ching-Fuh Lin,Hua-Long Su. Владелец: National Taiwan University NTU. Дата публикации: 2014-03-06.

Method for fabricating flip-attached an underfilled semiconductor devices

Номер патента: US20060214314A1. Автор: Masazumi Amagai,Masako Watanabe. Владелец: Texas Instruments Inc. Дата публикации: 2006-09-28.

Method for Etching Features in a Layer in a Substrate

Номер патента: US20240234158A1. Автор: Yusuke Yoshida,Ken Kobayashi,Yun Han,Aelan Mosden,Jason MARION,Indroneil Roy. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-07-11.

Fabrication method and apparatus for fabricating a spatial structure in a semiconductor substrate

Номер патента: US20030082883A1. Автор: Wolfgang Welser. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2003-05-01.

Method for fabricating electronic package

Номер патента: US20210175196A1. Автор: Chun-Chi Ke,Hsin-Yi Liao,Cheng-Kai Chang,Bo-Hao Ma. Владелец: Siliconware Precision Industries Co Ltd. Дата публикации: 2021-06-10.

Semiconductor device with leakage current guide path and method for fabricating the same

Номер патента: US12100733B2. Автор: Wei-Zhong Li,Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2024-09-24.

Method for fabrication of semiconductor device

Номер патента: US12062722B2. Автор: Shunpei Yamazaki,Masahiro Takahashi,Tatsuya Honda,Takehisa Hatano. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2024-08-13.

Spherical semiconductor device and method for fabricating the same

Номер патента: US20020011665A1. Автор: Kenji Shimokawa,Kohei Tatsumi,Nobuo Takeda,Eiji Hashino,Atsuyuki Fukano. Владелец: Individual. Дата публикации: 2002-01-31.

Quantum dot of single electron memory device and method for fabricating thereof

Номер патента: US20030054624A1. Автор: Il-Gweon Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-03-20.

Semiconductor device and method for driving the same

Номер патента: US20140124778A1. Автор: Hideki Uochi,Koichiro Kamata. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2014-05-08.

Semiconductor device and method for driving the same

Номер патента: US20170025172A1. Автор: Hideki Uochi,Koichiro Kamata. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-01-26.

Materials and structures for optical and electrical iii-nitride semiconductor devices and methods

Номер патента: US20210296516A1. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2021-09-23.

Materials and structures for optical and electrical III-nitride semiconductor devices and methods

Номер патента: US11631775B2. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2023-04-18.

Detection and Reduction of Dielectric Breakdown in Semiconductor Devices

Номер патента: US20080211500A1. Автор: Masayasu Miyata,William A. Goddard,Jamil Tahir-Kheli. Владелец: Individual. Дата публикации: 2008-09-04.

Method for fabricating semiconductor device and method for operating the same

Номер патента: US20170186948A1. Автор: Kyung-Wan KIM. Владелец: SK hynix Inc. Дата публикации: 2017-06-29.

Method for detecting EPI induced buried layer shifts in semiconductor devices

Номер патента: US20060038553A1. Автор: Xiaoju Wu,Qingfeng Wang,Xinfen Chen,John Arch. Владелец: Texas Instruments Inc. Дата публикации: 2006-02-23.

Pad arrangement in semiconductor memory device and method of driving semiconductor device

Номер патента: US20040256641A1. Автор: Jung-Bae Lee,Mee-Hyun Ahn. Владелец: Individual. Дата публикации: 2004-12-23.

Method for fabricating semiconductor device, and method for fabricating display device

Номер патента: US20130089933A1. Автор: Katsuyuki Suga. Владелец: Individual. Дата публикации: 2013-04-11.

Method for the fabrication of bonding solder layers on metal bumps with improved coplanarity

Номер патента: US20130052817A1. Автор: Tim Hsiao. Владелец: WIN Semiconductors Corp. Дата публикации: 2013-02-28.

Systems and methods for determining measurement location in semiconductor wafer metrology

Номер патента: WO2022071981A1. Автор: Asaf Granot. Владелец: KLA Corporation. Дата публикации: 2022-04-07.

Systems and methods for determining measurement location in semiconductor wafer metrology

Номер патента: EP4200899A1. Автор: Asaf Granot. Владелец: KLA Corp. Дата публикации: 2023-06-28.

Method of fabricating capacitor for semiconductor device

Номер патента: US6190993B1. Автор: Byung Jae Choi,Soo Jin Seo. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2001-02-20.

Method for fabricating semiconductor device

Номер патента: US20220310626A1. Автор: Zhongming Liu,Longyang Chen,Hongfa Wu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-09-29.

Semiconductor device with protection structure and air gaps and method for fabricating the same

Номер патента: US20210327823A1. Автор: Teng-Yen Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-10-21.

Method for fabricating semiconductor device with protection structure and air gaps

Номер патента: US20210358862A1. Автор: Teng-Yen Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-11-18.

Semiconductor device and method for fabricating the same

Номер патента: US20240072097A1. Автор: Shih-Hung Tsai,Po-Kuang Hsieh. Владелец: United Microelectronics Corp. Дата публикации: 2024-02-29.

Semiconductor device and method for fabricating the same

Номер патента: US20210043634A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-02-11.

Semiconductor device with programmable structure and method for fabricating the same

Номер патента: US20230269935A1. Автор: Wei-Zhong Li,Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2023-08-24.

Inductor for semiconductor device and method for fabricating the same

Номер патента: US20100164060A1. Автор: Ji-Houn Jung. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2010-07-01.

Semiconductor device with alignment marks and method for fabricating the same

Номер патента: US11756893B2. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2023-09-12.

Architectures Enabling Back Contact Bottom Electrodes For Semiconductor Devices

Номер патента: US20190074393A1. Автор: Venkat Selvamanickam. Владелец: UNIVERSITY OF HOUSTON SYSTEM. Дата публикации: 2019-03-07.

Image sensor and method for fabricating the same

Номер патента: US20080315271A1. Автор: In Cheol Baek,Kyung Min Park,Han Choon Lee,Sun Chan LEE. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-12-25.

Method for forming inductor in semiconductor device

Номер патента: US20050037589A1. Автор: Young Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-02-17.

Semiconductor device with alignment marks and method for fabricating the same

Номер патента: US12094834B2. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-09-17.

Method for fabricating semiconductor device

Номер патента: US20060141734A1. Автор: Jae Lee. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2006-06-29.

Method for fabricating semiconductor device

Номер патента: US7338855B2. Автор: Jae Suk Lee. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2008-03-04.

Semiconductor device and method for fabrication thereof

Номер патента: EP1223622A3. Автор: Kazuaki c/o FUJITSU LIMITED KURIHARA,Kenji c/o Fujitsu Limited Maruyama. Владелец: Fujitsu Ltd. Дата публикации: 2004-07-28.

Semiconductor device and method for fabricating the same

Номер патента: US12027490B2. Автор: Alexander Heinrich,Thorsten Scharf,Stefan Schwab,Richard Knipper. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2024-07-02.

Method for fabricating semiconductor device

Номер патента: US20130115748A1. Автор: Su-Young Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2013-05-09.

Semiconductor device and method for fabricating the same

Номер патента: US20210305316A1. Автор: Yu-Ping Wang,Rai-Min Huang,Jia-Rong Wu,Ya-Huei Tsai,I-Fan Chang. Владелец: United Microelectronics Corp. Дата публикации: 2021-09-30.

Method for fabricating a semiconductor device

Номер патента: EP4355064A2. Автор: Yu-Ping Wang,Rai-Min Huang,Jia-Rong Wu,Ya-Huei Tsai,I-Fan Chang. Владелец: United Microelectronics Corp. Дата публикации: 2024-04-17.

Semiconductor device with interface structure and method for fabricating the same

Номер патента: US11751334B2. Автор: Chun-Huang Yu. Владелец: Nanya Technology Corp. Дата публикации: 2023-09-05.

Semiconductor device and method for fabricating the same

Номер патента: US12041784B2. Автор: Chun-Hsien Lin,Shih-Hung Tsai,Hon-Huei Liu. Владелец: United Microelectronics Corp. Дата публикации: 2024-07-16.

Method for fabricating a semiconductor device

Номер патента: EP4355064A3. Автор: Yu-Ping Wang,Rai-Min Huang,Jia-Rong Wu,Ya-Huei Tsai,I-Fan Chang. Владелец: United Microelectronics Corp. Дата публикации: 2024-07-17.

Semiconductor device fabrication method and semiconductor device

Номер патента: US20080173980A1. Автор: Yoshitaka Nakamura,Tomohiro Uno. Владелец: Elpida Memory Inc. Дата публикации: 2008-07-24.

Semiconductor device and method for fabricating the same

Номер патента: US12082396B2. Автор: Kun Young Lee,Seo Hyun Kim. Владелец: SK hynix Inc. Дата публикации: 2024-09-03.

Semiconductor device and method for setting voltage in semiconductor device

Номер патента: US20160291628A1. Автор: Makoto Suwada. Владелец: Fujitsu Ltd. Дата публикации: 2016-10-06.

Vertical semiconductor device and fabrication method thereof

Номер патента: US20210335800A1. Автор: Sung-Hoon Lee,Jong-Hyun Yoo,Ki-Jun Yun,Eun-Ho Kim,Eun-Joo Jung. Владелец: SK hynix Inc. Дата публикации: 2021-10-28.

Semiconductor device and method for making the same

Номер патента: US20020050650A1. Автор: Atsushi Kobayashi,Makoto Inai,Masaaki Sueyoshi,Masaaki Kanae. Владелец: Murata Manufacturing Co Ltd. Дата публикации: 2002-05-02.

Electronic device and method for fabricating the same, spiral inductor device and method for fabricating the same

Номер патента: EP2416358A3. Автор: Ja-Hao Chen. Владелец: Richwave Technology Corp. Дата публикации: 2013-09-25.

Fabricating method for quantum dot of active layer of LED by nano-lithography

Номер патента: US20090087935A1. Автор: Ming-Nung Lin. Владелец: Individual. Дата публикации: 2009-04-02.

Method for fabricating solid-state image pickup device using charged-coupled devices

Номер патента: WO2006080595A1. Автор: Kyung-sik Kim. Владелец: International Display Solutions Co., Ltd.. Дата публикации: 2006-08-03.

Capacitor for semiconductor device and method for fabricating the same

Номер патента: US20090127655A1. Автор: Seung-Min Lee. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-05-21.

Method for fabricating display panel, display panel and display device

Номер патента: US20210050561A1. Автор: Tao Wang. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2021-02-18.

Semiconductor device and method for fabricating the same

Номер патента: US11728329B2. Автор: Sang Yun Nam. Владелец: SK hynix Inc. Дата публикации: 2023-08-15.

Semiconductor device and method for fabricating the same

Номер патента: US12051689B2. Автор: Sang Yun Nam. Владелец: SK hynix Inc. Дата публикации: 2024-07-30.

Phase shift mask with enhanced resolution and method for fabricating the same

Номер патента: US8257886B2. Автор: Sang Jin Jo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-09-04.

Phase Shift Mask with Enhanced Resolution and Method for Fabricating the Same

Номер патента: US20100233588A1. Автор: Sang Jin Jo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-09-16.

A method for fabricating a high performance pin focal plane structure using three handle wafers

Номер патента: EP1935024B1. Автор: Andrew G. Toth,Christopher L.. Fletcher. Владелец: Raytheon Co. Дата публикации: 2012-05-16.

Semiconductor device having test pattern for measuring epitaxial pattern shift and method for fabricating the same

Номер патента: US20080149926A1. Автор: Chang Eun Lee. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-06-26.

Resin-encapsulation semiconductor device and method for fabricating the same

Номер патента: US20030015775A1. Автор: Toru Nomura,Masanori Minamio. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2003-01-23.

Systems and methods for reducing contact to gate shorts

Номер патента: US20080150049A1. Автор: Nadia Rahhal-Orabi. Владелец: Intel Corp. Дата публикации: 2008-06-26.

Systems and methods for reducing contact to gate shorts

Номер патента: US20100022079A1. Автор: Nadia Rahhal-Orabi. Владелец: Individual. Дата публикации: 2010-01-28.

Systems and methods for reducing contact to gate shorts

Номер патента: US20110136314A1. Автор: Nadia Rahhal-Orabi. Владелец: Individual. Дата публикации: 2011-06-09.

Method for fabricating defect free silicon mold insert

Номер патента: US20140353277A1. Автор: Chong-Ming Lee,Chung-Hua Lee. Владелец: Greencore Technology Co Ltd. Дата публикации: 2014-12-04.

Semiconductor device and method for fabrication

Номер патента: US20200135803A1. Автор: Baohua Niu,Da-Shou Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-30.

Method and System for Modeling in Semiconductor Fabrication

Номер патента: US20110054819A1. Автор: Chun-Hsien Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2011-03-03.

Method for fabricating flexible electrical devices

Номер патента: US20130267061A1. Автор: Chyi-Ming Leu,Yung-Lung Tseng,Chi-Fu Tseng,Hsueh-Yi Liao. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2013-10-10.

Display panel and method for fabricating the same

Номер патента: US9136500B2. Автор: Min-Chuan Wu,Ming-Hung Chung,Chun-pin Liu,Jion-Ting WU. Владелец: Hannstar Display Corp. Дата публикации: 2015-09-15.

Capped semiconductor based sensor and method for its fabrication

Номер патента: SE545446C2. Автор: Stephan Schroder. Владелец: SENSEAIR AB. Дата публикации: 2023-09-12.

Capped semiconductor based sensor and method for its fabrication

Номер патента: SE2151590A1. Автор: Stephan Schroder. Владелец: SENSEAIR AB. Дата публикации: 2023-06-23.

Semiconductor device and method for fabricating the same

Номер патента: US20010019864A1. Автор: Osamu Tsuboi. Владелец: Fujitsu Ltd. Дата публикации: 2001-09-06.

Low profile reinforced package-on-package semiconductor device

Номер патента: WO2016100304A1. Автор: Dong Wook Kim,Hong Bok We,Young Kyu Song,Kyu-Pyung Hwang. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2016-06-23.

Method for fabricating organic light emitting diode display

Номер патента: US20200227638A1. Автор: Jing Wang,San ZHU. Владелец: Wuhan China Star Optoelectronics Semiconductor Display Technology Co Ltd. Дата публикации: 2020-07-16.

Display panel and method for fabricating the same

Номер патента: US20140252329A1. Автор: Min-Chuan Wu,Ming-Hung Chung,Chun-pin Liu,Jion-Ting WU. Владелец: Hannstar Display Corp. Дата публикации: 2014-09-11.

Array substrate, method for fabricating the same and liquid crystal display device

Номер патента: US20120292624A1. Автор: Yang Sun,YUTING ZHANG,Jing LV. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2012-11-22.

Structure and method for power field effect transistor

Номер патента: US8361839B1. Автор: Sreenivasan K. Koduri. Владелец: Texas Instruments Inc. Дата публикации: 2013-01-29.

Structure and method for power field effect transistor

Номер патента: US20130034936A1. Автор: Sreenivasan K. Koduri. Владелец: Texas Instruments Inc. Дата публикации: 2013-02-07.

Chip id applying method suitable for use in semiconductor integrated circuit

Номер патента: US20110189798A1. Автор: Shigenari Aoki. Владелец: Oki Semiconductor Co Ltd. Дата публикации: 2011-08-04.

Method for fabricating a micro resistance layer and method for fabricating a micro resistor

Номер патента: US20230207164A1. Автор: Shen-Li Hsiao,Chih-Wei Chi. Владелец: Yageo Corp. Дата публикации: 2023-06-29.

Method for fabricating a magneto-optic modulator

Номер патента: US6500498B1. Автор: Carol M. Ford,Randy J. Ramberg. Владелец: Honeywell International Inc. Дата публикации: 2002-12-31.

Methods for fabrication of intercalated lithium batteries

Номер патента: US20170005359A1. Автор: Erik K. Koep. Владелец: Individual. Дата публикации: 2017-01-05.

Method for Manufacturing PCB, Display Module and Method for Fabricating Display Module

Номер патента: US20120252301A1. Автор: Chien-Hung Chen,Wen-Hsin Lin,Ching-Kun Lai. Владелец: AU OPTRONICS CORP. Дата публикации: 2012-10-04.

Transient wavelength drift reduction in semiconductor lasers

Номер патента: WO2016116565A1. Автор: Thomas Pfeiffer,Romain Brenot,Hélène Debregeas,Jean-Guy Provost. Владелец: ALCATEL LUCENT. Дата публикации: 2016-07-28.

Method for fabricating three-dimensional photonic crystal

Номер патента: US20090092368A1. Автор: Akinari Takagi,Kazuya Nobayashi,Kiyokatsu Ikemoto,Hikaru Hoshi. Владелец: Canon Inc. Дата публикации: 2009-04-09.

Field emission array with carbon nanotubes and method for fabricating the field emission array

Номер патента: US20020011769A1. Автор: Yong-wan Jin,Won-bong Choi,Min-jae Yun. Владелец: Samsung SDI Co Ltd. Дата публикации: 2002-01-31.

System and method for fabricating electrode film for secondary battery

Номер патента: US20230028154A1. Автор: Sangwook HAN,Hannah Song,Kyeong Wi Park. Владелец: Kia Corp. Дата публикации: 2023-01-26.

Method for fabricating a throughput-scalable analytical system for molecule detection and sensing

Номер патента: US20210296380A1. Автор: MEI Yan. Владелец: Genesense Technology Inc. Дата публикации: 2021-09-23.

Methods for fabricating a vertical cavity surface emitting laser

Номер патента: EP4420203A1. Автор: Shuji Nakamura,Steven P. DenBaars,Srinivas GANDROTHULA. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2024-08-28.

Systems and methods for injection molded phase shifter

Номер патента: US20140104130A1. Автор: Jeffrey Alexander. Владелец: Honeywell International Inc. Дата публикации: 2014-04-17.

Method for fabricating a permanent magnetic structure in a substrate

Номер патента: US20020124384A1. Автор: Svetlana Reznik,Edward Furlani,Bryan Beaman. Владелец: Eastman Kodak Co. Дата публикации: 2002-09-12.

Method for fabrication of nanostructure

Номер патента: US20220117905A1. Автор: Mohammad E Khosroshahi,Vaughn Woll-Morison,Tiam Mohmedi. Владелец: MIS Electronics Inc. Дата публикации: 2022-04-21.

Method for transfer between communications systems and related device

Номер патента: US11979782B2. Автор: Hui Jin,Fenghui DOU,Zhenrong WEI. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-05-07.

Device and method for bit-interweaving

Номер патента: US8098633B2. Автор: Focai Peng,Cuihong Han. Владелец: ZTE Corp. Дата публикации: 2012-01-17.

Method for Transfer Between Communications Systems and Related Device

Номер патента: US20220150778A1. Автор: Hui Jin,Fenghui DOU,Zhenrong WEI. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2022-05-12.

Method for fabricating semiconductor device

Номер патента: US20020045305A1. Автор: Ki Lee. Владелец: Individual. Дата публикации: 2002-04-18.

Semiconductor device having an expanded storage node contact and method for fabricating the same

Номер патента: US20100109075A1. Автор: Tae O Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-05-06.

Semiconductor device having an expanded storage node contact and method for fabricating the same

Номер патента: US20110076835A1. Автор: Tae O. Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-03-31.

Semiconductor device and method for fabricating the same

Номер патента: US20240237562A1. Автор: Cha Deok Dong,Keo Rock CHOI. Владелец: SK hynix Inc. Дата публикации: 2024-07-11.

Method for fabricating split gate flash memory device

Номер патента: US20050142698A1. Автор: Sang Hun Oh. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Semiconductor device and method for fabricating the same

Номер патента: US12089508B2. Автор: Hung-Chan Lin. Владелец: United Microelectronics Corp. Дата публикации: 2024-09-10.

Method for fabricating split gate flash memory device

Номер патента: US7166511B2. Автор: Sang Hun Oh. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-01-23.

Semiconductor device and method for fabricating the same

Номер патента: US20240215216A1. Автор: Kang Sik Choi,Seung Hwan Kim. Владелец: SK hynix Inc. Дата публикации: 2024-06-27.

Method for fabricating semiconductor device

Номер патента: US20070020848A1. Автор: Nobuyoshi Takahashi. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2007-01-25.

Semiconductor device and method for fabricating the same

Номер патента: US11744160B2. Автор: Ching-Wen Hung,Yu-Ping Wang. Владелец: United Microelectronics Corp. Дата публикации: 2023-08-29.

Method for fabricating a pixel structur of organic electroluminescent display

Номер патента: US20080124821A1. Автор: Pei-Lin Huang,Chien-Chang Tseng,Chiu-Yen Su. Владелец: Chunghwa Picture Tubes Ltd. Дата публикации: 2008-05-29.

Semiconductor device and method for fabricating the same

Номер патента: US20240315050A1. Автор: Che-Wei Chang,Hui-Lin WANG,Chen-Yi Weng,Ching-Hua Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2024-09-19.

Method for fabricating selector and semiconductor device including the same

Номер патента: US20240237559A1. Автор: Cha Deok Dong,Keo Rock CHOI. Владелец: SK hynix Inc. Дата публикации: 2024-07-11.

Vertical semiconductor device and fabrication method thereof

Номер патента: US20240049464A1. Автор: Sung-Hoon Lee,Jong-Hyun Yoo,Ki-Jun Yun,Eun-Ho Kim,Eun-Joo Jung. Владелец: SK hynix Inc. Дата публикации: 2024-02-08.

Method for manufacturing a semiconductor device

Номер патента: US6146945A. Автор: Jun Osanai. Владелец: Seiko Instruments Inc. Дата публикации: 2000-11-14.

Method for fabricating embedded dynamic random access memory

Номер патента: US20020061610A1. Автор: Der-Yuan Wu,Sun-Chieh Chien,Le-Tien Jung,Ling-Yuk Tsang. Владелец: United Microelectronics Corp. Дата публикации: 2002-05-23.

Ion Thruster and Method for Fabrication Thereof

Номер патента: US20220341404A1. Автор: Lei Zhang,Yongwei Zhang,Wendong Zhang,Qiulin Tan. Владелец: NORTH UNIVERSITY OF CHINA. Дата публикации: 2022-10-27.

Method for fabricating memory cells for a memory device

Номер патента: US20060046317A1. Автор: Rainer Bruchhaus,Martin Gutsche. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2006-03-02.

Semiconductor device having an electrostatically-bounded active region

Номер патента: US20240284806A1. Автор: Pavel ASEEV,Sebastian Heedt,Gijsbertus DE LANGE. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2024-08-22.

[mthod for fabricating piezoelectric workpiece with augmenting surface electrode]

Номер патента: US20040187281A1. Автор: Chih-Kung Lee,Yu-Hsiang Hsu,Wen-Hsin Hsiao,Wen-Jong Wu. Владелец: Individual. Дата публикации: 2004-09-30.

Method for fabricating multilayer circuit board, circuit plate, and method for fabricating the circuit plate

Номер патента: US20090126975A1. Автор: Masayoshi Kondo. Владелец: Sumitomo Bakelite Co Ltd. Дата публикации: 2009-05-21.

Mask ROM, method for fabricating the same, and method for coding the same

Номер патента: US20060194394A1. Автор: Heung Kim. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2006-08-31.

Method for fabricating a semiconductor device

Номер патента: US20020110981A1. Автор: Hirofumi Watatani. Владелец: Fujitsu Ltd. Дата публикации: 2002-08-15.

Method for fabricating a semiconductor device

Номер патента: US20020019086A1. Автор: Hirofumi Watatani. Владелец: Fujitsu Ltd. Дата публикации: 2002-02-14.

Mask ROM, method for fabricating the same, and method for coding the same

Номер патента: US7645672B2. Автор: Heung Jin Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2010-01-12.

Method for improved storage node isolation

Номер патента: US6124173A. Автор: Fernando Gonzalez,David Y. Kao. Владелец: Micron Technology Inc. Дата публикации: 2000-09-26.

Method for fabricating wiring board and an apparatus for fabricating wiring board

Номер патента: US7583870B2. Автор: Kenji Yanagisawa. Владелец: Shinko Electric Industries Co Ltd. Дата публикации: 2009-09-01.

Method for fabricating wiring board and an apparatus for fabricating wiring board

Номер патента: US20070266546A1. Автор: Kenji Yanagisawa. Владелец: Shinko Electric Industries Co Ltd. Дата публикации: 2007-11-22.

Eeprom with erasing gate adjacent floating gate and control gate

Номер патента: US20010045592A1. Автор: Kenji Ueda,Kyoko Egashira. Владелец: Individual. Дата публикации: 2001-11-29.

Method for reducing particles and defects during flash memory fabrication

Номер патента: US20030181008A1. Автор: Kent Chang,Weng-Hsing Huang. Владелец: Macronix International Co Ltd. Дата публикации: 2003-09-25.

Static random access memory and method for fabricating the same

Номер патента: US20240284651A1. Автор: Chia-Chen Sun. Владелец: United Microelectronics Corp. Дата публикации: 2024-08-22.

Method for quality monitoring of additive manufacturing processes

Номер патента: US11941568B2. Автор: Mark I. NORFOLK,Adam J. HEHR. Владелец: Fabrisonic LLC. Дата публикации: 2024-03-26.

Method for controlling a steering system with two redundant power units

Номер патента: US11952058B2. Автор: Stephane Cassar,Bruno Collerais,Giovanni Dallara. Владелец: JTEKT Europe SAS. Дата публикации: 2024-04-09.

method for detecting membrane leakage in a membrane filter device, and recess plate for a membrane filter device

Номер патента: BR112014011037A2. Автор: Kilgus Michael. Владелец: OUTOTEC OYJ. Дата публикации: 2017-04-25.

Carbon-containing moldings and a method for fabrication thereof

Номер патента: RU2246530C1. Автор: . Владелец: Лурий Валерий Григорьевич. Дата публикации: 2005-02-20.

Method for fabricating semiconductor device

Номер патента: US20100240198A1. Автор: Mitsunori Yokoyama. Владелец: Sumitomo Electric Device Innovations Inc. Дата публикации: 2010-09-23.

Method for fabrication of a molecular filter and apparatus formed by the same

Номер патента: US20020173015A1. Автор: Axel Scherer. Владелец: California Institute of Technology CalTech. Дата публикации: 2002-11-21.

Method for fabrication of a molecular filter and apparatus formed by the same

Номер патента: WO2002092497A3. Автор: Axel Scherer. Владелец: Axel Scherer. Дата публикации: 2003-07-24.

Method for fabrication of a molecular filter and apparatus formed by the same

Номер патента: WO2002092497A2. Автор: Axel Scherer. Владелец: California Institute of Technology. Дата публикации: 2002-11-21.

Method for testing memory cell in semiconductor device

Номер патента: US6556493B2. Автор: Tae-Kyu Kim,Yoon-Soo Jang,Young-Seon You,Mun-Hwa Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-04-29.

Refresh method capable of reducing memory cell access time in semiconductor memory device

Номер патента: US20020141269A1. Автор: Jong-Yul Park,Seong-kue Jo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-10-03.

Digital printing and finishing method for fabrics and the like

Номер патента: US12043046B2. Автор: Luigi Milini. Владелец: Dover Europe Sàrl. Дата публикации: 2024-07-23.

Method for fabricating energy plastic masterbatch and plastic product derived therefrom

Номер патента: MY155859A. Автор: XU Wenji,Lee Kwok Sing. Владелец: South China Reborn Resources Zhongshan Co Ltd. Дата публикации: 2015-12-15.

Device leakage current model and method for extracting the same

Номер патента: US20240232468A1. Автор: Yuanyuan Wu,Qingwen Wang,Ganbing SHANG. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-07-11.

Mask and method for fabricating the same

Номер патента: US20220113621A1. Автор: Chi-Ming Tsai,Chi-Ta Lu,Jia-Guei Jou,Huang-Ming Wu,Jiun-Hao Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-04-14.

Method for forming pattern and method for fabricating LCD device using the same

Номер патента: US20070148603A1. Автор: Jae Oh,Hye Lee. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2007-06-28.

Fabricating method for micro gas sensor and the same

Номер патента: WO2009084871A1. Автор: Seong Dong Kim,Kwang Bum Park,Min Ho Lee,Joon Shik Park. Владелец: KOREA ELECTRONICS TECHNOLOGY INSTITUTE. Дата публикации: 2009-07-09.

Structural building panels, apparatus and method for fabricating structural building panels

Номер патента: US20070204557A1. Автор: Robert Timbrook. Владелец: Individual. Дата публикации: 2007-09-06.

One-piece personal wear and method for fabricating the same

Номер патента: US20220000194A1. Автор: Yi-Chen Lin. Владелец: Mackent Group Co Ltd. Дата публикации: 2022-01-06.

Device for fabrication of fibers, 3d printer comprising the device and method for fabrication of fibers

Номер патента: EP4375067A1. Автор: Leonid Ionov,Alla Synytska. Владелец: Biovature GmbH. Дата публикации: 2024-05-29.

Device for fabrication of fibers, 3d printer comprising the device and method for fabrication of fibers

Номер патента: WO2024110502A1. Автор: Leonid Ionov,Synytska ALLA. Владелец: Biovature GmbH. Дата публикации: 2024-05-30.

Method for fabricating a patterned core for a light guide plate

Номер патента: US20040248049A1. Автор: Kun-Jung Tsai. Владелец: Individual. Дата публикации: 2004-12-09.

Method for detecting resistive-open defects in semiconductor memories

Номер патента: EP1738375A1. Автор: Mohamed Azimane. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2007-01-03.

Method for detecting resistive-open defects in semiconductor memories

Номер патента: US20050216799A1. Автор: Mohamed Azimane. Владелец: Individual. Дата публикации: 2005-09-29.

Method for detecting resistive-open defects in semiconductor memories

Номер патента: EP1738375B1. Автор: Mohamed c/o NXP Semiconductors AZIMANE. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2008-05-21.

Fabricating method for sleeve-type ornament of display device

Номер патента: US20100283170A1. Автор: Wen-Hung Huang. Владелец: Hannspree Inc. Дата публикации: 2010-11-11.

Method for fabrication of optical fibre soot preform

Номер патента: US20210047226A1. Автор: Anand Pandey,Badri Gomatam,Sandeep Gaikwad. Владелец: Sterlite Technologies Ltd. Дата публикации: 2021-02-18.

3d network-structured silicon-containing preploymer and method for fabricating the same

Номер патента: US20150252194A1. Автор: Shih-Hong Chu,Hung-Hsuan Cheng. Владелец: UNICON OPTICAL CO Ltd. Дата публикации: 2015-09-10.

3d network-structured silicon-containing prepolymer and method for fabricating the same

Номер патента: US20150309212A1. Автор: Shih-Hong Chu,Hung-Hsuan Cheng. Владелец: UNICON OPTICAL CO Ltd. Дата публикации: 2015-10-29.

Optical fiber mirror and method for fabricating the same

Номер патента: US20020034370A1. Автор: Duck Kim,Young Yook. Владелец: GWANGJU INSTITUTE OF SCIENCE AND TECHNOLOGY. Дата публикации: 2002-03-21.

System and method for fabrication of a three-dimensional edible product

Номер патента: CA3181152A1. Автор: Daniel Dikovsky,Daniel Mandelik,Sagee SCHACHTER,Gur Shapira,Eyal Comforti. Владелец: Redefine Meat Ltd. Дата публикации: 2022-04-21.

System and method for fabrication of a three-dimensional edible product

Номер патента: EP4228440A1. Автор: Daniel Dikovsky,Daniel Mandelik,Sagee SCHACHTER,Gur Shapira,Eyal Comforti. Владелец: Redefine Meat Ltd. Дата публикации: 2023-08-23.

Method for fabricating a solid surface countertop in a mobile fabrication unit

Номер патента: US5915748A. Автор: Paul C. Dubuc. Владелец: Individual. Дата публикации: 1999-06-29.

System and method for fabrication of a three-dimensional edible product

Номер патента: IL278059B. Автор: . Владелец: Redefine Meat Ltd. Дата публикации: 2021-12-01.

Method for fabricating composite pressure vessels and products fabricated by the method

Номер патента: EP1112170A1. Автор: James C. Murphy,Gerald S. Boyce,Erik Coeckelbergs. Владелец: Essef Corp. Дата публикации: 2001-07-04.

Method for fabricating composite pressure vessels and products fabricated by the method

Номер патента: AU5924499A. Автор: James C. Murphy,Gerald S. Boyce,Erik Coeckelbergs. Владелец: Essef Corp. Дата публикации: 2000-04-03.

Method for fabricating a heat pipe, and instrument of the method

Номер патента: US20130042477A1. Автор: Wei-Cheng Chou. Владелец: Wistron Corp. Дата публикации: 2013-02-21.

Method for generating instructions for fabricating a garment

Номер патента: US20230298273A1. Автор: William Wilcox. Владелец: Clothing Tech LLC. Дата публикации: 2023-09-21.

Method for fabricating lcd

Номер патента: US20130095430A1. Автор: Jun Wang. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2013-04-18.

System and method for fabricating a dental tray

Номер патента: EP4360587A3. Автор: Rune Fisker. Владелец: 3Shape AS. Дата публикации: 2024-07-03.

Method for fabricating multi-colored ball, and method for fabricating display device

Номер патента: US20030071379A1. Автор: Mitsuo Ozaki,Naoyuki Hayashi,Norio Sawatari,Shino Tokuyo. Владелец: Fujitsu Ltd. Дата публикации: 2003-04-17.

Color-changing pre-treatment stain removers and methods for fabricating the same

Номер патента: WO2011071661A2. Автор: Joan M. Bergstrom,Danielle S. Shapiro. Владелец: The Dial Corporation. Дата публикации: 2011-06-16.

Formula for fabricating skin treatment product and forming method thereof

Номер патента: US20070243152A1. Автор: Chien-Chang Wang,Chao-An Chen. Владелец: Individual. Дата публикации: 2007-10-18.

Method for fabrication of a multivariate optical element

Номер патента: GB201313462D0. Автор: . Владелец: Halliburton Energy Services Inc. Дата публикации: 2013-09-11.

Tubular potted flower and method for fabricating the same

Номер патента: WO2002071831A1. Автор: Jeong-Bok Kim. Владелец: Kim, Kil-Sung. Дата публикации: 2002-09-19.

Methods of three-dimensional printing for fabricating a dental appliance

Номер патента: US20190336254A1. Автор: Dan Ammon,Md Abu HASAN. Владелец: Dentsply Sirona Inc. Дата публикации: 2019-11-07.

Methods of three-dimensional printing for fabricating a dental appliance

Номер патента: US20210113313A1. Автор: Dan Ammon,Md Abu HASAN. Владелец: Dentsply Sirona Inc. Дата публикации: 2021-04-22.

Methods of three-dimensional printing for fabricating a dental appliance

Номер патента: US11654005B2. Автор: Dan Ammon,Md Abu HASAN. Владелец: Dentsply Sirona Inc. Дата публикации: 2023-05-23.

Electrically conductive roller for rotogravure and method for manufacture

Номер патента: EP3478504A1. Автор: Sammy Lasseel,Dirk Vidts. Владелец: Hannecard NV. Дата публикации: 2019-05-08.

Method and apparatus for fabricating a floor plate for a building

Номер патента: US20200340234A1. Автор: Stephen T. Houston,Joseph Michael Benvenuto,Aleksei Ivanikiw. Владелец: Big Time Investment LLC. Дата публикации: 2020-10-29.

Method and apparatus for fabricating a floor plate for a building

Номер патента: CA3137713A1. Автор: Stephen T. Houston,Joseph Michael Benvenuto,Aleksei Ivanikiw. Владелец: Big Time Investment LLC. Дата публикации: 2020-10-29.

System and method for preparing hollow core cranial remodeling orthoses

Номер патента: CA3094419A1. Автор: Jason Shane GOODNOUGH. Владелец: Headstart Medical Ltd. Дата публикации: 2019-09-26.

System and method for preparing hollow core cranial remodeling orthoses

Номер патента: AU2019239794B2. Автор: Jason Shane GOODNOUGH. Владелец: Headstart Medical Ltd. Дата публикации: 2021-09-09.

System and method for preparing hollow core cranial remodeling orthoses

Номер патента: US20210322200A1. Автор: Jason Shane GOODNOUGH. Владелец: Headstart Medical Ltd. Дата публикации: 2021-10-21.

Systems and methods for flexibly optimizing processing circuit efficiency

Номер патента: WO2016060931A1. Автор: Veerbhan Kheterpal,Daniel Firu,Nigel Drego. Владелец: 21, Inc.. Дата публикации: 2016-04-21.

Method for fabricating anisotropic polymer particles

Номер патента: US20150091210A1. Автор: Mu-Huan CHI,Jiun-Tai CHEN,Yi-Huei KAO. Владелец: National Chiao Tung University NCTU. Дата публикации: 2015-04-02.

Methods for tissue fabrication

Номер патента: US12037603B2. Автор: Sharon C. Presnell,Deborah Lynn Greene NGUYEN,Shelby Marie KING,Kelsey Nicole RETTING. Владелец: Organovo Inc. Дата публикации: 2024-07-16.

Method for making a frame member including a locking strip groove for tensioning a screen

Номер патента: US9403356B2. Автор: John O. H. Niswonger. Владелец: Individual. Дата публикации: 2016-08-02.

Wooden rail for a ride as well as a method for fabricating and mounting such a wooden rail

Номер патента: US20010003261A1. Автор: Werner Stengel. Владелец: Individual. Дата публикации: 2001-06-14.

Wooden rail for a ride as well as a method for fabricating and mounting such a wooden rail

Номер патента: US6550393B2. Автор: Werner Stengel. Владелец: Individual. Дата публикации: 2003-04-22.

Aligning method for use in semiconductor inspection apparatus

Номер патента: US20190171328A1. Автор: Chien-Hung Chen,Yung-Chin LIU,Guan-Jhih Liou,Lin-Lin Chih. Владелец: MPI Corp. Дата публикации: 2019-06-06.

Improved methods for tissue fabrication

Номер патента: EP3374495A1. Автор: Sharon C. Presnell,Deborah Lynn Greene NGUYEN,Shelby Marie KING,Kelsey Nicole RETTING. Владелец: Organovo Inc. Дата публикации: 2018-09-19.

Transportation method for a semiconductor device and transportation route selection method for a semiconductor device

Номер патента: US20010053617A1. Автор: Hiroo Shoji. Владелец: Sony Corp. Дата публикации: 2001-12-20.

Methods for fabricating laminated structures using rubber tire parts

Номер патента: US20010032695A1. Автор: Joseph Coffin. Владелец: Individual. Дата публикации: 2001-10-25.

System and method for the fabrication of nanodiamond particles

Номер патента: US20230077672A1. Автор: Daniel Hodes. Владелец: Individual. Дата публикации: 2023-03-16.

Devices and methods for a finfet sense amplifier

Номер патента: US20240203462A1. Автор: Wenjun Li,Christopher G. Wieduwilt. Владелец: Micron Technology Inc. Дата публикации: 2024-06-20.

Method and apparatus for fabricating casings intended to accommodate electric equipment

Номер патента: EP1724053B1. Автор: Roberto Dal Santo,Giorgio Nadalin Zanon. Владелец: Hager Lumetal Spa. Дата публикации: 2008-03-19.

Systems and methods for designing and fabricating mass-customized products

Номер патента: US20240057696A1. Автор: Huafeng Wen,Ashley Wen. Владелец: Individual. Дата публикации: 2024-02-22.

Method for fabricating ceramic articles containing organic compounds

Номер патента: WO2005005338A3. Автор: Tudor C Gheorghiu,John H Brennan,Gregory P Dillon,Michael J Vayansky. Владелец: Michael J Vayansky. Дата публикации: 2005-06-23.

Method for fabrication of glass preform

Номер патента: US11912604B2. Автор: Anand Pandey,Badri Gomatam,Sandeep Gaikwad. Владелец: Sterlite Technologies Ltd. Дата публикации: 2024-02-27.

Oriented polymer fibers and methods for fabricating thereof

Номер патента: WO2005096744A9. Автор: King-Ning Tu,Yuhuan Xu,Benjamin M Wu,Michael V Sofroniew. Владелец: Michael V Sofroniew. Дата публикации: 2005-12-15.

Method for duplicating a denture

Номер патента: US20180333236A1. Автор: Ahmad Maniallah H. ALTHOBITY. Владелец: Imam Abdulrahman Bin Faisal University. Дата публикации: 2018-11-22.

System and method for fabricating heat transfer device

Номер патента: WO2011046421A1. Автор: Sae Chee Chiang Lee. Владелец: Hai-O Energy (M) Sdn. Bhd.. Дата публикации: 2011-04-21.

Corner compensation method for fabricating MEMS and structure thereof

Номер патента: US7180144B2. Автор: Jerwei Hsieh,Weileun Fang. Владелец: WALSIN LIHWA CORP. Дата публикации: 2007-02-20.

Porous material and method for fabricating same

Номер патента: CA2627895A1. Автор: Daniel Morrissette,Patrick Croteau. Владелец: Individual. Дата публикации: 2007-05-10.

System and method for bending crystal wafers for use in high resolution analyzers

Номер патента: US12062465B2. Автор: Jung Ho Kim,Ayman H. Said,Thomas Gog,Emily K. Aran. Владелец: UChicago Argonne LLC. Дата публикации: 2024-08-13.

Method for fabrication of glass preform

Номер патента: US20210047223A1. Автор: Anand Pandey,Badri Gomatam,Sandeep Gaikwad. Владелец: Sterlite Technologies Ltd. Дата публикации: 2021-02-18.

Sputtering targets and methods for fabricating sputtering targets having multiple materials

Номер патента: SG178737A1. Автор: Wenjun Zhang. Владелец: Heraeus Inc. Дата публикации: 2012-03-29.

System and method for the fabrication of nanodiamond particles

Номер патента: US11608272B1. Автор: Daniel Hodes. Владелец: Individual. Дата публикации: 2023-03-21.

Flexible pressure sensor array and method for fabricating the same

Номер патента: US11740143B2. Автор: Li Fu,Tao Xu,Yam Chong. Владелец: Nano and Advanced Materials Institute Ltd. Дата публикации: 2023-08-29.

Method and apparatus for fabricating waveguides and waveguides fabricated therefrom

Номер патента: EP1540392A1. Автор: Ernest Wayne Balch,Min-Yi Shih,Leonard Richard Douglas. Владелец: General Electric Co. Дата публикации: 2005-06-15.

Apparatus and method for determining the spatial relationship between two surfaces

Номер патента: WO2003081169A1. Автор: John Cameron. Владелец: Orcam Engineering Limited. Дата публикации: 2003-10-02.

Apparatus and method for fabricating layered periodic media

Номер патента: US20020196399A1. Автор: Victor Kopp,Peter Shibayev. Владелец: Chiral Photonics Inc. Дата публикации: 2002-12-26.

Method for fabricating a blazed grating

Номер патента: US20240241296A1. Автор: Ismo Vartiainen. Владелец: DISPELIX OY. Дата публикации: 2024-07-18.

System and method for the fabrication of nanodiamond particles

Номер патента: US20240190708A1. Автор: Daniel Hodes. Владелец: Individual. Дата публикации: 2024-06-13.

Corner compensation method for fabricating MEMS and structure thereof

Номер патента: US6949396B2. Автор: Jerwei Hsieh,Weileun Fang. Владелец: WALSIN LIHWA CORP. Дата публикации: 2005-09-27.

Selective metamaterial absorber and method for fabricating the same

Номер патента: US20230330631A1. Автор: Liping Wang,Sydney Taylor,Jui-Yung Chang. Владелец: Arizona State University ASU. Дата публикации: 2023-10-19.

Tools and methods for fabrication of thermoplastic panels

Номер патента: US20240316839A1. Автор: Ozlem Turkarslan,Feride Nur Sasal. Владелец: Boeing Co. Дата публикации: 2024-09-26.