반도체 소자의 층간절연막 형성 방법

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method of forming a passivation layer of a semiconductor device

Номер патента: US20070161254A1. Автор: Tae Young Lee. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-12.

Method for forming gate oxide layer in semiconductor device

Номер патента: US20050142770A1. Автор: Yong Choi. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Method for forming semiconductor structure and semiconductor structure

Номер патента: US12094723B2. Автор: Yuejiao Shu,Ming-Pu Tsai. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-17.

Method for manufacturing gate in semiconductor device

Номер патента: US20020001934A1. Автор: Hai Won Kim,Kwang Joo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-01-03.

Method of forming isolation layer for semiconductor device

Номер патента: US5913133A. Автор: Byung Seok Lee. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1999-06-15.

Method for forming an oxynitride layer

Номер патента: US20030077915A1. Автор: Chia-Lin Ku,Yung-Hsien Wu. Владелец: Promos Technologies Inc. Дата публикации: 2003-04-24.

Semiconductor structure and method for forming same

Номер патента: EP4152393A1. Автор: Peimeng WANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-03-22.

Semiconductor dielectric structure and method for making the same

Номер патента: US20010023989A1. Автор: Milind Weling,Rao Annapragada. Владелец: Philips Electronics North America Corp. Дата публикации: 2001-09-27.

Semiconductor device including porous semiconductor material adjacent an isolation structure

Номер патента: EP4404269A1. Автор: Shesh Mani Pandey,Rajendran Krishnasamy. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-07-24.

Method for forming semiconductor device structure with gate

Номер патента: US20160190013A1. Автор: Po-Chi WU,Chai-Wei Chang,Wen-Han Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-06-30.

Semiconductor device with isolating layer on side and bottom surfaces

Номер патента: US9583565B2. Автор: Martin Poelzl. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2017-02-28.

Semiconductor device with isolating layer on side and bottom surfaces

Номер патента: US20150357408A1. Автор: Martin Poelzl. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2015-12-10.

Method of forming isolation film of semiconductor device

Номер патента: US20040127035A1. Автор: Sung Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-07-01.

Semiconductor device and fabrication method thereof

Номер патента: US20190172754A1. Автор: Cheng Long ZHANG. Владелец: SMIC Advanced Technology R&D Shanghai Corp. Дата публикации: 2019-06-06.

Method for forming multi-layer wiring structure

Номер патента: US20030073303A1. Автор: Hiroyuki Iida,Yoshio Hagiwara,Atsushi Matsushita,Kazuto Ohbuchi. Владелец: Tokyo Ohka Kogyo Co Ltd. Дата публикации: 2003-04-17.

Method for forming low dielectric constant layer

Номер патента: US20020132494A1. Автор: Wen-Yi Hsieh. Владелец: United Microelectronics Corp. Дата публикации: 2002-09-19.

Method for forming an inter-metal dielectric layer

Номер патента: US20010019883A1. Автор: Water Lur,Wen-Yi Hsieh,Chih-Chien Liu,Cheng-Yuan Tsai. Владелец: Individual. Дата публикации: 2001-09-06.

Semiconductor device and method for fabricating the same

Номер патента: US20070148938A1. Автор: Sang-Yeop Han,Seung-A Shin. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-06-28.

Method for forming a nitridized interface on a semiconductor substrate

Номер патента: US20010044222A1. Автор: Jonathan Taylor,David Jendresky. Владелец: Individual. Дата публикации: 2001-11-22.

Semiconductor device structure with fine boron nitride spacer patterns and method for forming the same

Номер патента: US20220037155A1. Автор: Pei-Cheng Fan. Владелец: Nanya Technology Corp. Дата публикации: 2022-02-03.

Method for fabricating isolation layer using fluid dielectric layer in semiconductor device

Номер патента: KR100881135B1. Автор: 은병수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-02-02.

METHOD FOR FORMING DOPED METAL OXIDE FILMS ON A SUBSTRATE BY CYCLICAL DEPOSITION AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер патента: US20200118817A1. Автор: BLOMBERG Tom,Zhu Chiyu. Владелец: . Дата публикации: 2020-04-16.

Method for improving continuity of work function thin film

Номер патента: US20230290634A1. Автор: Yanxia Hao. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2023-09-14.

Method for forming interlayer insulating film in semiconductor device

Номер патента: US8048802B2. Автор: Ho-Yeong Choe. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2011-11-01.

Semiconductor devices and forming methods thereof

Номер патента: US20200388543A1. Автор: Nan Wang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2020-12-10.

Method for forming isolation layer and method for fabricating nonvolatile memory device using the same

Номер патента: US20100203702A1. Автор: Young-Kwang Choi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-08-12.

Method for manufacturing semiconductor structure and semiconductor structure

Номер патента: US12051615B2. Автор: Mengzhu QIAO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-30.

Method of fabricating capacitor in semiconductor device and semiconductor device using the same

Номер патента: US20050287758A1. Автор: Jea Kim. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-12-29.

Semiconductor structure and method for forming semiconductor structure

Номер патента: US20230386892A1. Автор: Ning Xi,Shijie BAI,Peimeng WANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-11-30.

Method of making a semiconductor device using multiple layer sets

Номер патента: US20140167227A1. Автор: Bi-Ming Yen,Tsai-Chun Li,Chun-Ming Hu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-06-19.

Semiconductor devices and fabrication methods thereof

Номер патента: US20200098765A1. Автор: Fei Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2020-03-26.

Method and apparatus for providing mask in semiconductor processing

Номер патента: WO2007136515A1. Автор: Jonathan Kim,Camelia Rusu,Yoojin Kim. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2007-11-29.

Semiconductor devices and methods for manufacturing the same

Номер патента: US20150287828A1. Автор: Miao Xu,Huilong Zhu,Qingqing Liang,Haizhou Yin. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2015-10-08.

Method for forming a capacitor of a semiconductor device

Номер патента: US20030109103A1. Автор: Kyong Kim,Ho-Jung Sun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-06-12.

Method for manufacturing semiconductor device

Номер патента: US20230298931A1. Автор: Tianyi Zhang,Hao Zou,Yan XIE. Владелец: Wuhan Xinxin Semiconductor Manufacturing Co Ltd. Дата публикации: 2023-09-21.

Method for fabricating semiconductor device

Номер патента: US20240213023A1. Автор: Chan Hwang,Seung Yoon Lee,Jeong Jin Lee,Doo Gyu LEE,Min-Cheol KWAK. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-27.

Semiconductor device and method for manufacturing semiconductor device

Номер патента: US20240234330A9. Автор: Hong-Ki Kim,Sangwoo PAK. Владелец: Power Master Semiconductor Co Ltd. Дата публикации: 2024-07-11.

Method for fabricating semiconductor device including resist flow process and film coating process

Номер патента: US20070059926A1. Автор: Jae Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-03-15.

Semiconductor structure and method for fabricating the same

Номер патента: US20230013215A1. Автор: Meng HUANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-19.

Method for forming semiconductor-on-insulator (soi) substrate

Номер патента: US20240282775A1. Автор: Chia-Shiung Tsai,Yu-Hung Cheng,Ching I Li. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-22.

Method for forming semiconductor device with low sealing loss

Номер патента: US20160141386A1. Автор: Chih-Kai Hsu,Ssu-I Fu,Yu-Hsiang Hung,Jyh-Shyang Jenq,Yen-Liang Wu. Владелец: United Microelectronics Corp. Дата публикации: 2016-05-19.

METHOD FOR FORMING DOPED METAL OXIDE FILMS ON A SUBSTRATE BY CYCLICAL DEPOSITION AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер патента: US20180286675A1. Автор: BLOMBERG Tom,Zhu Chiyu. Владелец: . Дата публикации: 2018-10-04.

Method for forming isolation regions on semiconductor device

Номер патента: US20020192961A1. Автор: Motoki Kobayashi. Владелец: Individual. Дата публикации: 2002-12-19.

Method for forming isolation regions on semiconductor device

Номер патента: US20020052118A1. Автор: Motoki Kobayashi. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2002-05-02.

Method for forming isolation regions on semiconductor device

Номер патента: US6579807B2. Автор: Motoki Kobayashi. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2003-06-17.

Selective Etching Method and Method for Forming an Isolation Structure of a Memory Device

Номер патента: US20100167494A1. Автор: Dae Jin Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-07-01.

Semiconductor device and method for manufacturing same

Номер патента: US20150364427A1. Автор: Takashi KANSAKU. Владелец: Longitude Semiconductor SARL. Дата публикации: 2015-12-17.

Method for forming semiconductor structure and semiconductor structure

Номер патента: US20220102194A1. Автор: SHANG Gao. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-03-31.

Method for forming semiconductor structure and semiconductor structure

Номер патента: US12014950B2. Автор: SHANG Gao. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-06-18.

Method for manufacturing semiconductor device

Номер патента: US12094784B2. Автор: Chung-Ting Ko,Chi On Chui,Sung-En Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-17.

Contact Isolation in Semiconductor Devices

Номер патента: US20210066116A1. Автор: Zheng Tao,Boon Teik CHAN,Waikin Li. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2021-03-04.

Contact isolation in semiconductor devices

Номер патента: US11862452B2. Автор: Zheng Tao,Boon Teik CHAN,Waikin Li. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-01-02.

Semiconductor device with flowable layer and method for fabricating the same

Номер патента: US20220013629A1. Автор: Liang-Pin Chou. Владелец: Nanya Technology Corp. Дата публикации: 2022-01-13.

Semiconductor device and semiconductor device manufacturing method

Номер патента: US20020127869A1. Автор: Taizo Oku,Junichi Aoki,Youichi Yamamoto,Takashi Koromokawa. Владелец: Individual. Дата публикации: 2002-09-12.

Multi-gate FETs and methods for forming the same

Номер патента: US10103025B2. Автор: Wen-Tai Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-10-16.

Method for fabricating finfet isolation structure

Номер патента: US20170110557A1. Автор: Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-20.

Silicon phosphide semiconductor device

Номер патента: US11749567B2. Автор: Tuoh Bin Ng,Tzu-Ching Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-05.

Method for forming a capacitor in a semiconductor device

Номер патента: US20020094657A1. Автор: Woo-Seok Yang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-07-18.

Methods for forming semiconductor devices using modified photomask layer

Номер патента: US20240222118A1. Автор: PENG Wang,Emilia Hirsch. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-07-04.

Method For Fabricating Semiconductor Structures

Номер патента: US20240172410A1. Автор: Tao DOU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-05-23.

Methods for forming semiconductor devices using modified photomask layer

Номер патента: WO2024144886A1. Автор: PENG Wang,Emilia Hirsch. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2024-07-04.

Systems and methods for porous capping layers

Номер патента: GB2625513A. Автор: Clark Andrew,Hammond Richard. Владелец: IQE plc. Дата публикации: 2024-06-26.

Semiconductor devices and methods of manufacturing the same

Номер патента: US20220223713A1. Автор: Satoru Yamada,Honglae PARK,Chunhyung Chung,Junsoo Kim,Naoto Umezawa. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-07-14.

Semiconductor device and method for manufacturing the same

Номер патента: US20120108078A1. Автор: Yasushi Nakasaki,Tsunehiro Ino. Владелец: Toshiba Corp. Дата публикации: 2012-05-03.

Semiconductor devices and methods of manufacturing the same

Номер патента: US20210134975A1. Автор: Satoru Yamada,Honglae PARK,Chunhyung Chung,Junsoo Kim,Naoto Umezawa. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-05-06.

Semiconductor device and method for manufacturing the same

Номер патента: US20070252232A1. Автор: Yasushi Nakasaki,Tsunehiro Ino. Владелец: Individual. Дата публикации: 2007-11-01.

Method for forming silicon oxide film and for manufacturing capacitor and semiconductor device

Номер патента: US20070218637A1. Автор: Yoshiko Harada,Naotada Ogura. Владелец: Yamaha Corp. Дата публикации: 2007-09-20.

Method for manufacturing semiconductor structure

Номер патента: US20230064568A1. Автор: Biao Zhang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-03-02.

Source/drain structure for semiconductor device

Номер патента: US12040384B2. Автор: LUNG Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-16.

Method for forming semiconductor structure

Номер патента: US20230070135A1. Автор: Zhe Wang,Lu Zou. Владелец: United Microelectronics Corp. Дата публикации: 2023-03-09.

Method for fabrication of floating gate in semiconductor device

Номер патента: US20090176320A1. Автор: Jin-Ho Kim,Ki-Min Lee. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-07-09.

Method for forming fine patterns in semiconductor device

Номер патента: US20030186547A1. Автор: YOON Hyun,Cha Koh. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-10-02.

Method for manufacturing junction semiconductor wafer

Номер патента: EP4421887A1. Автор: Junya Ishizaki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-08-28.

Method for Forming Super Contact in Semiconductor Device

Номер патента: US20100140806A1. Автор: Sang Chul Kim. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2010-06-10.

Method for forming semiconductor layer and method for manufacturing light emitting device

Номер патента: EP2360743A3. Автор: Sang Hoon Han,Dae Sung Kang. Владелец: LG Innotek Co Ltd. Дата публикации: 2015-11-18.

Method for forming semiconductor layer and method for manufacturing light emitting device

Номер патента: US20110195539A1. Автор: Sang Hoon Han,Dae Sung Kang. Владелец: LG Innotek Co Ltd. Дата публикации: 2011-08-11.

Method for forming semiconductor structure

Номер патента: US20200301280A1. Автор: Ching-Yu Chang,Chin-Hsiang Lin,An-Ren Zi. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-09-24.

Apparatuses including a semiconductor transistor and methods for forming same

Номер патента: US20240014289A1. Автор: Yoshikazu Moriwaki. Владелец: Micron Technology Inc. Дата публикации: 2024-01-11.

Method for forming semiconductor device having epitaxial channel layer using laser treatment

Номер патента: US20020001890A1. Автор: Jung-Ho Lee. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2002-01-03.

Semiconductor device and method

Номер патента: US20230282739A1. Автор: Po-hsun Ho. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-07.

Source/drain epitaxial structures for semiconductor devices

Номер патента: US12100626B2. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-24.

Semiconductor device with isolation structures

Номер патента: US11830773B2. Автор: Huang-Lin Chao,Pang-Yen Tsai,Pei-Wei Lee,Tsungyu Hung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-28.

Semiconductor Device With Isolation Structures

Номер патента: US20230386925A1. Автор: Huang-Lin Chao,Pang-Yen Tsai,Pei-Wei Lee,Tsungyu Hung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-30.

Method for forming strained channel pmos devices and integrated circuits therefrom

Номер патента: WO2009094376A3. Автор: Amitabh Jain. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2009-10-15.

Method for forming strained channel pmos devices and integrated circuits therefrom

Номер патента: US20090184375A1. Автор: Amitabh Jain. Владелец: Texas Instruments Inc. Дата публикации: 2009-07-23.

Method for forming strained channel pmos devices and integrated circuits therefrom

Номер патента: US20110133287A1. Автор: Amitabh Jain. Владелец: Texas Instruments Inc. Дата публикации: 2011-06-09.

Method for forming high density patterns

Номер патента: WO2009075959A1. Автор: Gurtej S. Sandhu,Ardavan Niroomand,Baosuo Zhou. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2009-06-18.

Semiconductor device and method for forming the same

Номер патента: US20240194769A1. Автор: Yu-Cheng Tung,Chia-Wei Wu. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2024-06-13.

Manufacturing method for forming insulating structure of high electron mobility transistor

Номер патента: US20210074838A1. Автор: Wen-Jung Liao,Chun-Ming Chang. Владелец: United Microelectronics Corp. Дата публикации: 2021-03-11.

Method for manufacturing semiconductor device

Номер патента: US20160049522A1. Автор: Tomoaki Moriwaka. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2016-02-18.

Semiconductor device

Номер патента: US20220416086A1. Автор: Sangmoon Lee,Jinbum Kim,Hyojin Kim,Yongjun Nam. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-12-29.

Integrated circuit device and method for forming the same

Номер патента: US12062540B2. Автор: Chih-I Wu,Jin-Bin Yang,Ya-Ting Chang,Jian-Zhi Huang,I-Chih NI. Владелец: National Taiwan University NTU. Дата публикации: 2024-08-13.

Semiconductor device and method for manufacturing same

Номер патента: US20060163668A1. Автор: Tomoko Matsuda. Владелец: NEC Electronics Corp. Дата публикации: 2006-07-27.

Systems and Methods for Forming Semiconductor Devices

Номер патента: US20150170912A1. Автор: KHALED Ahmed. Владелец: Intermolecular Inc. Дата публикации: 2015-06-18.

Method for forming semiconductor structure

Номер патента: US20220320133A1. Автор: HAO Zhang,Haifeng Guo,Xiuzhong Liu. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2022-10-06.

Method for forming an absorber layer of a thin film solar cell

Номер патента: US8569101B2. Автор: Tadahiro Kubota,Daisuke Okamura,Katustoshi Nosaki. Владелец: Honda Motor Co Ltd. Дата публикации: 2013-10-29.

Method for forming quantum dot

Номер патента: US20040053469A1. Автор: Sung-Eon Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-03-18.

Method for forming semiconductor structure

Номер патента: US20190155156A1. Автор: Ching-Yu Chang,Chin-Hsiang Lin,An-Ren Zi. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-05-23.

Method for manufacturing semiconductor structure

Номер патента: EP4199043A1. Автор: Shuai Guo. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-06-21.

Method for Manufacturing Semiconductor Structure

Номер патента: US20220319848A1. Автор: Shuai Guo. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-10-06.

Method for manufacturing semiconductor structure

Номер патента: US12046472B2. Автор: Shuai Guo. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-23.

Method for planarizing an isolating layer

Номер патента: US20030045105A1. Автор: Werner Graf,Albrecht Kieslich,Klaus Feldner,Hermann Sachse. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2003-03-06.

Method of forming capacitor in semiconductor device by using a polysilicon pattern in a trapezoid shape

Номер патента: US20040002189A1. Автор: Byung-Jun Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-01-01.

Method for stabilizing low dielectric constant materials

Номер патента: US20020115305A1. Автор: Teng-Chun Tsai,Ming-Sheng Yang,Yung-Tsung Wei,Cheng-Yuan Tsai. Владелец: Individual. Дата публикации: 2002-08-22.

Refractory metal nitride capped electrical contact and method for frabricating same

Номер патента: US20110049720A1. Автор: Sadiki Jordan. Владелец: International Rectifier Corp USA. Дата публикации: 2011-03-03.

Biased reactive refractory metal nitride capped contact of group III-V semiconductor device

Номер патента: US9484425B2. Автор: Sadiki Jordan. Владелец: Infineon Technologies North America Corp. Дата публикации: 2016-11-01.

Isolation body for semiconductor devices and method to form the same

Номер патента: US20070132034A1. Автор: Mark Bohr,Sunit Tyagi,Giuseppe Curello,Hemant Deshpande. Владелец: Intel Corp. Дата публикации: 2007-06-14.

Optoelectronic apparatuses and methods for manufacturing optoelectronic apparatuses

Номер патента: US20130207126A1. Автор: Lynn K. Wiese,Seshasayee (Sai) S. Ankireddi. Владелец: INTERSIL AMERICAS LLC. Дата публикации: 2013-08-15.

Method of interconnecting semiconductor devices and assembly of interconnected semiconductor devices

Номер патента: US20240266320A1. Автор: Yifan Guo. Владелец: Yibu Semiconductor Co Ltd. Дата публикации: 2024-08-08.

Method of Fabricating an Electrical Contact for Use on a Semiconductor Device

Номер патента: US20170047228A1. Автор: Sadiki Jordan. Владелец: Infineon Technologies North America Corp. Дата публикации: 2017-02-16.

Devices and methods for enhancing insertion loss performance of an antenna switch

Номер патента: US11855012B2. Автор: Jun-De JIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Devices and methods for enhancing insertion loss performnce of an antenna switch

Номер патента: US20240071961A1. Автор: Jun-De JIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-29.

Method for forming isolation layer in semiconductor device

Номер патента: US20030119266A1. Автор: Cheol Park. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2003-06-26.

Memory device and method for forming the same

Номер патента: US9401368B2. Автор: Yun Yang,ZHONGSHAN Hong. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-07-26.

Method for Forming Semiconductor Structure and Semiconductor Structure

Номер патента: US20220293466A1. Автор: Dongxue Zhang,Ge-Wei Lin. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-09-15.

Method for forming interlayer insulation film in semiconductor device

Номер патента: US20050142848A1. Автор: Kang Shin,Sang Ryu. Владелец: Individual. Дата публикации: 2005-06-30.

Method for forming semiconductor device

Номер патента: US20230290642A1. Автор: Yu-Jen Huang,Hsiu-Han Liao,Chu-Chun HSIEH. Владелец: Winbond Electronics Corp. Дата публикации: 2023-09-14.

Method of fabricating semiconductor device having fine contact holes

Номер патента: US20080096391A1. Автор: Joo-Young Kim,Jae-Hwang Sim,Dong-Hwa Kwak,Sung-Hyun KWON. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-04-24.

Semiconductor device and manufacturing method therefor

Номер патента: US20200006372A1. Автор: Feng Ji,Haoyu Chen,Qiwei Wang,Jinshuang Zhang. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2020-01-02.

Method for forming isolation layer of semiconductor device

Номер патента: US20020137307A1. Автор: CHANG Kim,Wan Kim. Владелец: Kim Wan Shick. Дата публикации: 2002-09-26.

Methods for forming semiconductor devices

Номер патента: US20130137234A1. Автор: Peter Baars,Matthias Goldbach. Владелец: Globalfoundries Inc. Дата публикации: 2013-05-30.

Semiconductor device and fabrication method thereof

Номер патента: US11309318B2. Автор: JISONG Jin. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2022-04-19.

Semiconductor structure and method for forming the same

Номер патента: US20220028990A1. Автор: Nan Wang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2022-01-27.

Method for forming pattern using hard mask

Номер патента: US20080160778A1. Автор: Ki-Won Nam,Ky-Hyun Han. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-07-03.

Semiconductor devices having high-quality epitaxial layer and methods of manufacturing the same

Номер патента: US20170162697A1. Автор: Huilong Zhu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2017-06-08.

Multilevel interconnection structure and method for forming the same

Номер патента: US12100652B2. Автор: Zhang-Ying YAN,Xin-Yong WANG. Владелец: TSMC Nanjing Co Ltd. Дата публикации: 2024-09-24.

Semiconductor device and fabricating method thereof

Номер патента: US20080157377A1. Автор: Cheon Man Shim. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-07-03.

Method of manufacturing a semiconductor device having a pre-metal dielectric liner

Номер патента: US7550397B2. Автор: Sung Kyung Jung. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-06-23.

Method for forming semiconductor structure and semiconductor structure

Номер патента: US12062610B2. Автор: ChihCheng LIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-08-13.

A method for forming a stacked fet device

Номер патента: EP4199057A1. Автор: Naoto Horiguchi,Boon Teik CHAN,Anne Vandooren. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-06-21.

Method of manufacturing a semiconductor device having a pre-metal dielectric liner

Номер патента: US20070148959A1. Автор: Sung Jung. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-06-28.

Methods for forming dielectric layer in forming semiconductor device

Номер патента: US12080560B2. Автор: Xiaohong Zhou,Yonggang YANG. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-09-03.

Methods for forming hole structure in semiconductor device

Номер патента: US11876016B2. Автор: Gang Yang,Jian Dai,Biao Zheng,Xiang Hui ZHAO,Zui Xin ZENG,Lianjuan Ren. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-01-16.

Semiconductor device and methods for forming the same

Номер патента: US20240274441A1. Автор: Yi-Chung Chen,Yu-Ting Huang,Wei-Nan CHUANG. Владелец: Winbond Electronics Corp. Дата публикации: 2024-08-15.

Etching methods, etching apparatus and methods for fabricating semiconductor devices

Номер патента: US20030036285A1. Автор: Takashi Kokubun. Владелец: Seiko Epson Corp. Дата публикации: 2003-02-20.

Method for forming an electrical insulating layer on bit lines of the flash memory

Номер патента: US20020175139A1. Автор: Chien-Wei Chen,Jiun-Ren Lai. Владелец: Macronix International Co Ltd. Дата публикации: 2002-11-28.

Method for forming a semiconductor device has a lengthened channel length

Номер патента: US20090124085A1. Автор: Hung-Ming Tsai,Ying Cheng CHUANG. Владелец: Nanya Technology Corp. Дата публикации: 2009-05-14.

Method for manufacturing semiconductor memory device

Номер патента: US20090305481A1. Автор: Ji Ho Hong. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-12-10.

Method for forming shallow trench in semiconductor device

Номер патента: US20050148152A1. Автор: Tse-Yao Huang,Yi-Nan Chen,Hsiu-Chun Lee. Владелец: Nanya Technology Corp. Дата публикации: 2005-07-07.

Semiconductor device and method for manufacturing the same

Номер патента: US20150056730A1. Автор: Myung cheol Yoo,Sang Don Lee,Se Jong Oh,Kyu Sung Hwang,Moo Keun Park. Владелец: VERTICLE Inc. Дата публикации: 2015-02-26.

Method for forming an interconnect pattern in a semiconductor device

Номер патента: US20020142614A1. Автор: Eisuke Kodama. Владелец: NEC Corp. Дата публикации: 2002-10-03.

Semiconductor device and method of manufacturing the same

Номер патента: US20200294985A1. Автор: Eisuke Kodama. Владелец: Renesas Electronics Corp. Дата публикации: 2020-09-17.

Source/drain structure for semiconductor devices

Номер патента: US20220367633A1. Автор: Yi-Jing Lee,Chia-Der Chang,Chao-Shuo Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-17.

Method for manufacturing semiconductor device

Номер патента: US20200144500A1. Автор: Soo Gil Kim,Joo Young MOON,Young Seok Ko. Владелец: SK hynix Inc. Дата публикации: 2020-05-07.

Method for manufacturing semiconductor device

Номер патента: US20200403155A1. Автор: Soo Gil Kim,Joo Young MOON,Young Seok Ko. Владелец: SK hynix Inc. Дата публикации: 2020-12-24.

Semiconductor device with fins

Номер патента: US11742414B2. Автор: Fei Zhou. Владелец: SMIC Advanced Technology R&D Shanghai Corp. Дата публикации: 2023-08-29.

Methods for forming fin field-effect transistors

Номер патента: US12068199B2. Автор: Chao-Cheng Chen,Ryan Chia-Jen Chen,Yih-Ann Lin,Chia Tai Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Semiconductor device and method for fabricating the same

Номер патента: US20020025662A1. Автор: Hiroyuki Umimoto,Michikazu Matsumoto,Susumu Akamatsu,Satoe Miyata. Владелец: Individual. Дата публикации: 2002-02-28.

Method for forming step channel of semiconductor device

Номер патента: US20060141800A1. Автор: Young Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-06-29.

Method for forming step channel of semiconductor device

Номер патента: US7309656B2. Автор: Young Man Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-12-18.

Method for manufacturing bonded wafer

Номер патента: US20130102126A1. Автор: Hiroji Aga,Nobuhiko Noto,Satoshi Oka. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2013-04-25.

Isolation method of semiconductor device

Номер патента: US5447885A. Автор: Hyun-Jin Cho,Oh-Hyun Kwon,Heung-mo Yang,Yun-sung Shin. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1995-09-05.

Semiconductor device and method for manufacturing semiconductor device

Номер патента: EP4404258A1. Автор: Nobutoshi Fujii. Владелец: Sony Semiconductor Solutions Corp. Дата публикации: 2024-07-24.

Resistive random access memory devices and methods for forming the same

Номер патента: US20210151504A1. Автор: Frederick Chen. Владелец: Winbond Electronics Corp. Дата публикации: 2021-05-20.

Semiconductor device and method for manufacturing the same

Номер патента: US5698891A. Автор: Hiroshi Tomita,Kikuo Yamabe,Mami Saito. Владелец: Toshiba Corp. Дата публикации: 1997-12-16.

Method for manufacturing a semiconductor device

Номер патента: US7338876B2. Автор: Kensuke Okonogi,Kiyonori Oyu. Владелец: Elpida Memory Inc. Дата публикации: 2008-03-04.

Method for forming inside nitride spacer for deep trench device DRAM cell

Номер патента: US20030064589A1. Автор: Arnd Scholz. Владелец: Individual. Дата публикации: 2003-04-03.

Methods for forming semiconductor device having uniform fin pitch

Номер патента: US20220020593A1. Автор: Min Gyu Sung. Владелец: Applied Materials Inc. Дата публикации: 2022-01-20.

Method for Forming Isolation Layer in Semiconductor Devices

Номер патента: US20070166949A1. Автор: In Kyu Chun. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-19.

Method for fabricating isolation layer in semiconductor device

Номер патента: US20040203225A1. Автор: Seung Pyi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-10-14.

Method for forming metal wires in semiconductor device

Номер патента: US20050233579A1. Автор: Ihl Cho. Владелец: Individual. Дата публикации: 2005-10-20.

Semiconductor device and method for forming the same

Номер патента: US20130115743A1. Автор: Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-05-09.

Semiconductor device structures and printed circuit boards comprising semiconductor devices

Номер патента: US20130228922A1. Автор: Mark E. Tuttle. Владелец: Micron Technology Inc. Дата публикации: 2013-09-05.

Method of forming interconnectings in semiconductor devices

Номер патента: US20020090810A1. Автор: Mario Napolitano. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2002-07-11.

Semiconductor device with air gap and method for preparing the same

Номер патента: US20240032284A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-01-25.

Method for forming an isolating trench with a dielectric material

Номер патента: US20070087516A1. Автор: Uwe Wellhausen,Henry Heidemeyer,Joern Regul. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2007-04-19.

Method for fabricating semiconductor structure and semiconductor structure

Номер патента: US20230189508A1. Автор: Yi Jiang,Deyuan Xiao,GuangSu SHAO,Yunsong QIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-06-15.

Method for manufacturing a semiconductor device and semiconductor device

Номер патента: US20210366764A1. Автор: Jochen Kraft,Georg Parteder,Raffaele Coppeta. Владелец: ams AG. Дата публикации: 2021-11-25.

Method for forming isolation film

Номер патента: US6656851B1. Автор: Young-Kuk Cha. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-12-02.

Method for fabricating semiconductor devices

Номер патента: US20020106863A1. Автор: In-Chul Jung,Seung-Hoon Sa. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-08-08.

Semiconductor structure and method for forming same

Номер патента: US20210066326A1. Автор: Han Liang,Wang Hai YING. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2021-03-04.

Semiconductor device having double bit capacity and method for manufacturing the same

Номер патента: US20240023313A1. Автор: Ying-Chieh Lai. Владелец: Nanya Technology Corp. Дата публикации: 2024-01-18.

Method for fabricating semiconductor device

Номер патента: US20080157290A1. Автор: Eunjong SHIN. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-07-03.

Method for manufacturing a semiconductor device

Номер патента: US20210328042A1. Автор: Chun-Shun Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-10-21.

Method for forming buried word line in semiconductor device

Номер патента: US20110027988A1. Автор: Sun-Hwan Hwang,Se-Aug Jang,Kee-Joon Oh,Soon-Young Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-02-03.

Method for manufacturing semiconductor device

Номер патента: US12094777B2. Автор: Wei-Cheng Lin,Shih-Wei Peng,Jiann-Tyng Tzeng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-17.

Method for inducing stress in semiconductor devices

Номер патента: US11757039B2. Автор: Gaspard Hiblot,Geert Van Der Plas. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-09-12.

Semiconductor devices and methods for forming semiconductor devices

Номер патента: US20040097048A1. Автор: Kwan Koh. Владелец: Anam Semiconductor Inc. Дата публикации: 2004-05-20.

Method for forming multi-layer metal line of semiconductor device

Номер патента: US20030129825A1. Автор: Jun Yoon. Владелец: Individual. Дата публикации: 2003-07-10.

Fin structure and method for manufacturing the same

Номер патента: US20200161468A1. Автор: Teng-Yen Huang. Владелец: Nanya Technology Corp. Дата публикации: 2020-05-21.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US20220319908A1. Автор: Zhan Ying,Kui Zhang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-10-06.

Semiconductor device manufacturing method and semiconductor device

Номер патента: US20070120185A1. Автор: Toshiaki Komukai,Hideaki Harakawa. Владелец: Individual. Дата публикации: 2007-05-31.

Semiconductor memory structure and method for forming the same

Номер патента: US11521975B2. Автор: Ying-Chu YEN. Владелец: Winbond Electronics Corp. Дата публикации: 2022-12-06.

Method for fabricating a semiconductor device having a device isolation trench

Номер патента: US8338246B2. Автор: Song Hyeuk Im. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-12-25.

Method for forming a three-component nitride film containing metal and silicon

Номер патента: EP1044288A2. Автор: Kyoung-Soo Yi,Sang-Won Kang,Won-Yong Koh. Владелец: Genitech Co Ltd. Дата публикации: 2000-10-18.

Method for manufacturing semiconductor device structure

Номер патента: US20240274472A1. Автор: Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2024-08-15.

An integrated circuit device and a method for forming the same

Номер патента: EP4391040A1. Автор: Anshul Gupta,Hans Mertens. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Packaging structure, packaging method, and semiconductor device

Номер патента: EP4307351A1. Автор: LIANG Chen,Wei Jiang,Hongwen Li,Kai Tian,Mengfan LI. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-01-17.

Semiconductor device and method for fabricating the same

Номер патента: US20100052019A1. Автор: Hiroshi Yamamoto,Mitsuru Yoshikawa. Владелец: MagnaChip Semiconductor Ltd. Дата публикации: 2010-03-04.

Method for Forming a Semiconductor Device and a Semiconductor Device

Номер патента: US20170229539A1. Автор: Hans-Joachim Schulze,Philipp Seng. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-08-10.

Semiconductor device and method for manufacturing the same

Номер патента: US20070131964A1. Автор: Sang Yong Lee. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-06-14.

Method for forming diffusion break structure in fin field effect transistor

Номер патента: US20230274981A1. Автор: Yu Zhang,Xiaobo Guo,Rui Qian,Lulu LAI. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2023-08-31.

Semiconductor device with fuse and anti-fuse structures and method for forming the same

Номер патента: US20220157717A1. Автор: Chin-Ling Huang. Владелец: Nanya Technology Corp. Дата публикации: 2022-05-19.

Packaged integrated circuit having stacked die and method for making

Номер патента: US20210066217A1. Автор: Burton Jesse CARPENTER,Fred T. Brauchler. Владелец: NXP USA Inc. Дата публикации: 2021-03-04.

Semiconductor device and fabrication method thereof

Номер патента: US20210066124A1. Автор: Tiantian Zhang,Jingjing Tan,Zengsheng XU. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2021-03-04.

Semiconductor device and a method for forming a semiconductor device

Номер патента: US20170250112A1. Автор: Stefan Barzen. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-08-31.

Method for forming word line of semiconductor device

Номер патента: US20040082155A1. Автор: Won Lee. Владелец: Individual. Дата публикации: 2004-04-29.

Methods for manufacturing shallow trench isolation layers of semiconductor devices

Номер патента: US20060024913A1. Автор: Bo Jo. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2006-02-02.

Method for manufacturing a semiconductor device, as well as a semiconductor substrate

Номер патента: US20060234468A1. Автор: Takeshi Saito. Владелец: Seiko Epson Corp. Дата публикации: 2006-10-19.

On-chip capacitors in semiconductor devices and methods for forming the same

Номер патента: US20240213144A1. Автор: LIANG Chen,WEI Liu,Lei Xue. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-06-27.

Method for manufacturing semiconductor element

Номер патента: US20060105540A1. Автор: Kousuke Hara,Toyokazu Sakata. Владелец: Individual. Дата публикации: 2006-05-18.

Fuse part in semiconductor device and method for forming the same

Номер патента: US20120088361A1. Автор: Byung-Duk LEE. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-04-12.

Fuse part in semiconductor device and method for forming the same

Номер патента: US20090243033A1. Автор: Byung-Duk LEE. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-10-01.

Schottky diode and method for fabricating the same

Номер патента: US20110233713A1. Автор: Jin-Yeong Son. Владелец: Individual. Дата публикации: 2011-09-29.

Semiconductor device structure and method for forming the same

Номер патента: US20210313449A1. Автор: Pinyen Lin,Li-Te Lin,Han-Yu Lin,Tze-Chung Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-10-07.

Semiconductor device and manufacturing method thereof

Номер патента: US10446648B2. Автор: Fei Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-10-15.

Semiconductor device and method for fabricating the same

Номер патента: EP2562794A4. Автор: Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-12-18.

Semiconductor device and method for fabricating the same

Номер патента: GB201122185D0. Автор: . Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-02-01.

Methods for forming shallow junctions in semiconductor wafers

Номер патента: EP1019952A1. Автор: Daniel F. Downey. Владелец: Varian Semiconductor Equipment Associates Inc. Дата публикации: 2000-07-19.

Methods for forming ultrashallow junctions with low sheet resistance

Номер патента: WO2002086953A1. Автор: Daniel F. Downey. Владелец: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.. Дата публикации: 2002-10-31.

Method for forming metal line in a semiconductor device

Номер патента: US20070166987A1. Автор: Han-Choon Lee,In-Cheol Baek. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-19.

Methods for forming semiconductor structure

Номер патента: US20240282719A1. Автор: Ryan Chia-Jen Chen,Yuan-Sheng Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-22.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US11915967B2. Автор: Zhan Ying,Kui Zhang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-27.

Semiconductor device with a multi-plate isolation structure

Номер патента: WO2007117779A3. Автор: Amitava Bose,Ronghua Zhu,Vishnu K Khemka,Todd C Roggenbauer. Владелец: Todd C Roggenbauer. Дата публикации: 2008-01-17.

Semiconductor device and method for fabricating the same

Номер патента: US20160372381A1. Автор: Yu-Cheng Tung. Владелец: United Microelectronics Corp. Дата публикации: 2016-12-22.

Semiconductor device

Номер патента: US20050230709A1. Автор: Ga Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-10-20.

Semiconductor device with a multi-plate isolation structure

Номер патента: WO2007117779A2. Автор: Amitava Bose,Ronghua Zhu,Todd C. Roggenbauer,Vishnu K. Khemka. Владелец: Freescale Semiconductor Inc.. Дата публикации: 2007-10-18.

Method for manufacturing semiconductor device

Номер патента: US20060141757A1. Автор: Kang Choi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-06-29.

Image-sensor chip-scale package and method for manufacture

Номер патента: US20210111221A1. Автор: Chien-Chan YEH,Ying-Chih Kuo. Владелец: Omnivision Technologies Inc. Дата публикации: 2021-04-15.

Semiconductor device and method for fabricating the same

Номер патента: US12101934B2. Автор: Dae Sung EOM. Владелец: SK hynix Inc. Дата публикации: 2024-09-24.

Semiconductor device and method for forming semiconductor device

Номер патента: US12100657B2. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Method for manufacturing etch stop areas for contacting semiconductor devices

Номер патента: US20180114724A1. Автор: Dmitri Alex Tschumakow,Claus Dahl. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-04-26.

Method for manufacturing etch stop areas for contacting semiconductor devices

Номер патента: US10354917B2. Автор: Dmitri Alex Tschumakow,Claus Dahl. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2019-07-16.

Semiconductor structure and method for fabricating same

Номер патента: US20240038838A1. Автор: Youming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-01.

Semiconductor device and method for fabricating the same

Номер патента: US8324049B2. Автор: Jin-A Kim,Seok-Ho JIE. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-12-04.

Semiconductor device and method for fabricating the same

Номер патента: US20110101499A1. Автор: Jin-A Kim,Seok-Ho JIE. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-05-05.

Method for forming well of semiconductor device

Номер патента: US5759884A. Автор: Kang-Sik Youn. Владелец: LG Semicon Co Ltd. Дата публикации: 1998-06-02.

Method for manufacturing semiconductor device

Номер патента: US20150179528A1. Автор: Shukun Yu,Qingsong WEI. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2015-06-25.

Protective structures for bond wires, methods for forming same, and test apparatus including such structures

Номер патента: US20020031847A1. Автор: Salman Akram. Владелец: Individual. Дата публикации: 2002-03-14.

Semiconductor device and method of manufacturing the same

Номер патента: US20100163980A1. Автор: Hyun-Tae Kim. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2010-07-01.

Reducing parasitic capacitance in semiconductor devices

Номер патента: US20240096943A1. Автор: Feng-Cheng Yang,Chia-Ta Yu,Hsiao-Chiu Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-21.

FinFET with Multiple Dislocation Planes and Method for Forming the Same

Номер патента: US20160268429A1. Автор: Chih-Hsiang Huang,Da-Wen Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-15.

Structure and Method for FinFET Device with Asymmetric Contact

Номер патента: US20200365734A1. Автор: Jhon Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-11-19.

Method for fabricating polycide dual gate in semiconductor device

Номер патента: US20010006832A1. Автор: Jong Bae,Ji Park,Dong Sohn. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2001-07-05.

Semiconductor device and method for producing the same

Номер патента: US20050156211A1. Автор: Shinichi Imai. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2005-07-21.

Reducing Parasitic Capacitance in Semiconductor Devices

Номер патента: US20210376072A1. Автор: Feng-Cheng Yang,Chia-Ta Yu,Hsiao-Chiu Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-12-02.

Semiconductor device and method for producing the same

Номер патента: US7122877B2. Автор: Shinichi Imai. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2006-10-17.

Semiconductor device and method for manufacturing semiconductor device

Номер патента: US20100013028A1. Автор: Yoshiko Kato,Hiroyuki Kutsukake. Владелец: Toshiba Corp. Дата публикации: 2010-01-21.

Methods for Forming Through Vias

Номер патента: US20130273698A1. Автор: Chen-Hua Yu,Hao-Yi Tsai,Mirng-Ji Lii,Da-Yuan Shih,Chih-Hang Tung,Tung-Liang Shao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-10-17.

Method for forming silicide of semiconductor device

Номер патента: US8105910B2. Автор: Hee-Jae Shin. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2012-01-31.

Tungsten plug structure of semiconductor device and method for forming the same

Номер патента: US20070102824A1. Автор: In Chun. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2007-05-10.

Semiconductor device and method for fabricating the same

Номер патента: US20020058390A1. Автор: Shinichi Imai. Владелец: Individual. Дата публикации: 2002-05-16.

Semiconductor device and method for producing the same

Номер патента: US6881998B2. Автор: Shinichi Imai. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2005-04-19.

Method for forming align key pattern in semiconductor device

Номер патента: US5578519A. Автор: Yun-hee Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1996-11-26.

Method of forming isolation layer of semiconductor device

Номер патента: US7682928B2. Автор: Myung IL Kang. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2010-03-23.

Anti-fuse array of semiconductor device and method for forming the same

Номер патента: US9000560B2. Автор: Min Chul SUNG. Владелец: SK hynix Inc. Дата публикации: 2015-04-07.

Semiconductor device having a p-mos transistor with source-drain extension counter-doping

Номер патента: WO2007032897A3. Автор: Sinan Goktepeli,James D Burnett. Владелец: James D Burnett. Дата публикации: 2009-04-16.

Semiconductor device having a p-mos transistor with source-drain extension counter-doping

Номер патента: WO2007032897A2. Автор: Sinan Goktepeli,James D. Burnett. Владелец: FREESCALE SEMICONDUCTOR. Дата публикации: 2007-03-22.

Method for forming thin films of semiconductor devices

Номер патента: US20030124760A1. Автор: Seok Lee,Sung Joo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-07-03.

Isolation layer of semiconductor device and manufacturing method thereof

Номер патента: US20090315141A1. Автор: Ki-Moon Jung. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-12-24.

Method for producing semiconductor device and semiconductor device

Номер патента: US20150303296A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2015-10-22.

Anti-fuse array of semiconductor device and method for forming the same

Номер патента: US20150179526A1. Автор: Min Chul SUNG. Владелец: SK hynix Inc. Дата публикации: 2015-06-25.

Fabrication method for single and dual gate spacers on a semiconductor device

Номер патента: US20070015324A1. Автор: Chih-Cheng Wang,Chao-Hsi Chung,Chu-Chun Hu. Владелец: Promos Technologies Inc. Дата публикации: 2007-01-18.

Bed structure underlying electrode pad of semiconductor device and method for manufacturing same

Номер патента: US20010040242A1. Автор: Noboru Koike. Владелец: Toshiba Corp. Дата публикации: 2001-11-15.

Method for forming a silicide layer of semiconductor device

Номер патента: US20030119309A1. Автор: Jeong Lee,Chang Ryoo,Yong Sohn. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-06-26.

Ion implantation method in semiconductor device

Номер патента: US20050176224A1. Автор: JUNG Myung Jin,Kim Dae Kyeun. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-08-11.

Method for forming a metal plug of a semiconductor device

Номер патента: US20030013299A1. Автор: Jung Kim,Ki-Hong Yang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-01-16.

Method for interconnecting semiconductor devices

Номер патента: US5536683A. Автор: Sun-Chieh Chien,Jeng Ping Lin. Владелец: United Microelectronics Corp. Дата публикации: 1996-07-16.

Method for fabricating semiconductor device with recessed pad layer

Номер патента: US11876079B2. Автор: Shing-Yih Shih. Владелец: Nanya Technology Corp. Дата публикации: 2024-01-16.

Semiconductor device with air gap and method for preparing the same

Номер патента: US20240032283A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-01-25.

Method for forming metal contact in semiconductor device

Номер патента: US20070148858A1. Автор: Jong-Kuk Kim,Jae Yu. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-06-28.

Epitaxial process for forming semiconductor devices

Номер патента: US20130130461A1. Автор: Shiang-Bau Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-05-23.

Semiconductor device having bed structure underlying electrode pad

Номер патента: US6465894B2. Автор: Noboru Koike. Владелец: Toshiba Corp. Дата публикации: 2002-10-15.

Semiconductor device and method for forming the same

Номер патента: US20200203475A1. Автор: Po-An Chen,Syed Neyaz Imam. Владелец: Nuvoton Technology Corp. Дата публикации: 2020-06-25.

Methods for normalizing strain in a semiconductor device

Номер патента: SG155836A1. Автор: Tan Chung Foong,TEO Lee Wee,Quek Kiok Boone Elgin,Alain Chan. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2009-10-29.

Semiconductor device and method for producing same

Номер патента: US20140367864A1. Автор: Hiroyuki Fujimoto. Владелец: Longitude Semiconductor SARL. Дата публикации: 2014-12-18.

Semiconductor device and manufacturing method

Номер патента: US20110318904A1. Автор: Hans-Joachim Schulze,Matthias Stecher,Thomas Neidhart. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2011-12-29.

Isolation method of semiconductor device

Номер патента: US5141884A. Автор: Oh H. Kwon,Dong J. Bae. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1992-08-25.

Method for fabricating a semiconductor device

Номер патента: US6660617B2. Автор: Hiroyuki Kawano. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2003-12-09.

Method for forming semiconductor device and semiconductor device

Номер патента: US20230282517A1. Автор: Chih-Cheng Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-09-07.

Methods, apparatus and system for forming on-chip metal-insulator-meal (mim) capacitor

Номер патента: US20200402976A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Juntao Li. Владелец: Globalfoundries Inc. Дата публикации: 2020-12-24.

Semiconductor device and method of forming the same

Номер патента: US20230154824A1. Автор: Chia-Che Chung,Ming-Tzong Yang,Hsien-Hsin Lin,Chee-Wee Liu,Wen-Kai Wan. Владелец: Individual. Дата публикации: 2023-05-18.

Semiconductor device and fabrication method thereof

Номер патента: US20210091192A1. Автор: Nan Wang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2021-03-25.

Method for producing semiconductor device

Номер патента: US20170077267A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore PteLtd. Дата публикации: 2017-03-16.

Method for forming an opening in polymer-based dielectric

Номер патента: US20020177300A1. Автор: Hsueh-Chung Chen,Chih-Chien Liu,Tong-Yu Chen,Chingfu Lin. Владелец: United Microelectronics Corp. Дата публикации: 2002-11-28.

Improving mosfet performance by employing an improved method for forming halo implants

Номер патента: EP1188181A2. Автор: Rajesh Rengarajan. Владелец: Infineon Technologies North America Corp. Дата публикации: 2002-03-20.

Methods for forming semiconductor structures and semiconductor structures

Номер патента: US12051618B2. Автор: Yuchen Wang,Nianwang YANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-30.

Semiconductor device and method of manufacturing the same

Номер патента: US20070018276A1. Автор: Masayuki Itou. Владелец: NEC Electronics Corp. Дата публикации: 2007-01-25.

Method for forming an opening in polymer-based dielectric

Номер патента: US20030199132A1. Автор: Hsueh-Chung Chen,Chih-Chien Liu,Tong-Yu Chen,Chingfu Lin. Владелец: Individual. Дата публикации: 2003-10-23.

Method for forming contact window

Номер патента: US20010046782A1. Автор: Chien-Li Kuo,Wei-Wu Liao. Владелец: Individual. Дата публикации: 2001-11-29.

Method for making an embedded memory MOS

Номер патента: US20020098650A1. Автор: Chien-Li Kuo,Sun-Chieh Chien. Владелец: United Microelectronics Corp. Дата публикации: 2002-07-25.

Apparatus and method for manufacturing semiconductor device

Номер патента: US20070116863A1. Автор: Kazunari Kimino. Владелец: Individual. Дата публикации: 2007-05-24.

Multilevel semiconductor device and structure with image sensors and wafer bonding

Номер патента: US20230017372A1. Автор: Zvi Or-Bach,Brian Cronquist,Deepak C. Sekar. Владелец: Monolithic 3D Inc. Дата публикации: 2023-01-19.

Three-dimensional memory devices and methods for forming the same

Номер патента: US20210320124A1. Автор: Zhiliang Xia,Kun Zhang,Linchun Wu,Wenxi Zhou. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2021-10-14.

Capacitive isolator and method for manufacturing thereof

Номер патента: US20240213142A1. Автор: Zhiwei Dong. Владелец: 2pai Semiconductor Shanghai Co Ltd. Дата публикации: 2024-06-27.

Semiconductor device with graphene conductive structure and method for forming the same

Номер патента: US20220051936A1. Автор: Ching-Cheng Chuang. Владелец: Nanya Technology Corp. Дата публикации: 2022-02-17.

Semiconductor device and method for making the same

Номер патента: US20240105467A1. Автор: Sanghyun SON,YoungUk Noh,Myungho JUNG. Владелец: Stats Chippac Pte Ltd. Дата публикации: 2024-03-28.

Methods for forming electrostatic discharge protection clamps with increased current capabilities

Номер патента: US20140235026A1. Автор: Rouying Zhan,Chai Ean Gill,Amaury Gendron. Владелец: Individual. Дата публикации: 2014-08-21.

Method for forming field oxide film of semiconductor device

Номер патента: US6013561A. Автор: Jong Choul Kim,Byung Jin Cho,Se Aug Jang. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2000-01-11.

Semiconductor device and method of manufacturing the same

Номер патента: US8421234B2. Автор: Kiyohito Nishihara. Владелец: Toshiba Corp. Дата публикации: 2013-04-16.

Semiconductor device and method for forming silicide layers

Номер патента: WO2008115542A1. Автор: Romain Coppard,Jérôme LOLIVIER. Владелец: ATMEL CORPORATION. Дата публикации: 2008-09-25.

Method for forming hybrid bonding with through substrate via (tsv)

Номер патента: US20180005977A1. Автор: Jing-Cheng Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-04.

Method for forming a semiconductor device

Номер патента: US20220068723A1. Автор: TAO Hu,Wen Yi Tan,Jinjian Ouyang,Xiao Dong Shi. Владелец: United Semiconductor Xiamen Co Ltd. Дата публикации: 2022-03-03.

Fuse box and method for fabricating the same and method for repairing the same in semiconductor device

Номер патента: US20090039463A1. Автор: Jeong-Kyu KANG. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-02-12.

Semiconductor device and associated method for manufacturing

Номер патента: US20140183627A1. Автор: Rongyao Ma,Tieshing Li. Владелец: Chengdu Monolithic Power Systems Co Ltd. Дата публикации: 2014-07-03.

Semiconductor device and method for forming semiconductor device

Номер патента: US20210408228A1. Автор: Xiguang Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2021-12-30.

Semiconductor device

Номер патента: US20200258848A1. Автор: Myoung Soo Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-08-13.

Semiconductor die, semiconductor device and method for forming semiconductor device

Номер патента: US20240234309A9. Автор: Jiarui Zhang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-11.

Method for manufacturing semiconductor device with through silicon via structure

Номер патента: US20200105647A1. Автор: Chi REN,Ju-Bao ZHANG,Zhao-Bing LI. Владелец: United Microelectronics Corp. Дата публикации: 2020-04-02.

Semiconductor device with through silicon via structure and method for manufacturing the same

Номер патента: US10546801B2. Автор: Chi REN,Ju-Bao ZHANG,Zhao-Bing LI. Владелец: United Microelectronics Corp. Дата публикации: 2020-01-28.

Semiconductor device having gate electrode and electronic system including the same

Номер патента: EP3968386A1. Автор: SANGHOON Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-03-16.

Method of forming a semiconductor device

Номер патента: US20070184601A1. Автор: Bich-Yen Nguyen,Da Zhang. Владелец: Individual. Дата публикации: 2007-08-09.

Method for forming control gate salicide

Номер патента: US9330924B2. Автор: Huanxin Liu. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-05-03.

Semiconductor device and method for fabricating the same

Номер патента: US20080093701A1. Автор: Eun-Mi Hong,Kwang-tae Kim,Ji-hoon Park,Tea-kwang Yu,Kong-Sam Jang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-04-24.

Semiconductor device and method for fabricating the same

Номер патента: US20070069304A1. Автор: Junji Hirase,Kazuhiko Aida,Naoki Kotani,Shinji Takeoka,Gen Okazaki,Akio Sebe. Владелец: Individual. Дата публикации: 2007-03-29.

Semiconductor device and manufacturing method thereof

Номер патента: US11574916B2. Автор: Ming-Hua Yu,Kun-Mu Li,Tsz-Mei Kwok,Yi-Jing Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-02-07.

Semiconductor device and method for fabricating the same

Номер патента: US20120235248A1. Автор: Ho-Ung KIM. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-09-20.

Method for forming control gate salicide

Номер патента: US20160093498A1. Автор: Huanxin Liu. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-03-31.

Contact formation in semiconductor devices

Номер патента: US20180277483A1. Автор: Oleg Gluschenkov,Shogo Mochizuki,Zuoguang Liu,Hiroaki Niimi,Jiseok Kim. Владелец: International Business Machines Corp. Дата публикации: 2018-09-27.

Method For Forming Semiconductor Structure And A Semiconductor

Номер патента: US20240268104A1. Автор: Chih-Cheng Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-08-08.

Method for fabricating semiconductor device

Номер патента: US20010005616A1. Автор: Jae Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2001-06-28.

Method, mould, and housing for forming an electronic component package

Номер патента: NL2033364B1. Автор: Hubertus Maria Kersjes Sebastianus,Gerardus Joseph Gal Wilhelmus. Владелец: Besi Netherlands Bv. Дата публикации: 2024-05-08.

Semiconductor device and method of manufacturing the same

Номер патента: US20110006435A1. Автор: Kiyohito Nishihara. Владелец: Toshiba Corp. Дата публикации: 2011-01-13.

Method for manufacturing semiconductor device

Номер патента: US12074110B2. Автор: Jung-Kuo Tu,Ching-Kai Shen,Yi-Chuan Teng,Wei-Chu LIN,Hung-Wei Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-27.

Semiconductor device and method for fabricating the same

Номер патента: US20230253242A1. Автор: Jun Sik Kim. Владелец: SK hynix Inc. Дата публикации: 2023-08-10.

Semiconductor structure, method for manufacturing same

Номер патента: US20230010014A1. Автор: Deyuan Xiao,GuangSu SHAO,Youming Liu,Yunsong QIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-12.

Trench isolation for semiconductor devices

Номер патента: US20010013631A1. Автор: Howard E. Rhodes. Владелец: Individual. Дата публикации: 2001-08-16.

Method for forming bit line of semiconductor device

Номер патента: US20040067656A1. Автор: Sung Jin,Jai Roh. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-04-08.

Backside and sidewall metallization of semiconductor devices

Номер патента: US20240194486A1. Автор: Wen Hung HUANG,Yufu Liu,Kuan-Hsiang Mao,Che Ming Fang. Владелец: NXP BV. Дата публикации: 2024-06-13.

Digital Isolator Structure and Method for Forming the Same

Номер патента: US20230420496A1. Автор: Hongxu Yang,Hualun CHEN,Hongfeng JIN. Владелец: Hua Hong Semiconductor Wuxi Co Ltd. Дата публикации: 2023-12-28.

Semiconductor device and a method for fabricating the same

Номер патента: US20180005897A1. Автор: Chen-Chin Liu,Meng-Han LIN,Chih-Ren Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-04.

Method of manufacturing a semiconductor device

Номер патента: US5913114A. Автор: Chang-Ki Jeon,Cheol-Joong Kim,Sun-Hak Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-06-15.

Semiconductor device and method for fabricating the same

Номер патента: US20150200152A1. Автор: Sung-Dong Cho,Sin-Woo Kang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-07-16.

Insulating structures in semiconductor device

Номер патента: US20230395654A1. Автор: Chen-Yu Tai,Tzu-Ging LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-07.

Semiconductor device and method for forming same

Номер патента: US20230024253A1. Автор: Mengzhu QIAO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-26.

Technique for forming contacts for buried doped regions in a semiconductor device

Номер патента: US20040152324A1. Автор: Manfred Horstmann,Ralf Bentum. Владелец: Individual. Дата публикации: 2004-08-05.

Method for forming coating film for lithography

Номер патента: US9502247B2. Автор: Tsutomu Ogihara,Taku Morisawa. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2016-11-22.

Semiconductor device

Номер патента: US20060220099A1. Автор: Shuichi Kikuchi,Toshiyuki Takahashi,Shigeaki Okawa,Kiyofumi Nakaya. Владелец: Individual. Дата публикации: 2006-10-05.

Semiconductor device having channel structure with 2D material

Номер патента: US11942536B2. Автор: Robert D. Clark,Mark I. Gardner,H. Jim Fulford. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-03-26.

Vertical transistor and method for fabricating the same

Номер патента: US11961908B2. Автор: Young Gwang YOON. Владелец: SK hynix Inc. Дата публикации: 2024-04-16.

Vertical transistor and method for fabricating the same

Номер патента: US20240222502A1. Автор: Young Gwang YOON. Владелец: SK hynix Inc. Дата публикации: 2024-07-04.

Semiconductor device having stacked capacitor and method for forming the same

Номер патента: US20240268094A1. Автор: Yen-Min RUAN. Владелец: Winbond Electronics Corp. Дата публикации: 2024-08-08.

Method for Forming Backside Illumination CMOS Image Sensor

Номер патента: US20230290805A1. Автор: Han Wang,XIAO FAN,Guanglong Chen. Владелец: Hua Hong Semiconductor Wuxi Co Ltd. Дата публикации: 2023-09-14.

Transistor and method for forming the same

Номер патента: US8741708B2. Автор: Leo Liu. Владелец: Semiconductor Manufacturing International Corp. Дата публикации: 2014-06-03.

Semiconductor structure and method for forming the same

Номер патента: US20240258394A1. Автор: Hsin-Che Chiang,Wei-Chih Kao,Jyun-Hong Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-01.

Detection and Reduction of Dielectric Breakdown in Semiconductor Devices

Номер патента: US20080211500A1. Автор: Masayasu Miyata,William A. Goddard,Jamil Tahir-Kheli. Владелец: Individual. Дата публикации: 2008-09-04.

Semiconductor device with programmable structure and method for fabricating the same

Номер патента: US20230269935A1. Автор: Wei-Zhong Li,Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2023-08-24.

Materials and structures for optical and electrical iii-nitride semiconductor devices and methods

Номер патента: US20210296516A1. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2021-09-23.

Materials and structures for optical and electrical III-nitride semiconductor devices and methods

Номер патента: US11631775B2. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2023-04-18.

Semiconductor device structure and method for forming the same

Номер патента: US20210134970A1. Автор: Kai-Tai Chang,Tung-Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-05-06.

Method for forming inductor in semiconductor device

Номер патента: US20050037589A1. Автор: Young Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-02-17.

A method for forming a semiconductor device

Номер патента: EP4391038A1. Автор: Boon Teik CHAN,Hsiao-Hsuan Liu,Pieter Schuddinck. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Printed circuit board having jumper lines and the method for making said printed circuit board

Номер патента: US20030085772A1. Автор: Wen-Yen Lin,Wen-Bo Ho. Владелец: Individual. Дата публикации: 2003-05-08.

Method of manufacturing semiconductor device

Номер патента: US20060030111A1. Автор: Satoshi Onai,Shinobu Teranaka. Владелец: Gifu Sanyo Electronics Co Ltd. Дата публикации: 2006-02-09.

CMOS Image Sensor and Method for Forming the Same

Номер патента: US20230275110A1. Автор: Junwen LIU. Владелец: Hua Hong Semiconductor Wuxi Co Ltd. Дата публикации: 2023-08-31.

Method for forming a memory and memory

Номер патента: US20210383843A1. Автор: Zhe Zhao. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2021-12-09.

Isolation layers in stacked semiconductor devices

Номер патента: US20230066265A1. Автор: Pinyen Lin,Wei-Lun Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-03-02.

A method for forming a semiconductor device

Номер патента: EP4391039A1. Автор: Shairfe Muhammad Salahuddin,Boon Teik CHAN,Hsiao-Hsuan Liu. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Method for manufacturing a front electrode of a semiconductor device

Номер патента: US20160260851A1. Автор: Xiaoli Liu,Delin Li. Владелец: Soltrium Advanced Materials Technology Ltd Shenzhen. Дата публикации: 2016-09-08.

Flash memory device and method for fabricating the same

Номер патента: US20030052359A1. Автор: Sung Shin,Jae Eom. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-03-20.

Vertical internally-connected trench cell (V-ICTC) and formation method for semiconductor memory devices

Номер патента: US20030098483A1. Автор: John Walsh,Brian Lee. Владелец: Individual. Дата публикации: 2003-05-29.

Image Sensor and Method for Manufacturing the Same

Номер патента: US20100103298A1. Автор: Chang Hun Han. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2010-04-29.

Semiconductor device and method for manufacturing same

Номер патента: US20080048228A1. Автор: Takashi Sakoh,Mami Toda. Владелец: NEC Electronics Corp. Дата публикации: 2008-02-28.

Device and method for individual finger isolation in an optoelectronic device

Номер патента: US20130049154A1. Автор: Andreas Hegedus. Владелец: Individual. Дата публикации: 2013-02-28.

Non-volatile memory and method for fabricating the same

Номер патента: US20060134866A1. Автор: Erh-Kun Lai,Hang-Ting Lue,Yen-Hao Shih,Chia-Hua Ho. Владелец: Macronix International Co Ltd. Дата публикации: 2006-06-22.

Non-volatile memory and method for fabricating the same

Номер патента: US20060205157A1. Автор: Erh-Kun Lai,Hang-Ting Lue,Yen-Hao Shih,Chia-Hua Ho. Владелец: Individual. Дата публикации: 2006-09-14.

Image sensing device and method for manufacturing the same

Номер патента: US20240234466A1. Автор: Han Seung Lee. Владелец: SK hynix Inc. Дата публикации: 2024-07-11.

Method for manufacturing thin film transistor, and thin film transistor

Номер патента: US20190386030A1. Автор: Songshan LI. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2019-12-19.

Semiconductor device and fabrication thereof

Номер патента: US20110260220A1. Автор: Min-Hwa Chi,Mu-Chi Chiang,Cheng-Ku Chen,Wen-Chuan Chiang. Владелец: Individual. Дата публикации: 2011-10-27.

Semiconductor structure and method for manufacturing the same

Номер патента: US20200194665A1. Автор: Jar-Ming Ho. Владелец: Nanya Technology Corp. Дата публикации: 2020-06-18.

Method for detecting EPI induced buried layer shifts in semiconductor devices

Номер патента: US20060038553A1. Автор: Xiaoju Wu,Qingfeng Wang,Xinfen Chen,John Arch. Владелец: Texas Instruments Inc. Дата публикации: 2006-02-23.

Semiconductor device with air gaps between adjacent conductive lines

Номер патента: US20220165662A1. Автор: Chin-Ling Huang. Владелец: Nanya Technology Corp. Дата публикации: 2022-05-26.

Semiconductor device having metal layer and method of fabricating same

Номер патента: US20160268403A1. Автор: Cheng-Chi Lin,Ching-Lin Chan,Yu-Chin CHIEN. Владелец: Macronix International Co Ltd. Дата публикации: 2016-09-15.

System and method for forming solder bumps

Номер патента: WO2021018466A1. Автор: Jae-Woong Nah,Jeng-Bang Yau,Peter Jerome Sorce,Eric Peter Lewandowski. Владелец: Ibm United Kingdom Limited. Дата публикации: 2021-02-04.

Semiconductor devices and methods for forming the same

Номер патента: US20200194564A1. Автор: Chung-Yeh Lee,Sheng-Wei FU,Tsung-Yeh CHEN. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2020-06-18.

Semiconductor structure and method for fabricating the same

Номер патента: US20230422480A1. Автор: Dong Yan,Wei Li,Jun Wei,Zijie Wang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-12-28.

CMOS image sensor and method for fabricating the same

Номер патента: US7682863B2. Автор: Hyuk Woo. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2010-03-23.

Pad arrangement in semiconductor memory device and method of driving semiconductor device

Номер патента: US20040256641A1. Автор: Jung-Bae Lee,Mee-Hyun Ahn. Владелец: Individual. Дата публикации: 2004-12-23.

Bipolar junction transistor integrated with pip capacitor and method for making the same

Номер патента: US20100283123A1. Автор: Jian-Bin Shiu. Владелец: United Microelectronics Corp. Дата публикации: 2010-11-11.

Nanometer semiconductor devices having high-quality epitaxial layer

Номер патента: US10475935B2. Автор: Huilong Zhu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2019-11-12.

Method for Forming a Semiconductor Device

Номер патента: US20230197831A1. Автор: Hans Mertens,Boon Teik CHAN,Eugenio Dentoni Litta. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-06-22.

Method for Forming a Semiconductor Device

Номер патента: US20230197522A1. Автор: Naoto Horiguchi,Julien Ryckaert,Boon Teik CHAN,Anne Vandooren. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-06-22.

Method for producing a composition for forming an organic film

Номер патента: US10047244B2. Автор: Tsutomu Ogihara,Motoaki Iwabuchi,Daisuke Kori. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2018-08-14.

Bidirectional power device and method for manufacturing the same

Номер патента: US12021128B2. Автор: Shaohua Zhang. Владелец: Hangzhou Silan Microelectronics Co Ltd. Дата публикации: 2024-06-25.

Display panel, method for fabricating the same and display device

Номер патента: US10859876B2. Автор: Yuansheng Zang,Huizi LI. Владелец: Hefei BOE Optoelectronics Technology Co Ltd. Дата публикации: 2020-12-08.

Systems and methods for determining measurement location in semiconductor wafer metrology

Номер патента: WO2022071981A1. Автор: Asaf Granot. Владелец: KLA Corporation. Дата публикации: 2022-04-07.

Systems and methods for determining measurement location in semiconductor wafer metrology

Номер патента: EP4200899A1. Автор: Asaf Granot. Владелец: KLA Corp. Дата публикации: 2023-06-28.

Electronic device and method for fabricating the same

Номер патента: US20150249154A1. Автор: Joong-Sik Kim. Владелец: SK hynix Inc. Дата публикации: 2015-09-03.

Nanometer semiconductor devices having high-quality epitaxial layer

Номер патента: US11309432B2. Автор: Huilong Zhu. Владелец: Institute Of Microelectronics Chinese /academy Of Sciences. Дата публикации: 2022-04-19.

Method for forming semiconductor device

Номер патента: US20100190305A1. Автор: Sang Don Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-07-29.

Semiconductor device including line-type active region and method for manufacturing the same

Номер патента: US20120146121A1. Автор: Kyung Do Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-06-14.

Non-volatile memory device and method for manufacturing the same

Номер патента: US20220165884A1. Автор: Bo-An Tsai,Shiangshiou Yen. Владелец: Powerchip Semiconductor Manufacturing Corp. Дата публикации: 2022-05-26.

Semiconductor device and method for forming the same

Номер патента: US20230066323A1. Автор: Chee-Wee Liu,Yu-Shiang Huang. Владелец: National Taiwan University NTU. Дата публикации: 2023-03-02.

Method for forming a semiconductor device having nanocrystal

Номер патента: US20120264277A1. Автор: Sung-taeg Kang,Jane A. Yater. Владелец: Individual. Дата публикации: 2012-10-18.

Semiconductor structure and method for manufacturing same

Номер патента: US20220045186A1. Автор: Jingwen Lu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-02-10.

Method for forming semiconductor device and semiconductor device

Номер патента: US20230015279A1. Автор: Deyuan Xiao,GuangSu SHAO,Weiping BAI. Владелец: Beijing Superstring Academy of Memory Technology. Дата публикации: 2023-01-19.

Manufacturing method for a semiconductor device

Номер патента: US11462627B2. Автор: Xiang Peng,Haoyu Chen,Qiwei Wang. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2022-10-04.

Semiconductor device and method for manufacturing the device

Номер патента: US20020025630A1. Автор: Masao Tanimoto,Seichi Mori. Владелец: Individual. Дата публикации: 2002-02-28.

Image sensor and method for forming the same

Номер патента: US20240297193A1. Автор: Wei-Lung Tsai,Ching-Chiang Wu. Владелец: VisEra Technologies Co Ltd. Дата публикации: 2024-09-05.

Semiconductor structure, method for forming semiconductor structure, and memory

Номер патента: US20240008247A1. Автор: Xiaojie Li. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-01-04.

Method for forming a coating film on a facet of a semiconductor laser diode

Номер патента: US20080089377A1. Автор: Chie Fukuda,Hiroyuki Ichikawa. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2008-04-17.

Semiconductor device and forming method thereof

Номер патента: US20230301064A1. Автор: Deyuan Xiao,GuangSu SHAO,Youming Liu,Yunsong QIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-09-21.

Space transformer comprising an isolation resistor for a probe card, and method for manufacturing same

Номер патента: US20110254578A1. Автор: Min Soo Kim,Sung Man Yoon. Владелец: Imtech Inc Korea. Дата публикации: 2011-10-20.

Semiconductor Device and Method for Manufacturing Semiconductor Device

Номер патента: US20110260165A1. Автор: Hideto Ohnuma. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2011-10-27.

Method for laser processing a transparent material

Номер патента: US20240261893A1. Автор: S. Abbas Hosseini. Владелец: Rofin-Sinar Technologies LLC. Дата публикации: 2024-08-08.

Semiconductor device and method for manufacturing same

Номер патента: US11588049B2. Автор: Huajun JIN. Владелец: CSMC Technologies Fab2 Co Ltd. Дата публикации: 2023-02-21.

Semiconductor device and method for fabricating the same

Номер патента: US20240145594A1. Автор: Po-Yu YANG. Владелец: United Microelectronics Corp. Дата публикации: 2024-05-02.

Method for manufacturing capacitor array, capacitor array, and semiconductor device

Номер патента: US20230231007A1. Автор: Liutao ZHOU,Shuo Pan. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-07-20.

Package structure and manufacturing method thereof, and semiconductor device

Номер патента: US20230395543A1. Автор: LIANG Chen,Wei Jiang,Hongwen Li,Kai Tian. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-12-07.

Structures and methods for reducing stress in three-dimensional memory device

Номер патента: US20210050446A1. Автор: Jian Hua SUN,Ji XIA,Sizhe Li,Qinxiang Wei. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2021-02-18.

Method for forming a capacitor in a memory cell in a dynamic random access memory device

Номер патента: US5897983A. Автор: Toshiyuki Hirota,Kazuki Yokota,Masanobu Zenke,Tomomi Kurokawa. Владелец: NEC Corp. Дата публикации: 1999-04-27.

Microwave integrated circuit package and method for forming such package

Номер патента: US8153449B2. Автор: Michael G. Adlerstein,Francois Y. Colomb. Владелец: Raytheon Co. Дата публикации: 2012-04-10.

Microwave integrated circuit package and method for forming such package

Номер патента: US20110223692A1. Автор: Michael G. Adlerstein,Francois Y. Colomb. Владелец: Raytheon Co. Дата публикации: 2011-09-15.

Microwave integrated circuit package and method for forming such package

Номер патента: EP2156467A1. Автор: Michael G. Adlerstein,Francois Y. Colomb. Владелец: Raytheon Co. Дата публикации: 2010-02-24.

Optoelectronic semiconductor component and method for producing the same

Номер патента: US12046696B2. Автор: Martin Behringer,Tansen Varghese,Alexander Tonkikh. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2024-07-23.

Method for forming semiconductor device

Номер патента: US7851310B2. Автор: Wei-Chieh Lin,Li-Cheng Lin. Владелец: Anpec Electronics Corp. Дата публикации: 2010-12-14.

Method for forming Schottky Diodes and Ohmic Contacts in the Same Integrated Circuit

Номер патента: US20070281451A1. Автор: Schyi-Yi Wu. Владелец: Micrel Inc. Дата публикации: 2007-12-06.

Methods and apparatus for forming light emitting diodes

Номер патента: US20220216363A1. Автор: Shiva Rai. Владелец: Applied Materials Inc. Дата публикации: 2022-07-07.

Methods for forming image sensors

Номер патента: US20230378218A1. Автор: Chia-Ping Lai,Chung-Chuan Tseng,Chiao-Chi Wang,Yeh-Hsun Fang,Szu-Chien Tseng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

Integrated circuit semiconductor device

Номер патента: US20240282864A1. Автор: Jinwook Yang,Sungil Park,Jaehyun Park,Daewon HA,Dongkyu LEE,Kyuman HWANG,Cheoljin YUN,Jinchan Yun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-22.

Method for forming an isolated inner lead from a leadframe

Номер патента: US20100122454A1. Автор: Wen-Jeng Fan,Yu-Mei Hsu. Владелец: Powertech Technology Inc. Дата публикации: 2010-05-20.

Method for manufacturing a bipolar junction transistor

Номер патента: US10468497B2. Автор: Dmitri Alex Tschumakow. Владелец: Infineon Technologies Dresden GmbH and Co KG. Дата публикации: 2019-11-05.

Semiconductor device and method for manufacturing same

Номер патента: EP3832733A1. Автор: Huajun JIN. Владелец: CSMC Technologies Fab2 Co Ltd. Дата публикации: 2021-06-09.

Semiconductor Device and Method For Manufacturing Same

Номер патента: US20210234041A1. Автор: Huajun JIN. Владелец: CSMC Technologies Fab2 Co Ltd. Дата публикации: 2021-07-29.

Method for manufacturing a bipolar junction transistor

Номер патента: US20180061961A1. Автор: Dmitri Alex Tschumakow. Владелец: Infineon Technologies Dresden GmbH and Co KG. Дата публикации: 2018-03-01.

Semiconductor Device and Manufacturing Method for Semiconductor Device

Номер патента: US20240234359A9. Автор: Takayuki Oshima,Osamu Ikeda,Naoki Sakurai,Takuma Hakuto. Владелец: Hitachi Astemo Ltd. Дата публикации: 2024-07-11.

Field effect transistor and method for fabricating field effect transistor

Номер патента: US20160013272A1. Автор: Yi Chuen Eng. Владелец: United Microelectronics Corp. Дата публикации: 2016-01-14.

Method for forming capacitor, capacitor and semiconductor device

Номер патента: US12041763B2. Автор: GuangSu SHAO,Xingsong SU,Mengkang YU. Владелец: Beijing Superstring Academy of Memory Technology. Дата публикации: 2024-07-16.

Semiconductor device including vertical transistor and method for manufacturing the same

Номер патента: US20130126964A1. Автор: Kyoung Han LEE. Владелец: SK hynix Inc. Дата публикации: 2013-05-23.

Method for forming image sensor

Номер патента: US20190057993A1. Автор: Yu-Jui HSIEH,Po-Nan Chen. Владелец: Himax Technologies Ltd. Дата публикации: 2019-02-21.

Method for forming a DRAM semiconductor device with a sense amplifier

Номер патента: US20070148850A1. Автор: Dong Chul Koo. Владелец: Individual. Дата публикации: 2007-06-28.

Method for forming Zener Zap Diodes and Ohmic Contacts in the Same Integrated Circuit

Номер патента: US20090093116A1. Автор: Schyi-Yi Wu. Владелец: Micrel Inc. Дата публикации: 2009-04-09.

Method for manufacturing printed-circuit board

Номер патента: US20090321266A1. Автор: Harufumi Kobayashi,Yoshimi Egawa. Владелец: Oki Semiconductor Co Ltd. Дата публикации: 2009-12-31.

Semiconductor device and method for forming the same

Номер патента: US20110254083A1. Автор: Hae Il SONG. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-10-20.

Method for making organic light emitting diode array

Номер патента: US20180315960A1. Автор: Yang Wei,Kai-Li Jiang,Shou-Shan Fan,Hao-Ming Wei. Владелец: Hon Hai Precision Industry Co Ltd. Дата публикации: 2018-11-01.

Semiconductor device comprising transistor structures and methods for forming same

Номер патента: US20090026522A1. Автор: Venkatesan Ananthan. Владелец: Individual. Дата публикации: 2009-01-29.

Semiconductor device and fabrication method therefor

Номер патента: US20230232626A1. Автор: Bingjie Yan. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2023-07-20.

Semiconductor device and fabrication method therefor

Номер патента: WO2023123204A1. Автор: Bingjie Yan. Владелец: Yangtze Memory Technologies Co., Ltd.. Дата публикации: 2023-07-06.

Semiconductor structure and method for forming the same

Номер патента: US20240222460A1. Автор: Jhon-Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-04.

Method of manufacturing semiconductor device

Номер патента: US20120302004A1. Автор: Akiharu Miyanaga. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2012-11-29.

Semiconductor device and method for setting voltage in semiconductor device

Номер патента: US20160291628A1. Автор: Makoto Suwada. Владелец: Fujitsu Ltd. Дата публикации: 2016-10-06.

Semiconductor device contact pad and method of contact pad fabrication

Номер патента: US12034027B2. Автор: Hui Zang. Владелец: Omnivision Technologies Inc. Дата публикации: 2024-07-09.

Semiconductor device and method

Номер патента: US12051700B2. Автор: Chung-Ting Ko,Tai-Chun Huang,Li-Fong Lin,Wan Chen Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-30.

Semiconductor device

Номер патента: US20200243434A1. Автор: Peng-Hsin Lee. Владелец: Delta Electronics Inc. Дата публикации: 2020-07-30.

Method for forming inside nitride spacer for deep trench device dram cell

Номер патента: US20030062557A1. Автор: Arnd Scholz,Prakash Dev. Владелец: Infineon Technologies North America Corp. Дата публикации: 2003-04-03.

Semiconductor devices

Номер патента: US11729963B2. Автор: Daeyoung MOON,Jamin KOO,Hyokyoung Kim,Jonghyeok Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-08-15.

Semiconductor devices having high-resistance region and methods of forming the same

Номер патента: US20160064375A1. Автор: Jae-Hyun Yoo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-03-03.

Method of fabricating capacitor for semiconductor device

Номер патента: US6190993B1. Автор: Byung Jae Choi,Soo Jin Seo. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2001-02-20.

Mechanisms for forming metal-insulator-metal (mim) capacitor structure

Номер патента: US20160233158A1. Автор: Chia-Lun Hsu,Chi-Chung JEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-11.

Semiconductor optical devices and method for forming

Номер патента: US7494832B2. Автор: Yang Du,Leo Mathew,Voon-Yew Thean. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2009-02-24.

Method and structure for forming a vertical field-effect transistor

Номер патента: US20190371920A1. Автор: Peng Xu,Kangguo Cheng,ChoongHyun Lee,Juntao Li. Владелец: International Business Machines Corp. Дата публикации: 2019-12-05.

Method for fabricating memory and memory

Номер патента: US20220336467A1. Автор: Zhongming Liu,Longyang Chen,Yexiao Yu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-10-20.

Method for fabricating semiconductor device with guard ring

Номер патента: US20230395594A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2023-12-07.

Chip packages and methods for forming the same

Номер патента: US20190172805A1. Автор: Wang-Lai Yang. Владелец: Shunsin Technology Zhongshan Ltd. Дата публикации: 2019-06-06.

Chip packages and methods for forming the same

Номер патента: US10770417B2. Автор: Wang-Lai Yang. Владелец: Shunsin Technology Zhongshan Ltd. Дата публикации: 2020-09-08.

Methods for forming lateral trench optical detectors

Номер патента: US20020115300A1. Автор: MIN Yang,Kern Rim. Владелец: International Business Machines Corp. Дата публикации: 2002-08-22.

Methods for forming lateral trench optical detectors

Номер патента: EP1362380A1. Автор: MIN Yang,Kern Rim. Владелец: International Business Machines Corp. Дата публикации: 2003-11-19.

Method For Fabricating A Photovoltaic Module Including Laser Cutting Of A Photovoltaic Label

Номер патента: US20230207721A1. Автор: Mathieu Baudrit. Владелец: Sono Motors GmbH. Дата публикации: 2023-06-29.

Transmission line and manufacture method of isolating layer thereof

Номер патента: US12087466B2. Автор: Tsun Yi KO. Владелец: Individual. Дата публикации: 2024-09-10.

Anode and method for forming a zinc metal anode using molecular layer deposition

Номер патента: CA3123894A1. Автор: Jian Liu,Huibing He. Владелец: University of British Columbia. Дата публикации: 2021-09-16.

Method for manufacturing low cost electroluminescent (EL) illuminated membrane switches

Номер патента: US20060026821A1. Автор: William Stevenson,James Lau. Владелец: Novatech Electroluminescent Inc. Дата публикации: 2006-02-09.

Method for forming laminated resin film and method for producing semiconductor device

Номер патента: US20110306185A1. Автор: Yukihiro Tsuji. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2011-12-15.

Method for Forming Pouch

Номер патента: US20240297377A1. Автор: Yong Su Choi,Sung Ryul KWON,Dong Gyun SEOL,Gi Beom KANG. Владелец: LG Energy Solution Ltd. Дата публикации: 2024-09-05.

Reaction chamber arrangement and a method for forming a reaction chamber arrangement

Номер патента: US20130164566A1. Автор: Klaus Elian. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2013-06-27.

Electrolytic capacitor and method for improved electrolytic capacitor anodes

Номер патента: US20180047515A1. Автор: James Wong. Владелец: Composite Materials Technology Inc. Дата публикации: 2018-02-15.

Method for forming a fuel cell electrode using a resole binder

Номер патента: US20040058801A1. Автор: Phillip Waitkus,Bohumir Lepeska,Theodore Morrison,Paschal Sciarra. Владелец: Individual. Дата публикации: 2004-03-25.

Stable filter and method for forming the same

Номер патента: US20060103490A1. Автор: Chia-Ho Lin. Владелец: HIGH & LOW ELECTRONIC Co Ltd. Дата публикации: 2006-05-18.

Electrolytic capacitor and method for improved electrolytic capacitor anodes

Номер патента: EP3496884A1. Автор: James Wong. Владелец: Composite Materials Technology Inc. Дата публикации: 2019-06-19.

Electron gun, electron beam application device, and method for forming multi-electron beam

Номер патента: EP4432328A1. Автор: Hokuto Iijima. Владелец: Photo Electron Soul Inc. Дата публикации: 2024-09-18.

Method for forming amorphous carbon film

Номер патента: EP1741801B1. Автор: Kenji Yamamoto. Владелец: Kobe Steel Ltd. Дата публикации: 2009-08-12.

Method for preparing sulfur-polyacrylonitrile (span)

Номер патента: US20230287153A1. Автор: Mei Cai,Fang DAI,Robert D. Schmidt,Shuru Chen. Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2023-09-14.

Method for forming amorphous carbon film

Номер патента: EP1741801A3. Автор: Kenji Yamamoto. Владелец: Kobe Steel Ltd. Дата публикации: 2007-09-05.

Method for forming electrically conductive impregnated fibers and fiber pellets

Номер патента: WO2003022026A1. Автор: Cameron G Cofer,Dale E Mccoy. Владелец: PARKER HANNIFIN CORPORATION. Дата публикации: 2003-03-13.

Peak and gain calibration of a receiver in an isolation product

Номер патента: US11750231B2. Автор: Carlos J. Briseno-Vidrios,Gabor Marek. Владелец: Skyworks Solutions Inc. Дата публикации: 2023-09-05.

Methods and circuits for transferring a signal across an isolation barrier

Номер патента: WO2024020681A1. Автор: XIANG Yu,Yang Chen,Yan-Fei Liu,Binghui He,Bo SHENG. Владелец: Queen's University At Kingston. Дата публикации: 2024-02-01.

Systems and methods for propagating digital data across an isolation barrier

Номер патента: US8497700B2. Автор: Geoffrey T Haigh. Владелец: Samsung Electro Mechanics Co Ltd. Дата публикации: 2013-07-30.

Method for fabricating semiconductor device

Номер патента: US20020045305A1. Автор: Ki Lee. Владелец: Individual. Дата публикации: 2002-04-18.

Method for forming a semiconductor device with a single-sided buried strap

Номер патента: US20080268590A1. Автор: Neng-Tai Shih,Ming-Cheng Chang. Владелец: Nanya Technology Corp. Дата публикации: 2008-10-30.

Method for implanting and coding a read-only memory with automatic alignment at four corners

Номер патента: US20030092275A1. Автор: Chun Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2003-05-15.

Microsystem and method for making a microsystem

Номер патента: EP4386340A2. Автор: Lutz Eckart,John Phair. Владелец: Avago Technologies International Sales Pte Ltd. Дата публикации: 2024-06-19.

Method for forming flash memory cell

Номер патента: US20020177274A1. Автор: Ping-Yi Chang,Wan-Yi Liu,Shu-Li Wu. Владелец: Macronix International Co Ltd. Дата публикации: 2002-11-28.

Semiconductor device with improved overlay margin and method of manufacturing the same

Номер патента: US20100203705A1. Автор: JOON-SOO PARK. Владелец: Individual. Дата публикации: 2010-08-12.

Method for implanting and coding a read-only memory with automatic alignment at four corners

Номер патента: US6649526B2. Автор: Chun Yi Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2003-11-18.

Semiconductor device having an expanded storage node contact and method for fabricating the same

Номер патента: US20100109075A1. Автор: Tae O Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-05-06.

Semiconductor device having an expanded storage node contact and method for fabricating the same

Номер патента: US20110076835A1. Автор: Tae O. Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-03-31.

Semiconductor device and method for forming the same

Номер патента: US12022739B2. Автор: Chih-Wei Kuo. Владелец: United Microelectronics Corp. Дата публикации: 2024-06-25.

Display panel, method for fabricating the same and display device

Номер патента: US20190094584A1. Автор: Yuansheng Zang,Huizi LI. Владелец: Hefei BOE Optoelectronics Technology Co Ltd. Дата публикации: 2019-03-28.

Method for manufacturing electric film body

Номер патента: US20140072780A1. Автор: Noboru Otabe,Takayasu SUGAI. Владелец: Micronics Japan Co Ltd. Дата публикации: 2014-03-13.

Method of manufacturing semiconductor devices

Номер патента: US20240324172A1. Автор: Yanghee Lee,Donghoon Kwon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-09-26.

Methods for forming three-dimensional memory devices, and related structures

Номер патента: US20120199987A1. Автор: Nishant Sinha,Krishna K. Parat. Владелец: Micron Technology Inc. Дата публикации: 2012-08-09.

Method for manufacturing quartz piece

Номер патента: US20080000058A1. Автор: Takehiro Takahashi. Владелец: Nihon Dempa Kogyo Co Ltd. Дата публикации: 2008-01-03.

Method for fabricating AND-type flash memory cell

Номер патента: US20040157403A1. Автор: Chang Han,Bong Kim. Владелец: Individual. Дата публикации: 2004-08-12.

Method for manufacturing organic transistor and organic transistor

Номер патента: US20090256144A1. Автор: Masataka Kano,Kazuhito Tsukagoshi,Takeo MINARI. Владелец: DAI NIPPON PRINTING CO LTD. Дата публикации: 2009-10-15.

Semiconductor device having trench top isolation layer and method for forming the same

Номер патента: US20040209422A1. Автор: Yi-Nan Chen,Tieh-Chiang Wu,Feng-Chuan Lin. Владелец: Nanya Technology Corp. Дата публикации: 2004-10-21.

Semiconductor structure and method for forming the same

Номер патента: US20240268100A1. Автор: Huang-Nan Chen. Владелец: Winbond Electronics Corp. Дата публикации: 2024-08-08.

Semiconductor device and method for fabricating the same

Номер патента: US12089508B2. Автор: Hung-Chan Lin. Владелец: United Microelectronics Corp. Дата публикации: 2024-09-10.

Systems and methods for signal path isolation for neutral-host hardware

Номер патента: US20240305329A1. Автор: Johannes STEIGERT. Владелец: CommScope Technologies LLC. Дата публикации: 2024-09-12.

Method for manufacturing wiring substrate

Номер патента: US20210235584A1. Автор: Shinichiro Ichikawa,Takanori Doi. Владелец: Ibiden Co Ltd. Дата публикации: 2021-07-29.

Hybrid structure and methods for forming the same

Номер патента: CA3007236A1. Автор: Marcus Alexander Erickson. Владелец: Boeing Co. Дата публикации: 2014-09-15.

Hybrid structure and methods for forming the same

Номер патента: CA3007236C. Автор: Marcus Alexander Erickson. Владелец: Boeing Co. Дата публикации: 2020-12-15.

Systems and methods for milling paving material with an isolated actuator

Номер патента: US20240309594A1. Автор: J. Tron Haroldsen,Nathan Douglas Dibb. Владелец: Asphalt Zipper Inc. Дата публикации: 2024-09-19.

System and method for measuring ventricular function

Номер патента: US20030036707A1. Автор: Henry Holzgrefe. Владелец: Bristol Myers Squibb Co. Дата публикации: 2003-02-20.

Container-based language runtime loading an isolated method

Номер патента: US20190138319A1. Автор: Michael Haupt,John Rose,Brian Goetz. Владелец: Oracle International Corp. Дата публикации: 2019-05-09.

Container-based language runtime using a variable-sized container for an isolated method

Номер патента: US20180032347A1. Автор: Michael Haupt,John Rose,Brian Goetz. Владелец: Oracle International Corp. Дата публикации: 2018-02-01.

Methods for activation and expansion of natural killer cells and uses therof

Номер патента: EP3755349A1. Автор: Elizabeth SHPALL,Katy REZVANI,Lucila Nassif KERBAUY. Владелец: University of Texas System. Дата публикации: 2020-12-30.

Systems and methods for measuring reduced pressure employing an isolated fluid path

Номер патента: US20110288535A1. Автор: Christopher Brian Locke,Aidan Marcus Tout. Владелец: Kci Licensing Inc. Дата публикации: 2011-11-24.

Systems and methods for measuring reduced pressure employing an isolated fluid path

Номер патента: CA2795233A1. Автор: Christopher Brian Locke,Aidan Marcus Tout. Владелец: Kci Licensing Inc. Дата публикации: 2011-11-24.

Casting method for a product formed an inside flow passage and the product

Номер патента: KR20200067485A. Автор: 이철웅,유진호,이지용,조영래. Владелец: 엠에이치기술개발 주식회사. Дата публикации: 2020-06-12.

Forming systems and methods for forming an elongate charge of composite material

Номер патента: US20240181726A1. Автор: John Dempsey Morris,Derek John Flolid. Владелец: Boeing Co. Дата публикации: 2024-06-06.

Method for making mold for forming anti-flare lens

Номер патента: US8454864B2. Автор: Chien-Feng Huang. Владелец: Hon Hai Precision Industry Co Ltd. Дата публикации: 2013-06-04.

Method for producing phenolic resin

Номер патента: PH12021550649A1. Автор: Ryosuke Asahara,Shuji NISHIGAKI. Владелец: Futamura Kagaku Kk. Дата публикации: 2022-02-14.

Method for forming micro-electro-mechanical system (mems) structure

Номер патента: US20190315620A1. Автор: Kai-Fung Chang,Len-Yi Leu,Lien-Yao TSAI. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-10-17.

Method and device for forming an isolated visualization of body structures

Номер патента: WO2003049040A2. Автор: Rafael Wiemker,Thomas Blaffert. Владелец: Philips Corporate Intellectual Property GmbH. Дата публикации: 2003-06-12.

Method and device for forming an isolated visualization of body structures

Номер патента: EP1459262A2. Автор: Rafael Wiemker,Thomas Blaffert. Владелец: Philips Corporate Intellectual Property GmbH. Дата публикации: 2004-09-22.

Devices and methods for detecting pancreatic lipase

Номер патента: US20240218421A1. Автор: THOMAS Jordan,Arvind DEV,Adam St. Hilaire,Paige Pomeroy. Владелец: Idexx Laboratories Inc. Дата публикации: 2024-07-04.

Method for forming multilayer coating film

Номер патента: US20210039136A1. Автор: Akihiro Nishimura. Владелец: Nippon Paint Automotive Coatings Co Ltd. Дата публикации: 2021-02-11.

Method for forming multilayer coating film

Номер патента: CA3095439A1. Автор: Akihiro Nishimura. Владелец: Nippon Paint Automotive Coatings Co Ltd. Дата публикации: 2020-07-02.

Extreme ultraviolet (euv) mask absorber and method for forming the same

Номер патента: US20190227427A1. Автор: Jed H. Rankin,Lei Zhuang,Amr Y. Abdo. Владелец: Globalfoundries Inc. Дата публикации: 2019-07-25.

Method and device for forming an isolated visualization of body structures

Номер патента: WO2003049040A3. Автор: Rafael Wiemker,Thomas Blaffert. Владелец: Philips Corp Intellectual Pty. Дата публикации: 2004-03-11.

Thermally insulated hose and method for producing the same

Номер патента: EP2113700A3. Автор: Noriaki Fujimoto,Nobuo Shindo. Владелец: Tigers Polymer Corp. Дата публикации: 2016-10-05.

Image forming system and method for controlling image forming operation

Номер патента: US20150248095A1. Автор: Shoichi Nakamura. Владелец: KONICA MINOLTA INC. Дата публикации: 2015-09-03.

Image forming system and method for controlling image forming operation

Номер патента: US9354575B2. Автор: Shoichi Nakamura. Владелец: KONICA MINOLTA INC. Дата публикации: 2016-05-31.

Method for forming multilayer coating film

Номер патента: US20200398308A1. Автор: Kenji Sakai,Nobuhiko Narita,Hirokazu OKAZAKI,Tatsuo Kuramochi. Владелец: Kansai Paint Co Ltd. Дата публикации: 2020-12-24.

Method for forming multilayer coating film

Номер патента: US20210245198A1. Автор: Satoshi Ishida,Akiko Senda,Kenta TAKEMURA. Владелец: Nippon Paint Automotive Coatings Co Ltd. Дата публикации: 2021-08-12.

A method for stuffing articles in envelopes

Номер патента: WO2013054314A1. Автор: Francesco Ponti. Владелец: C.M.C. S.R.L.. Дата публикации: 2013-04-18.

Core locking assembly and method for orientation of asymmetric tooling

Номер патента: CA2592524A1. Автор: Bruce E. Lawton,Daniel P. Barrows. Владелец: Individual. Дата публикации: 2006-07-13.

Core locking assembly and method for orientation of asymmetric tooling

Номер патента: EP1831002A1. Автор: Bruce E. Lawton,Daniel P. Barrows. Владелец: Bausch and Lomb Inc. Дата публикации: 2007-09-12.

Core locking assembly and method for orientation of asymmetric tooling

Номер патента: WO2006073575A1. Автор: Bruce E. Lawton,Daniel P. Barrows. Владелец: Bausch & Lomb Incorporated. Дата публикации: 2006-07-13.

Method for producing flavan derivative

Номер патента: EP1997815A4. Автор: Takashi Higuchi,Keisuke Suzuki,Ken Ohmori. Владелец: Tokyo Institute of Technology NUC. Дата публикации: 2009-07-29.

Method for making a bung for a metal cask

Номер патента: AU2001235542A1. Автор: Jean-Claude Carayon,Lucien Brun. Владелец: Gallay SA. Дата публикации: 2001-07-31.

Decorative holder for a light source, blank therefore, greetings cards and method for forming such a holder

Номер патента: GB201320666D0. Автор: . Владелец: SCHELTEMA YVETTE E. Дата публикации: 2014-01-08.

Apparatus and method for forming an article

Номер патента: US20010048185A1. Автор: Donald Weder,Frank Craig,Michael King. Владелец: Individual. Дата публикации: 2001-12-06.

Apparatus and method for forming alignment layer

Номер патента: US20040246419A1. Автор: Nobuo Okazaki,Hiroki Nakano,Yukito Saitoh,Shuhichi Odahara. Владелец: International Business Machines Corp. Дата публикации: 2004-12-09.

Method for preventing TMR MRR drop of slider and micro-texture forming method in same process

Номер патента: US20080000075A1. Автор: Hongtao Ma,Hongxin Fang,Baohua Chen. Владелец: SAE Magnetics HK Ltd. Дата публикации: 2008-01-03.

Method for forming a unassembled electrophoresis gel cassette

Номер патента: US20240167979A1. Автор: Kuan-Lin Lee. Владелец: Smobio Technology Inc. Дата публикации: 2024-05-23.

Ratchet wheel and method for making the same

Номер патента: US20190368067A1. Автор: Yi-Fu Chen. Владелец: Individual. Дата публикации: 2019-12-05.

Method for inkjet printing on molded article

Номер патента: US20150298456A1. Автор: Hiroaki Kikuchi,Katsumi Hashimoto,Sei NAKAGAWA. Владелец: Nippon Closures Co Ltd. Дата публикации: 2015-10-22.

An orthopaedic trauma plate and method for forming same

Номер патента: EP3937852A1. Автор: Gavin Kane O'Neill,Lina YAN. Владелец: National University Hospital Singapore Pte Ltd. Дата публикации: 2022-01-19.

Apparatus and methods for forming an attachment pad in high strength steel materials

Номер патента: CA3226531A1. Автор: Peter L. Busuttil. Владелец: KUKA Systems North America LLC. Дата публикации: 2023-02-16.

Bringing a non-isolated application into an isolation layer with an isolated application

Номер патента: US20180276370A1. Автор: Haik A. Mesropian. Владелец: Symantec Corp. Дата публикации: 2018-09-27.

Apparatus and methods for forming curved films

Номер патента: WO2024124361A1. Автор: Yifei Li,Jiao LIU,Guangsen WANG,Ryan IRWIN,Shuai LAI. Владелец: Neverfrost Inc.. Дата публикации: 2024-06-20.

Apparatus and methods for forming an attachment pad in high strength steel materials

Номер патента: US12097548B2. Автор: Peter L. Busuttil. Владелец: KUKA Systems North America LLC. Дата публикации: 2024-09-24.

Method for manufacturing solar-control laminated glass

Номер патента: WO2022100592A1. Автор: Bernard Nghiem,Guillaume Gauthier,Koucun ZHANG. Владелец: SAINT-GOBAIN GLASS FRANCE. Дата публикации: 2022-05-19.

Method for forming multilayer coating film

Номер патента: US20190031915A1. Автор: Makoto Shimizu,Daisuke Segawa,Yoshiki Takaira,Manabu Horiuchi,Miho OKA. Владелец: Nippon Paint Automotive Coatings Co Ltd. Дата публикации: 2019-01-31.

Forming method for assembly of bead core and apex rubber

Номер патента: US20070137765A1. Автор: Masumi Suzuki,Shuichi Yamamori. Владелец: Sumitomo Rubber Industries Ltd. Дата публикации: 2007-06-21.

Methods for using ink jettable, uv-curable compositions

Номер патента: US20170081533A1. Автор: Thomas B. Brust,Anne Troxell Wyand. Владелец: Eastman Kodak Co. Дата публикации: 2017-03-23.

An apparatus and method for forming an opening in a storage tank

Номер патента: EP2069114A1. Автор: Philip Quinan,Russell Thorpe,Dale Mclntyre Timms. Владелец: Zestco Pty Ltd. Дата публикации: 2009-06-17.

Method and apparatus for forming uncured rubber component

Номер патента: US11548209B2. Автор: Hirokazu Takahashi. Владелец: Sumitomo Rubber Industries Ltd. Дата публикации: 2023-01-10.

Method for manufacturing solar-control laminated glass

Номер патента: EP4244195A1. Автор: Bernard Nghiem,Guillaume Gauthier,Koucun ZHANG. Владелец: Compagnie de Saint Gobain SA. Дата публикации: 2023-09-20.

Method for testing memory cell in semiconductor device

Номер патента: US6556493B2. Автор: Tae-Kyu Kim,Yoon-Soo Jang,Young-Seon You,Mun-Hwa Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-04-29.

Synchronizer hub for vehicles and method for manufacturing the same

Номер патента: US20170203367A1. Автор: Na Ra Sin. Владелец: Hyundai Motor Co. Дата публикации: 2017-07-20.

Method for forming an image on a silver halide color photographic material

Номер патента: US5650264A. Автор: Kiyoshi Kawai,Mitsuo Saito. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 1997-07-22.

System and method for forming integral flange in composite laminate structure after layup

Номер патента: WO2021194765A1. Автор: Mark Anthony Wadsworth. Владелец: SPIRIT AEROSYSTEMS, INC.. Дата публикации: 2021-09-30.

System and method for well bore isolation of a retrievable motor assembly

Номер патента: US20230399896A1. Автор: Dwayne Leismer,Rogelio Cantu. Владелец: Accessesp UK Ltd. Дата публикации: 2023-12-14.

Image forming system and method for selecting an image for a sample paper ejection

Номер патента: US8502992B2. Автор: Susumu Kurihara. Владелец: KONICA MINOLTA BUSINESS TECHNOLOGIES INC. Дата публикации: 2013-08-06.

Inkjet printer, printing system, and method for producing printed matter

Номер патента: US12036800B2. Автор: Hirohito Murate. Владелец: Ricoh Co Ltd. Дата публикации: 2024-07-16.

Method for remote placement of underwater isolation barriers

Номер патента: WO2024137920A1. Автор: Brad HIBBARD,Jay HIBBARD,James L. HIBBARD. Владелец: Hibbard James L. Дата публикации: 2024-06-27.

Apparatus and method for pulsed magnetic forming of a dish from a planar plate

Номер патента: EP1054746A2. Автор: Oren Gafri,Yuri Livshiz. Владелец: Pulsar Welding Ltd. Дата публикации: 2000-11-29.

System and method for forming integral flange in composite laminate structure after layup

Номер патента: EP4127539A1. Автор: Mark Anthony Wadsworth. Владелец: Spirit AeroSystems Inc. Дата публикации: 2023-02-08.

Apparatus for forming an optical multilayer filter

Номер патента: US20040076740A1. Автор: Noboru Uehara,Emiko Nishida. Владелец: Japan Aviation Electronics Industry Ltd. Дата публикации: 2004-04-22.

Method for forming multilayer coating film

Номер патента: EP3685928A1. Автор: Nobuhiko Narita,Hirokazu OKAZAKI,Tatsuo Kuramochi,Yuya Hirai. Владелец: Kansai Paint Co Ltd. Дата публикации: 2020-07-29.

Method of forming an hermetic seal on electronic and optoelectronic packages

Номер патента: US20190361180A1. Автор: Suresh Venkatesan,Yee Loy Lam,Long Cheng Koh. Владелец: Espresso Capital Ltd. Дата публикации: 2019-11-28.

Abrasive articles and methods for forming same

Номер патента: US20230211469A1. Автор: Brahmanandam V. Tanikella,Charles J. Gasdaska. Владелец: Saint Gobain Abrasifs SA. Дата публикации: 2023-07-06.

Abrasive articles and methods for forming same

Номер патента: US20220282144A1. Автор: Brahmanandam V. Tanikella,Michael J. Lemberger,Charles J. Gasdaska. Владелец: Saint Gobain Abrasifs SA. Дата публикации: 2022-09-08.

Apparatus and method for forming an absorbent pad

Номер патента: EP3600190A1. Автор: Matteo Piantoni,Valerio Soli,Marco Rosani,Federico TOSCANI,Giuseppe Poli. Владелец: GDM SpA. Дата публикации: 2020-02-05.

Apparatuses and methods for making seal rings for caps, and thus obtained seal rings

Номер патента: EP2296859A2. Автор: Stefano Bergami,Danilo Albonetti,Fabrizio Villa. Владелец: Sacmi Imola Sc. Дата публикации: 2011-03-23.

Cyan toner and method for forming an image

Номер патента: EP1455239A3. Автор: Hirohide Tanikawa,Yoshinobu Baba,Yuko Sato,Takayuki Itakura,Kazuo Terauchi,Takaaki Kotaki,Nobuyoshi Sugahara. Владелец: Canon Inc. Дата публикации: 2005-05-25.

Turbine shroud and a method for manufacturing the turbine shroud

Номер патента: US20120219404A1. Автор: David Wayne Weber,Gregory Thomas Foster. Владелец: General Electric Co. Дата публикации: 2012-08-30.

Optical waveguide article with laminate structure and method for forming the same

Номер патента: US20210302649A1. Автор: Natesan Venkataraman,Venkata Adiseshaiah Bhagavatula. Владелец: Corning Inc. Дата публикации: 2021-09-30.

Systems and methods for forming and displaying an analyte location map

Номер патента: US20240192354A1. Автор: Jeremy P. Walker,Kemper Talley. Владелец: Teledyne Flir Defense Inc. Дата публикации: 2024-06-13.

System and method for maintaining tenant data isolation in a messaging service

Номер патента: US20230169197A1. Автор: Yoel Amram,Nadav HOZE. Владелец: Gong IO Ltd. Дата публикации: 2023-06-01.

Pneumatically operated form keys and method for thermoforming undercuts in foam parts

Номер патента: US20010030384A1. Автор: John Fuller,Philip Baker,Kenneth Simmons. Владелец: PACTIV LLC. Дата публикации: 2001-10-18.

Apparatus and method for packaging smoking articles

Номер патента: EP4294731A1. Автор: Fiorenzo Draghetti,Simone MONARI. Владелец: IMA Industria Macchine Automatiche SpA. Дата публикации: 2023-12-27.

Sytems and Methods for Propagating Digital Data across an Isolation Barrier

Номер патента: US20130099817A1. Автор: Haigh Geoffrey T.. Владелец: Samsung Electro-Mechanics Company, Ltd.. Дата публикации: 2013-04-25.

System and method for actuating isolation valves in a subterranean well

Номер патента: MY174646A. Автор: Steven J Craik. Владелец: Halliburton Energy Services Inc. Дата публикации: 2020-05-05.