• Главная
  • Method for Forming an Extreme Ultraviolet Lithography Pellicle

Method for Forming an Extreme Ultraviolet Lithography Pellicle

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Pellicle for extreme ultraviolet lithography

Номер патента: EP4075195A1. Автор: Hyeong Keun Kim,Seul Gi Kim,Hyun Mi Kim,Jin Woo Cho,Ki Hun Seong. Владелец: KOREA ELECTRONICS TECHNOLOGY INSTITUTE. Дата публикации: 2022-10-19.

Method for correcting pattern CD of mask for extreme ultraviolet lithography

Номер патента: KR101069433B1. Автор: 김용대. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-09-30.

Multiple Exposures in Extreme Ultraviolet Lithography

Номер патента: US20140272720A1. Автор: YEN-CHENG Lu,Shinn-Sheng Yu,Anthony Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-09-18.

Extreme Ultraviolet Lithography Process and Mask

Номер патента: US20140268091A1. Автор: YEN-CHENG Lu,Shinn-Sheng Yu,Jeng-Horng Chen,Anthony Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-09-18.

Semiconductor device manufacturing method and extreme ultraviolet mask manufacturing method

Номер патента: US12092961B2. Автор: Dongwon Kang,Sang Chul YEO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-09-17.

Extreme Ultraviolet Lithography System

Номер патента: US20200019070A1. Автор: Ching-Yu Chang,Chin-Hsiang Lin,Cheng-Han Wu,Ming-Hui Weng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-16.

Extreme ultraviolet lithography system

Номер патента: US12055865B2. Автор: Chi Yang,Po-Chung Cheng,Li-Jui Chen,Che-Chang Hsu,Ssu-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-06.

High Heat Load Optics with Vibration Isolated Hoses in an Extreme Ultraviolet Lithography System

Номер патента: US20130323649A1. Автор: Douglas C. Watson,Travis BOW. Владелец: Nikon Corp. Дата публикации: 2013-12-05.

Extreme ultraviolet lithography process and mask

Номер патента: US20160306272A1. Автор: YEN-CHENG Lu,Shinn-Sheng Yu,Jeng-Horng Chen,Anthony Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-20.

Extreme Ultraviolet Lithography Process and Mask

Номер патента: US20150098069A1. Автор: YEN-CHENG Lu,Shinn-Sheng Yu,Jeng-Horng Chen,Anthony Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-04-09.

Extreme ultraviolet lithography system

Номер патента: US20210033983A1. Автор: Chi Yang,Po-Chung Cheng,Li-Jui Chen,Che-Chang Hsu,Ssu-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-02-04.

An extreme ultraviolet lithography process

Номер патента: KR101485669B1. Автор: 옌-쳉 루,쉰-쉥 유,앤소니 옌. Владелец: 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드. Дата публикации: 2015-01-22.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: NL2036212A. Автор: UENO Yoshifumi,MIYASHITA Kotaro,NISHIMURA Yuichi. Владелец: GIGAPHOTON INC. Дата публикации: 2024-06-11.

Extreme ultraviolet control system

Номер патента: US20230030134A1. Автор: Shang-Chieh Chien,Po-Chung Cheng,Li-Jui Chen,Chieh HSIEH,Jen-Yang Chung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-02-02.

Foil trap and extreme ultraviolet light source device using the foil trap

Номер патента: EP1972999A3. Автор: Takahiro Inoue,Takahiro Shirai. Владелец: Ushio Denki KK. Дата публикации: 2009-07-15.

Extreme ultraviolet lithography system that utilizes pattern stitching

Номер патента: US20190235393A1. Автор: David M. Williamson,Michael B. Binnard,Daniel Gene Smith. Владелец: Nikon Corp. Дата публикации: 2019-08-01.

Method of cleaning extreme ultraviolet lithography collector

Номер патента: US20200073250A1. Автор: Ching-Yu Chang,Chin-Hsiang Lin,An-Ren Zi. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-05.

Extreme ultraviolet lithography system that utilizes pattern stitching

Номер патента: US20170336720A1. Автор: David M. Williamson,Michael B. Binnard,Daniel Gene Smith. Владелец: Nikon Corp. Дата публикации: 2017-11-23.

Dense line extreme ultraviolet lithography system with distortion matching

Номер патента: US20190212663A1. Автор: Michael B. Binnard. Владелец: Nikon Corp. Дата публикации: 2019-07-11.

Extreme ultraviolet lithography projection optics system and associated methods.

Номер патента: NL2013719B1. Автор: Lu Yen-Cheng,Yu Shinn-Sheng,Yen Anthony. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2016-04-04.

Methods and apparatus for forming resist pattern using EUV light with electric field

Номер патента: US11754925B2. Автор: Bu Geun KI. Владелец: SK hynix Inc. Дата публикации: 2023-09-12.

Methods and apparatus for forming resist pattern using euv light with electric field

Номер патента: US20220244646A1. Автор: Bu Geun KI. Владелец: SK hynix Inc. Дата публикации: 2022-08-04.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: US20230161262A1. Автор: Kotaro Miyashita,Gouta NIIMI. Владелец: GIGAPHOTON INC. Дата публикации: 2023-05-25.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2033907A. Автор: UEDA Atsushi,KOGE Koichiro. Владелец: GIGAPHOTON INC. Дата публикации: 2023-08-25.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: NL2033232A. Автор: MIYASHITA Kotaro,NIIMI Gouta. Владелец: GIGAPHOTON INC. Дата публикации: 2023-06-12.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2032453B1. Автор: Miyashita Koutaro. Владелец: GIGAPHOTON INC. Дата публикации: 2024-06-10.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2032043B1. Автор: Miyashita Koutaro. Владелец: GIGAPHOTON INC. Дата публикации: 2024-05-17.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US20210235571A1. Автор: Shinji Nagai,Atsushi Ueda. Владелец: GIGAPHOTON INC. Дата публикации: 2021-07-29.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2032453A. Автор: Miyashita Koutaro. Владелец: GIGAPHOTON INC. Дата публикации: 2023-03-14.

Extreme ultraviolet light source device and protection method for receiving plate member

Номер патента: US20230324815A1. Автор: Nobuaki Miyagawa,Hironobu Yabuta. Владелец: Ushio Denki KK. Дата публикации: 2023-10-12.

Extreme ultraviolet light generation chamber device and electronic device manufacturing method

Номер патента: NL2036152A. Автор: UEDA Atsushi. Владелец: GIGAPHOTON INC. Дата публикации: 2024-06-14.

Extreme ultraviolet light source device

Номер патента: EP4152097A1. Автор: Shunichi Morimoto,Hideyuki URAKAMI. Владелец: Ushio Denki KK. Дата публикации: 2023-03-22.

Extreme ultraviolet light generation apparatus

Номер патента: US20160192470A1. Автор: Yutaka Shiraishi,Fumio Iwamoto,Takuya Ishii,Tsukasa Hori. Владелец: GIGAPHOTON INC. Дата публикации: 2016-06-30.

Extreme ultraviolet light source apparatus and method of adjusting the same

Номер патента: US20100193712A1. Автор: Kazuo Tawarayama. Владелец: Individual. Дата публикации: 2010-08-05.

Extreme ultraviolet generation apparatus

Номер патента: US20210092825A1. Автор: In Ho Choi,In Jae Lee,Jeong-Gil Kim,Sung Ho Jang,Min Seok Choi,Hyuck Shin. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-03-25.

Extreme ultraviolet light generation chamber device and electronic device manufacturing method

Номер патента: US20240196505A1. Автор: Atsushi Ueda. Владелец: GIGAPHOTON INC. Дата публикации: 2024-06-13.

Extreme ultraviolet light generation chamber device and electronic device manufacturing method

Номер патента: US20240160107A1. Автор: Yoshiyuki Honda. Владелец: GIGAPHOTON INC. Дата публикации: 2024-05-16.

Extreme ultraviolet light generation chamber device and electronic device manufacturing method

Номер патента: NL2035896A. Автор: Honda Yoshiyuki. Владелец: GIGAPHOTON INC. Дата публикации: 2024-05-28.

Extreme ultraviolet light generation system

Номер патента: EP2548080A1. Автор: Yukio Watanabe,Osamu Wakabayashi,Tamotsu Abe,Toshihiro Nishisaka. Владелец: GIGAPHOTON INC. Дата публикации: 2013-01-23.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: NL2029171A. Автор: UENO Yoshifumi,YABU Takayuki. Владелец: GIGAPHOTON INC. Дата публикации: 2022-06-01.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: US20220110205A1. Автор: Yoshifumi Ueno,Takayuki Yabu. Владелец: GIGAPHOTON INC. Дата публикации: 2022-04-07.

Electrode insulator materials for use in extreme ultraviolet electric discharge sources

Номер патента: US20040140439A1. Автор: Bryan Rice,Melissa Shell. Владелец: Intel Corp. Дата публикации: 2004-07-22.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: US20230284365A1. Автор: Yuichi Nishimura,Shogo KITASAKA. Владелец: GIGAPHOTON INC. Дата публикации: 2023-09-07.

Extreme ultraviolet light generating device

Номер патента: US20180224746A1. Автор: Takayuki Yabu. Владелец: GIGAPHOTON INC. Дата публикации: 2018-08-09.

Extreme ultraviolet light generation apparatus and maintenance method

Номер патента: US20200142311A1. Автор: Katsuhiko Wakana. Владелец: GIGAPHOTON INC. Дата публикации: 2020-05-07.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: NL2034100A. Автор: NISHIMURA Yuichi,Kitasaka Shogo. Владелец: GIGAPHOTON INC. Дата публикации: 2023-09-11.

System and method for cleaning an EUV mask

Номер патента: US12099310B2. Автор: Tzung-Chi Fu,Yen-Hui Li,Cheng-Han Yeh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-24.

Extreme ultraviolet light generating apparatus

Номер патента: US20200133137A1. Автор: Katsuhiko Sugisawa. Владелец: GIGAPHOTON INC. Дата публикации: 2020-04-30.

Extreme ultraviolet light generation chamber device and electronic device manufacturing method

Номер патента: NL2036440A. Автор: UEDA Atsushi. Владелец: GIGAPHOTON INC. Дата публикации: 2024-07-22.

Extreme ultraviolet light generation apparatus

Номер патента: US20190364654A1. Автор: Atsushi Ueda,Akihiro Takayama. Владелец: GIGAPHOTON INC. Дата публикации: 2019-11-28.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: NL2036213A. Автор: UENO Yoshifumi,MIYASHITA Kotaro,NISHIMURA Yuichi. Владелец: GIGAPHOTON INC. Дата публикации: 2024-06-11.

Extreme ultraviolet light generation apparatus, and electronic device manufacturing method

Номер патента: NL2027481A. Автор: UEDA Atsushi,KOGE Koichiro,OSANAI Takayuki. Владелец: GIGAPHOTON INC. Дата публикации: 2021-10-20.

Extreme ultraviolet light source device

Номер патента: US20230213865A1. Автор: Hironobu Yabuta,Hajime Kikuiri. Владелец: Ushio Denki KK. Дата публикации: 2023-07-06.

Extreme ultraviolet light generation chamber device and electronic device manufacturing method

Номер патента: US20240241448A1. Автор: Atsushi Ueda. Владелец: GIGAPHOTON INC. Дата публикации: 2024-07-18.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US20230007763A1. Автор: Koutaro MIYASHITA. Владелец: GIGAPHOTON INC. Дата публикации: 2023-01-05.

Extreme ultraviolet light generation device and electronic device manufacturing method

Номер патента: NL2026231A. Автор: SAUMAGNE Georg. Владелец: GIGAPHOTON INC. Дата публикации: 2021-05-17.

Extreme ultraviolet light generation apparatus

Номер патента: US20180146536A1. Автор: Toru Suzuki,Osamu Wakabayashi,Tamotsu Abe,Tatsuya Yanagida. Владелец: GIGAPHOTON INC. Дата публикации: 2018-05-24.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US20230309211A1. Автор: Yoshiyuki Honda. Владелец: GIGAPHOTON INC. Дата публикации: 2023-09-28.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US20200236769A1. Автор: Yoshifumi Ueno,Yuta TAKASHIMA. Владелец: GIGAPHOTON INC. Дата публикации: 2020-07-23.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US11924955B2. Автор: Yoshiyuki Honda. Владелец: GIGAPHOTON INC. Дата публикации: 2024-03-05.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2033425B1. Автор: Honda Yoshiyuki. Владелец: GIGAPHOTON INC. Дата публикации: 2023-09-11.

Extreme ultraviolet light source apparatus and plasma position adjusting method

Номер патента: US20210327701A1. Автор: Noritaka Ashizawa. Владелец: Ushio Denki KK. Дата публикации: 2021-10-21.

Extreme ultraviolet light source apparatus and plasma position adjusting method

Номер патента: US11631579B2. Автор: Noritaka Ashizawa. Владелец: Ushio Denki KK. Дата публикации: 2023-04-18.

Extreme ultraviolet light concentrating mirror and electronic device manufacturing method

Номер патента: NL2027952B1. Автор: Honda Yoshiyuki,Morita Masayuki. Владелец: GIGAPHOTON INC. Дата публикации: 2023-05-25.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2034127A. Автор: Honda Yoshiyuki. Владелец: GIGAPHOTON INC. Дата публикации: 2023-10-03.

Extreme ultraviolet light concentrating mirror and electronic device manufacturing method

Номер патента: NL2027952A. Автор: Honda Yoshiyuki,Morita Masayuki. Владелец: GIGAPHOTON INC. Дата публикации: 2022-02-17.

Extreme ultraviolet light concentrating mirror and electronic device manufacturing method

Номер патента: US20210407700A1. Автор: Yoshiyuki Honda,Masayuki Morita. Владелец: GIGAPHOTON INC. Дата публикации: 2021-12-30.

Extreme ultraviolet light source apparatus

Номер патента: US20230164899A1. Автор: Shunichi Morimoto,Hideyuki URAKAMI. Владелец: Ushio Denki KK. Дата публикации: 2023-05-25.

Extreme ultraviolet lithography patterning method

Номер патента: US20240153773A1. Автор: Yoshihiro Kato,Choong-Man Lee,Toshio Hasegawa,Soo Doo Chae,Angelique RALEY,Qiaowei Lou. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-05-09.

Mask for extreme ultraviolet photolithography

Номер патента: US20210341829A1. Автор: Hsin-Chang Lee,Ta-Cheng Lien,Wen-Chang Hsueh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-11-04.

Methods for controlling defects for extreme ultraviolet lithography (euvl) photomask substrate

Номер патента: US20140045103A1. Автор: AJAY Kumar,Banqiu Wu,Omkaram Nalamasu. Владелец: Individual. Дата публикации: 2014-02-13.

Extreme ultraviolet lithography mask blank and manufacturing method therefor

Номер патента: US6117597A. Автор: Kathleen R. Early. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-09-12.

Mask for extreme ultraviolet photolithography

Номер патента: US11815805B2. Автор: Hsin-Chang Lee,Ta-Cheng Lien,Wen-Chang Hsueh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-14.

Extreme Ultraviolet Lithography Process and Mask

Номер патента: US20140272679A1. Автор: YEN-CHENG Lu,Shinn-Sheng Yu,Jeng-Horng Chen,Anthony Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-09-18.

Extreme Ultraviolet Lithography Process and Mask

Номер патента: US20150346596A1. Автор: YEN-CHENG Lu,Shinn-Sheng Yu,Jeng-Horng Chen,Anthony Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-12-03.

Phase shift masks for extreme ultraviolet lithography

Номер патента: US11774846B2. Автор: Dongwan Kim,Seongsue Kim,Hwanseok SEO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-10-03.

Phase shift masks for extreme ultraviolet lithography

Номер патента: US20230018819A1. Автор: Dongwan Kim,Seongsue Kim,Hwanseok SEO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-01-19.

Extreme ultraviolet (euv) mask absorber and method for forming the same

Номер патента: US20190227427A1. Автор: Jed H. Rankin,Lei Zhuang,Amr Y. Abdo. Владелец: Globalfoundries Inc. Дата публикации: 2019-07-25.

Photomask for extreme ultraviolet lithography and method for fabricating the same

Номер патента: US8158305B2. Автор: Sung Hyun Oh. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-04-17.

Phase shift mask for EUV lithography and manufacturing method for the phase shift mask

Номер патента: US12025912B2. Автор: Tae Joong Ha. Владелец: SK hynix Inc. Дата публикации: 2024-07-02.

Mask absorber layers for extreme ultraviolet lithography

Номер патента: US20240312783A1. Автор: Daniel Staaks. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2024-09-19.

Damascene extreme ultraviolet lithography (euvl) photomask and method of making

Номер патента: EP1412817A2. Автор: Pei-Yang Yan. Владелец: Intel Corp. Дата публикации: 2004-04-28.

Damascene extreme ultraviolet lithography (euvl) photomask and method of making

Номер патента: WO2003012546A3. Автор: Pei-Yang Yan. Владелец: Intel Corp. Дата публикации: 2003-12-18.

Method to fabricate extreme ultraviolet lithography masks

Номер патента: US20020012855A1. Автор: Pei-Yang Yan,Mark Tran. Владелец: Individual. Дата публикации: 2002-01-31.

Extreme ultraviolet mask and method of manufacturing the same

Номер патента: US20240280889A1. Автор: SunPyo LEE,Minchang KIM,Yoontaek Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-22.

Extreme ultraviolet photomask and method for fabricating the same

Номер патента: US20180284596A1. Автор: Yu-Cheng Tung,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2018-10-04.

Extreme ultraviolet photomask and method for fabricating the same

Номер патента: US10571796B2. Автор: Yu-Cheng Tung,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2020-02-25.

Extreme ultraviolet light generating apparatus and control method for centroid of extreme ultraviolet light

Номер патента: US10251254B2. Автор: Yuichi Nishimura,Takayuki Yabu. Владелец: GIGAPHOTON INC. Дата публикации: 2019-04-02.

Extreme ultraviolet light source device

Номер патента: US20240121877A1. Автор: Injae Lee,SungHyup KIM,Daegeun YOON,Yebin Nam. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-04-11.

Method for producing a high resolution resist pattern on a semiconductor wafer

Номер патента: US20080292996A1. Автор: Thomas Wallow,Uzodinma Okoroanyanwu. Владелец: Advanced Micro Devices Inc. Дата публикации: 2008-11-27.

Extreme ultraviolet light generation apparatus

Номер патента: US20200077501A1. Автор: Yoshiyuki Honda,Shinji Nagai,Hiroaki Tomuro. Владелец: GIGAPHOTON INC. Дата публикации: 2020-03-05.

Electron beam-based extreme ultraviolet light source device

Номер патента: EP4401513A1. Автор: Kyu Chang Park,Sung Tae Yoo. Владелец: Industry Academic Cooperation Foundation of Kyung Hee University. Дата публикации: 2024-07-17.

Extreme ultraviolet light sensor unit and extreme ultraviolet light generation apparatus

Номер патента: US11125613B2. Автор: Kotaro Miyashita. Владелец: GIGAPHOTON INC. Дата публикации: 2021-09-21.

Extreme ultraviolet light sensor unit and extreme ultraviolet light generation apparatus

Номер патента: US20200064184A1. Автор: Kotaro Miyashita. Владелец: GIGAPHOTON INC. Дата публикации: 2020-02-27.

Composition and method for manufacturing device using same

Номер патента: US20200048191A1. Автор: Yusuke Suga,Satoshi Enomoto. Владелец: Toyo Gosei Co Ltd. Дата публикации: 2020-02-13.

Extreme ultraviolet light generation apparatus and method of designing the same

Номер патента: US10001706B2. Автор: Shinji Nagai,Atsushi Ueda. Владелец: GIGAPHOTON INC. Дата публикации: 2018-06-19.

Beam transport system for extreme ultraviolet light source

Номер патента: WO2011075346A1. Автор: William N. Partlo,Nam-Hyong Kim,Robert N. Bergstedt,Lgor V. Fomenkov. Владелец: CYMER, INC.. Дата публикации: 2011-06-23.

Extreme ultraviolet light generation apparatus and method of designing the same

Номер патента: US20170315446A1. Автор: Shinji Nagai,Atsushi Ueda. Владелец: GIGAPHOTON INC. Дата публикации: 2017-11-02.

Crosslinkable photoresist for extreme ultraviolet lithography

Номер патента: US20230350295A1. Автор: Ching-Yu Chang,Wei-Han Lai,Li-Po YANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-02.

Method for forming semiconductor structure

Номер патента: US20200301280A1. Автор: Ching-Yu Chang,Chin-Hsiang Lin,An-Ren Zi. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-09-24.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2025678A. Автор: TAKASHIMA Yuta. Владелец: GIGAPHOTON INC. Дата публикации: 2021-02-15.

Method for light exposure

Номер патента: US20020119401A1. Автор: Nobuyuki Matsuzawa,Shigeo Irie. Владелец: Sony Corp. Дата публикации: 2002-08-29.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2025678B1. Автор: TAKASHIMA Yuta. Владелец: GIGAPHOTON INC. Дата публикации: 2021-02-23.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: US20220035249A1. Автор: Yoshiyuki Honda,Hirokazu HOSODA,Takanari KOBAYASHI. Владелец: GIGAPHOTON INC. Дата публикации: 2022-02-03.

Extreme ultraviolet light generating apparatus

Номер патента: US20180240562A1. Автор: Tamotsu Abe,Takayuki Yabu,Tooru Abe,Kenichi Miyao. Владелец: GIGAPHOTON INC. Дата публикации: 2018-08-23.

Method for light exposure

Номер патента: US6677108B2. Автор: Nobuyuki Matsuzawa,Shigeo Irie. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2004-01-13.

Imprint method for fabrication of low density nanopore membrane

Номер патента: US20230194991A1. Автор: Thomas Chang,Kim Yang Lee. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2023-06-22.

Collector mirror assembly and extreme ultraviolet light source device using said collector mirror assembly

Номер патента: US9029815B2. Автор: Hiroto Sato. Владелец: Ushio Denki KK. Дата публикации: 2015-05-12.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: NL2029356A. Автор: UEDA Atsushi,KOGE Koichiro,OSANAI Takayuki. Владелец: GIGAPHOTON INC. Дата публикации: 2022-06-27.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: NL2029356B1. Автор: UEDA Atsushi,KOGE Koichiro,OSANAI Takayuki. Владелец: GIGAPHOTON INC. Дата публикации: 2023-09-11.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: US20220146943A1. Автор: Atsushi Ueda,Koichiro KOGE,Takayuki OSANAI. Владелец: GIGAPHOTON INC. Дата публикации: 2022-05-12.

Extreme ultraviolet light generation apparatus

Номер патента: US10820400B2. Автор: Yoshiyuki Honda,Shinji Nagai,Hiroaki Tomuro. Владелец: GIGAPHOTON INC. Дата публикации: 2020-10-27.

Extreme ultraviolet mask blank defect reduction methods

Номер патента: WO2021081291A1. Автор: Wen Xiao,SHIYU Liu,Sanjay Bhat,Vibhu Jindal,Azeddine Zerrade,Binni VARGHESE. Владелец: Applied Materials, Inc.. Дата публикации: 2021-04-29.

Method for Patterning a Substrate Using Extreme Ultraviolet Lithography

Номер патента: US20170090290A1. Автор: Anton J. deVilliers. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-03-30.

Method for forming resist underlayer film and patterning process

Номер патента: EP4435516A1. Автор: Naoki Kobayashi,Daisuke Kori,Kenta Ishiwata,Nobuhiro Nagamachi. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-09-25.

Method for producing a composition for forming an organic film

Номер патента: US10047244B2. Автор: Tsutomu Ogihara,Motoaki Iwabuchi,Daisuke Kori. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2018-08-14.

Image forming system and method for controlling image forming operation

Номер патента: US20150248095A1. Автор: Shoichi Nakamura. Владелец: KONICA MINOLTA INC. Дата публикации: 2015-09-03.

Image forming system and method for controlling image forming operation

Номер патента: US9354575B2. Автор: Shoichi Nakamura. Владелец: KONICA MINOLTA INC. Дата публикации: 2016-05-31.

Method for forming an image on a silver halide color photographic material

Номер патента: US5650264A. Автор: Kiyoshi Kawai,Mitsuo Saito. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 1997-07-22.

Image forming system and method for selecting an image for a sample paper ejection

Номер патента: US8502992B2. Автор: Susumu Kurihara. Владелец: KONICA MINOLTA BUSINESS TECHNOLOGIES INC. Дата публикации: 2013-08-06.

Cyan toner and method for forming an image

Номер патента: EP1455239A3. Автор: Hirohide Tanikawa,Yoshinobu Baba,Yuko Sato,Takayuki Itakura,Kazuo Terauchi,Takaaki Kotaki,Nobuyoshi Sugahara. Владелец: Canon Inc. Дата публикации: 2005-05-25.

Exposure apparatus, exposure method, and method for manufacturing semiconductor apparatus

Номер патента: US11747737B2. Автор: Kazuhiro Takahashi. Владелец: Canon Inc. Дата публикации: 2023-09-05.

Exposure apparatus, exposure method, and method for manufacturing semiconductor apparatus

Номер патента: EP3964894A3. Автор: Kazuhiro Takahashi. Владелец: Canon Inc. Дата публикации: 2022-07-27.

Exposure apparatus, exposure method, and method for manufacturing semiconductor apparatus

Номер патента: US20220066326A1. Автор: Kazuhiro Takahashi. Владелец: Canon Inc. Дата публикации: 2022-03-03.

Exposure apparatus, exposure method, and method for manufacturing semiconductor apparatus

Номер патента: EP3964894A2. Автор: Kazuhiro Takahashi. Владелец: Canon Inc. Дата публикации: 2022-03-09.

Toner containing aromatic materials and method of forming an image using the same

Номер патента: US9651881B2. Автор: Satoshi Araki,Takashi Urabe,Taishi Takano,Maiko Yoshida. Владелец: Toshiba TEC Corp. Дата публикации: 2017-05-16.

Toner containing aromatic materials and method of forming an image using the same

Номер патента: US9291928B2. Автор: Satoshi Araki,Takashi Urabe,Taishi Takano,Maiko Yoshida. Владелец: Toshiba TEC Corp. Дата публикации: 2016-03-22.

Toner containing aromatic materials and method of forming an image using the same

Номер патента: US20160154332A1. Автор: Satoshi Araki,Takashi Urabe,Taishi Takano,Maiko Yoshida. Владелец: Toshiba TEC Corp. Дата публикации: 2016-06-02.

Photoinitiators, photohardenable compositions, and methods for forming an object in a volume

Номер патента: US20240218086A1. Автор: Samuel N. SANDERS,Emily M. ARNDT. Владелец: Quadratic 3d Inc. Дата публикации: 2024-07-04.

Method for forming fixed images

Номер патента: EP2649495A1. Автор: YASUHIRO Hidaka,Akihiro Eida. Владелец: Kao Corp. Дата публикации: 2013-10-16.

Method for Forming Hole Pattern and Method for Manufacturing TFT Display Using the Same

Номер патента: US20160225801A1. Автор: Seong-jung YUN,Je-Geon YOO. Владелец: Hydis Technologies Co Ltd. Дата публикации: 2016-08-04.

Temperature measurement and method for performing the same

Номер патента: EP2101636A1. Автор: Robert Leonard Krullaards. Владелец: Individual. Дата публикации: 2009-09-23.

METHOD FOR DETERMINING A MODEL OF AN EXTREMITY, COMPUTER-READABLE STORAGE MEDIUM AND SYSTEM

Номер патента: US20220076817A1. Автор: LAM Khoi,THALMEIER Max,GUNDLACK Felix. Владелец: . Дата публикации: 2022-03-10.

Apparatus for forming an optical multilayer filter

Номер патента: US20040076740A1. Автор: Noboru Uehara,Emiko Nishida. Владелец: Japan Aviation Electronics Industry Ltd. Дата публикации: 2004-04-22.

Extreme ultraviolet light generation apparatus

Номер патента: US20170215267A1. Автор: Takashi Saito,Yoshifumi Ueno,Tamotsu Abe,Hirokazu HOSODA. Владелец: GIGAPHOTON INC. Дата публикации: 2017-07-27.

Method for Enhanced Nuclear Reactions

Номер патента: US20200090822A1. Автор: Shui Yin Lo. Владелец: Individual. Дата публикации: 2020-03-19.

Method for enhanced nuclear reactions

Номер патента: WO2020205294A3. Автор: Shui Yin Lo. Владелец: Shui Yin Lo. Дата публикации: 2020-11-12.

Method for enhanced nuclear reactions

Номер патента: WO2020205294A2. Автор: Shui Yin Lo. Владелец: Shui Yin Lo. Дата публикации: 2020-10-08.

Extreme ultraviolet light sensor unit and extreme ultraviolet light generation device

Номер патента: US20190021159A1. Автор: Takuya Ishii,Hiroshi Someya,Hisashi Nara. Владелец: GIGAPHOTON INC. Дата публикации: 2019-01-17.

Method for extreme class imbalance within fraud detection

Номер патента: US20230316281A1. Автор: Danny BUTVINIK,Amir Shachar,Michal Einhorn-Cohen. Владелец: Actimize Ltd. Дата публикации: 2023-10-05.

Apparatus and method for forming alignment layer

Номер патента: US20040246419A1. Автор: Nobuo Okazaki,Hiroki Nakano,Yukito Saitoh,Shuhichi Odahara. Владелец: International Business Machines Corp. Дата публикации: 2004-12-09.

Method for preventing TMR MRR drop of slider and micro-texture forming method in same process

Номер патента: US20080000075A1. Автор: Hongtao Ma,Hongxin Fang,Baohua Chen. Владелец: SAE Magnetics HK Ltd. Дата публикации: 2008-01-03.

Method for forming a unassembled electrophoresis gel cassette

Номер патента: US20240167979A1. Автор: Kuan-Lin Lee. Владелец: Smobio Technology Inc. Дата публикации: 2024-05-23.

Method for forming image sensor

Номер патента: US20190057993A1. Автор: Yu-Jui HSIEH,Po-Nan Chen. Владелец: Himax Technologies Ltd. Дата публикации: 2019-02-21.

Method of forming an hermetic seal on electronic and optoelectronic packages

Номер патента: US20190361180A1. Автор: Suresh Venkatesan,Yee Loy Lam,Long Cheng Koh. Владелец: Espresso Capital Ltd. Дата публикации: 2019-11-28.

Optical waveguide article with laminate structure and method for forming the same

Номер патента: US20210302649A1. Автор: Natesan Venkataraman,Venkata Adiseshaiah Bhagavatula. Владелец: Corning Inc. Дата публикации: 2021-09-30.

Systems and methods for forming and displaying an analyte location map

Номер патента: US20240192354A1. Автор: Jeremy P. Walker,Kemper Talley. Владелец: Teledyne Flir Defense Inc. Дата публикации: 2024-06-13.

Systems and methods for generating an inspection image of an object from radiographic imaging

Номер патента: US12039713B2. Автор: Justin BYERS. Владелец: Pratt and Whitney Canada Corp. Дата публикации: 2024-07-16.

Methods for making solid state devices having spin-photon interfaces

Номер патента: WO2024124327A1. Автор: Mohsen Keshavarz Akhlaghi,Navid Mohammad Sadeghi Jahed. Владелец: Photonic Inc.. Дата публикации: 2024-06-20.

A system and a method for forming a controlled safety area for a manipulator

Номер патента: WO2020188137A1. Автор: Jari Helminen,Paavo KÄKELÄ,Mika MÄKINEN,Antti SAARI. Владелец: Eid Robotics Oy. Дата публикации: 2020-09-24.

Method for forming a transparent electrode film

Номер патента: US20050040135A1. Автор: Takuya Miyakawa. Владелец: Seiko Epson Corp. Дата публикации: 2005-02-24.

Method for forming an electrode structure for a capacitive touch sensor

Номер патента: EP2958704A1. Автор: Camilo PRIETO RIO,Yuk Kwan CHAN. Владелец: M Solv Ltd. Дата публикации: 2015-12-30.

Method for forming an electrode structure for a capacitive touch sensor

Номер патента: WO2014128440A4. Автор: Camilo PRIETO RIO,Yuk Kwan CHAN. Владелец: M-Solv Ltd. Дата публикации: 2014-10-09.

Method for forming multi-depth optical devices

Номер патента: WO2023122426A1. Автор: Rutger MEYER TIMMERMAN THIJSSEN,Guannan Chen. Владелец: Applied Materials, Inc.. Дата публикации: 2023-06-29.

Method for forming multi-depth optical devices

Номер патента: US20230194784A1. Автор: Rutger MEYER TIMMERMAN THIJSSEN,Guannan Chen. Владелец: Applied Materials Inc. Дата публикации: 2023-06-22.

Flexible backlight, method for manufacturing the same, and display device

Номер патента: US20190204682A1. Автор: Dongxiu Li,Shuangliang Qin. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2019-07-04.

Pixel Element of Liquid Crystal Display and Method for Producing the Same

Номер патента: US20100245746A1. Автор: Po-Yang Chen,Po-Sheng Shih,Chao-Hui Wu,Tsu-Chiang Chang. Владелец: Hannstar Display Corp. Дата публикации: 2010-09-30.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US11924954B2. Автор: Takayuki Yabu,Yuta TAKASHIMA. Владелец: GIGAPHOTON INC. Дата публикации: 2024-03-05.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2028934B1. Автор: YABU Takayuki,TAKASHIMA Yuta. Владелец: GIGAPHOTON INC. Дата публикации: 2023-06-12.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2028934A. Автор: YABU Takayuki,TAKASHIMA Yuta. Владелец: GIGAPHOTON INC. Дата публикации: 2022-05-09.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2034729A. Автор: YABU Takayuki,TAKASHIMA Yuta. Владелец: GIGAPHOTON INC. Дата публикации: 2023-06-15.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2034729B1. Автор: YABU Takayuki,TAKASHIMA Yuta. Владелец: GIGAPHOTON INC. Дата публикации: 2024-01-25.

Semiconductor structures and methods for forming the same

Номер патента: US12027422B2. Автор: Hung-Sheng Chen,Ching-Yung Wang,cheng-hong Wei,Tseng-Yao PAN,Chien-Hsiang Yu. Владелец: Winbond Electronics Corp. Дата публикации: 2024-07-02.

A method for forming coarse-scale 3d model of heterogeneous sedimentary structures

Номер патента: WO2020212720A1. Автор: David Ledez,Gerard Massonnat. Владелец: TOTAL SE. Дата публикации: 2020-10-22.

A method for forming coarse-scale 3d model of heterogeneous sedimentary structures

Номер патента: EP3956698A1. Автор: David Ledez,Gerard Massonnat. Владелец: TotalEnergies SE. Дата публикации: 2022-02-23.

A method for determining a key point in an image of a fingerprint

Номер патента: SE1950074A1. Автор: Marcus ANDERSSON. Владелец: PRECISE BIOMETRICS AB. Дата публикации: 2020-07-24.

Systems and methods for identifying waveguide defects

Номер патента: US20240125708A1. Автор: Justin M. HALLAS,Matthew COVER. Владелец: Viasat Inc. Дата публикации: 2024-04-18.

Systems and methods for identifying waveguide defects

Номер патента: WO2024085982A1. Автор: Justin M. HALLAS,Matthew COVER. Владелец: VIASAT, INC.. Дата публикации: 2024-04-25.

Method for forming anti-glare hard coat layer

Номер патента: US12017402B2. Автор: Kazuhito Kobayashi,Yusuke Nakata,Takeki HOSOKAWA. Владелец: Nippon Paint Automotive Coatings Co Ltd. Дата публикации: 2024-06-25.

Method for forming an electrical connection between metal layers

Номер патента: US20140038317A1. Автор: Edward O. Travis,Mehul D. Shroff,Douglas M. Reber. Владелец: Individual. Дата публикации: 2014-02-06.

Method for the preparation of optical waveguide devices and optical waveguide devices

Номер патента: US20040228595A1. Автор: Toshihiro Kuroda,Madoka Kondou. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2004-11-18.

A device and method for determining activity of radiopharmaceutical material

Номер патента: EP2332593A3. Автор: Chad E. Bouton,Artur E. Uber, III. Владелец: Medrad Inc. Дата публикации: 2011-07-20.

System and method for forming solder bumps

Номер патента: WO2021018466A1. Автор: Jae-Woong Nah,Jeng-Bang Yau,Peter Jerome Sorce,Eric Peter Lewandowski. Владелец: Ibm United Kingdom Limited. Дата публикации: 2021-02-04.

Method for forming an interface

Номер патента: EP1334602A1. Автор: Petteri Heinonen,Jari Otranen,Antti P. J. HÄMÄLÄINEN,Jari c/o Sonera Smarttrust Oy HARJULA. Владелец: Smarttrust Systems Oy. Дата публикации: 2003-08-13.

Method for forming an interface

Номер патента: AU2002223701A1. Автор: Petteri Heinonen,Jari Otranen,Jari Harjula,Antti P. J. HÄMÄLÄINEN. Владелец: Sonera Smarttrust Oy. Дата публикации: 2002-05-27.

Method for forming an interface

Номер патента: EP1334602B1. Автор: Petteri Heinonen,Jari Otranen,Jari Harjula,Antti P. J. HÄMÄLÄINEN. Владелец: Smarttrust Systems Oy. Дата публикации: 2010-01-06.

Method for forming an interface

Номер патента: WO2002041602A1. Автор: Petteri Heinonen,Jari Otranen,Jari Harjula,Antti P. J. HÄMÄLÄINEN. Владелец: Smarttrust Systems Oy. Дата публикации: 2002-05-23.

Apparatus and method for light-beam scanning microspectrometry

Номер патента: US12066615B2. Автор: Emmanuel Froigneux. Владелец: Horiba France SAS. Дата публикации: 2024-08-20.

Optical modulator, method for forming the same, and method for controlling the same

Номер патента: SG11201909486XA. Автор: Guozhen Liang,Qijie Wang,Bo Meng,Xiaonan Hu. Владелец: Univ Nanyang Tech. Дата публикации: 2019-11-28.

Method for making all-fiber interleaver with continuous fiber arm

Номер патента: US20020181830A1. Автор: Chin Chang,Amado Cordova,Trong-Huang Lee,Jyh Dent,Ronald Michal. Владелец: Copley Networks Inc. Дата публикации: 2002-12-05.

Hybrid structure and methods for forming the same

Номер патента: CA3007236A1. Автор: Marcus Alexander Erickson. Владелец: Boeing Co. Дата публикации: 2014-09-15.

Hybrid structure and methods for forming the same

Номер патента: CA3007236C. Автор: Marcus Alexander Erickson. Владелец: Boeing Co. Дата публикации: 2020-12-15.

Casting method for a product formed an inside flow passage and the product

Номер патента: KR20200067485A. Автор: 이철웅,유진호,이지용,조영래. Владелец: 엠에이치기술개발 주식회사. Дата публикации: 2020-06-12.

Method for the production of mos transistors

Номер патента: US20100219477A1. Автор: Stefan Guenther. Владелец: STMicroelectronics NV. Дата публикации: 2010-09-02.

Method for the production of MOS transistors

Номер патента: US7718501B2. Автор: Stefan Guenther. Владелец: Individual. Дата публикации: 2010-05-18.

Extreme ultraviolet light and plasma combined atomic-scale processing method

Номер патента: EP4197966A1. Автор: Fengzhou Fang. Владелец: TIANJIN UNIVERSITY. Дата публикации: 2023-06-21.

Forming systems and methods for forming an elongate charge of composite material

Номер патента: US20240181726A1. Автор: John Dempsey Morris,Derek John Flolid. Владелец: Boeing Co. Дата публикации: 2024-06-06.

Method for forming metal wires in semiconductor device

Номер патента: US20050233579A1. Автор: Ihl Cho. Владелец: Individual. Дата публикации: 2005-10-20.

Method for determining a neighbor cell list

Номер патента: WO2017097879A1. Автор: Haris Gacanin. Владелец: ALCATEL LUCENT. Дата публикации: 2017-06-15.

Compounds and processes for extreme ultraviolet lithography

Номер патента: US20240002412A1. Автор: Thomas M. Cameron,David M. ERMERT. Владелец: Entegris Inc. Дата публикации: 2024-01-04.

Anode and method for forming a zinc metal anode using molecular layer deposition

Номер патента: CA3123894A1. Автор: Jian Liu,Huibing He. Владелец: University of British Columbia. Дата публикации: 2021-09-16.

Method for manufacturing electric film body

Номер патента: US20140072780A1. Автор: Noboru Otabe,Takayasu SUGAI. Владелец: Micronics Japan Co Ltd. Дата публикации: 2014-03-13.

Method for making mold for forming anti-flare lens

Номер патента: US8454864B2. Автор: Chien-Feng Huang. Владелец: Hon Hai Precision Industry Co Ltd. Дата публикации: 2013-06-04.

Method for manufacturing organic transistor and organic transistor

Номер патента: US20090256144A1. Автор: Masataka Kano,Kazuhito Tsukagoshi,Takeo MINARI. Владелец: DAI NIPPON PRINTING CO LTD. Дата публикации: 2009-10-15.

Method for producing phenolic resin

Номер патента: PH12021550649A1. Автор: Ryosuke Asahara,Shuji NISHIGAKI. Владелец: Futamura Kagaku Kk. Дата публикации: 2022-02-14.

Semiconductor device and method for manufacturing same

Номер патента: US20150364427A1. Автор: Takashi KANSAKU. Владелец: Longitude Semiconductor SARL. Дата публикации: 2015-12-17.

Method for manufacturing quartz piece

Номер патента: US20080000058A1. Автор: Takehiro Takahashi. Владелец: Nihon Dempa Kogyo Co Ltd. Дата публикации: 2008-01-03.

Method for forming micro-electro-mechanical system (mems) structure

Номер патента: US20190315620A1. Автор: Kai-Fung Chang,Len-Yi Leu,Lien-Yao TSAI. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-10-17.

Thermally insulated hose and method for producing the same

Номер патента: EP2113700A3. Автор: Noriaki Fujimoto,Nobuo Shindo. Владелец: Tigers Polymer Corp. Дата публикации: 2016-10-05.

Method for Forming Isolation Layer in Semiconductor Devices

Номер патента: US20070166949A1. Автор: In Kyu Chun. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-19.

Method for forming multilayer coating film

Номер патента: US20200398308A1. Автор: Kenji Sakai,Nobuhiko Narita,Hirokazu OKAZAKI,Tatsuo Kuramochi. Владелец: Kansai Paint Co Ltd. Дата публикации: 2020-12-24.

Methods for Forming Through Vias

Номер патента: US20130273698A1. Автор: Chen-Hua Yu,Hao-Yi Tsai,Mirng-Ji Lii,Da-Yuan Shih,Chih-Hang Tung,Tung-Liang Shao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-10-17.

Extreme ultraviolet light generation apparatus

Номер патента: US20140034852A1. Автор: Osamu Wakabayashi,Kouji Kakizaki,Tooru Abe. Владелец: GIGAPHOTON INC. Дата публикации: 2014-02-06.

Method for forming multilayer coating film

Номер патента: US20210039136A1. Автор: Akihiro Nishimura. Владелец: Nippon Paint Automotive Coatings Co Ltd. Дата публикации: 2021-02-11.

Method for forming multilayer coating film

Номер патента: CA3095439A1. Автор: Akihiro Nishimura. Владелец: Nippon Paint Automotive Coatings Co Ltd. Дата публикации: 2020-07-02.

Method for forming multilayer coating film

Номер патента: US20210245198A1. Автор: Satoshi Ishida,Akiko Senda,Kenta TAKEMURA. Владелец: Nippon Paint Automotive Coatings Co Ltd. Дата публикации: 2021-08-12.

A method for stuffing articles in envelopes

Номер патента: WO2013054314A1. Автор: Francesco Ponti. Владелец: C.M.C. S.R.L.. Дата публикации: 2013-04-18.

Core locking assembly and method for orientation of asymmetric tooling

Номер патента: CA2592524A1. Автор: Bruce E. Lawton,Daniel P. Barrows. Владелец: Individual. Дата публикации: 2006-07-13.

Core locking assembly and method for orientation of asymmetric tooling

Номер патента: EP1831002A1. Автор: Bruce E. Lawton,Daniel P. Barrows. Владелец: Bausch and Lomb Inc. Дата публикации: 2007-09-12.

Core locking assembly and method for orientation of asymmetric tooling

Номер патента: WO2006073575A1. Автор: Bruce E. Lawton,Daniel P. Barrows. Владелец: Bausch & Lomb Incorporated. Дата публикации: 2006-07-13.

Method for producing flavan derivative

Номер патента: EP1997815A4. Автор: Takashi Higuchi,Keisuke Suzuki,Ken Ohmori. Владелец: Tokyo Institute of Technology NUC. Дата публикации: 2009-07-29.

Method for making a bung for a metal cask

Номер патента: AU2001235542A1. Автор: Jean-Claude Carayon,Lucien Brun. Владелец: Gallay SA. Дата публикации: 2001-07-31.

Semiconductor device and method for forming the same

Номер патента: US20130115743A1. Автор: Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-05-09.

Semiconductor structure and method for forming the same

Номер патента: US20240258394A1. Автор: Hsin-Che Chiang,Wei-Chih Kao,Jyun-Hong Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-01.

Semiconductor memory structure and method for forming the same

Номер патента: US11521975B2. Автор: Ying-Chu YEN. Владелец: Winbond Electronics Corp. Дата публикации: 2022-12-06.

Decorative holder for a light source, blank therefore, greetings cards and method for forming such a holder

Номер патента: GB201320666D0. Автор: . Владелец: SCHELTEMA YVETTE E. Дата публикации: 2014-01-08.

Apparatus and method for forming an article

Номер патента: US20010048185A1. Автор: Donald Weder,Frank Craig,Michael King. Владелец: Individual. Дата публикации: 2001-12-06.

Method for forming semiconductor layer and method for manufacturing light emitting device

Номер патента: EP2360743A3. Автор: Sang Hoon Han,Dae Sung Kang. Владелец: LG Innotek Co Ltd. Дата публикации: 2015-11-18.

Method for forming semiconductor layer and method for manufacturing light emitting device

Номер патента: US20110195539A1. Автор: Sang Hoon Han,Dae Sung Kang. Владелец: LG Innotek Co Ltd. Дата публикации: 2011-08-11.

Method for forming isolation layer in semiconductor device

Номер патента: US20030119266A1. Автор: Cheol Park. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2003-06-26.

Semiconductor devices and methods for forming semiconductor devices

Номер патента: US20040097048A1. Автор: Kwan Koh. Владелец: Anam Semiconductor Inc. Дата публикации: 2004-05-20.

Method for laser processing a transparent material

Номер патента: US20240261893A1. Автор: S. Abbas Hosseini. Владелец: Rofin-Sinar Technologies LLC. Дата публикации: 2024-08-08.

Method for manufacturing a front electrode of a semiconductor device

Номер патента: US20160260851A1. Автор: Xiaoli Liu,Delin Li. Владелец: Soltrium Advanced Materials Technology Ltd Shenzhen. Дата публикации: 2016-09-08.

Method for forming multi-layer metal line of semiconductor device

Номер патента: US20030129825A1. Автор: Jun Yoon. Владелец: Individual. Дата публикации: 2003-07-10.

Method for forming strained channel pmos devices and integrated circuits therefrom

Номер патента: WO2009094376A3. Автор: Amitabh Jain. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2009-10-15.

Method for forming strained channel pmos devices and integrated circuits therefrom

Номер патента: US20090184375A1. Автор: Amitabh Jain. Владелец: Texas Instruments Inc. Дата публикации: 2009-07-23.

Method for forming strained channel pmos devices and integrated circuits therefrom

Номер патента: US20110133287A1. Автор: Amitabh Jain. Владелец: Texas Instruments Inc. Дата публикации: 2011-06-09.

Method for forming a coating film on a facet of a semiconductor laser diode

Номер патента: US20080089377A1. Автор: Chie Fukuda,Hiroyuki Ichikawa. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2008-04-17.

Memory device and method for forming the same

Номер патента: US9401368B2. Автор: Yun Yang,ZHONGSHAN Hong. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-07-26.

Method for forming a capacitor in a memory cell in a dynamic random access memory device

Номер патента: US5897983A. Автор: Toshiyuki Hirota,Kazuki Yokota,Masanobu Zenke,Tomomi Kurokawa. Владелец: NEC Corp. Дата публикации: 1999-04-27.

Method for fabricating a semiconductor device having a device isolation trench

Номер патента: US8338246B2. Автор: Song Hyeuk Im. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-12-25.

Method for manufacturing bonded wafer

Номер патента: US20130102126A1. Автор: Hiroji Aga,Nobuhiko Noto,Satoshi Oka. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2013-04-25.

An orthopaedic trauma plate and method for forming same

Номер патента: EP3937852A1. Автор: Gavin Kane O'Neill,Lina YAN. Владелец: National University Hospital Singapore Pte Ltd. Дата публикации: 2022-01-19.

A method for forming a stacked fet device

Номер патента: EP4199057A1. Автор: Naoto Horiguchi,Boon Teik CHAN,Anne Vandooren. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-06-21.

Methods for forming semiconductor structures and semiconductor structures

Номер патента: US12051618B2. Автор: Yuchen Wang,Nianwang YANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-30.

Method for forming semiconductor device

Номер патента: US20230290642A1. Автор: Yu-Jen Huang,Hsiu-Han Liao,Chu-Chun HSIEH. Владелец: Winbond Electronics Corp. Дата публикации: 2023-09-14.

Method for forming multi-layer wiring structure

Номер патента: US20030073303A1. Автор: Hiroyuki Iida,Yoshio Hagiwara,Atsushi Matsushita,Kazuto Ohbuchi. Владелец: Tokyo Ohka Kogyo Co Ltd. Дата публикации: 2003-04-17.

Method for forming contact window

Номер патента: US20010046782A1. Автор: Chien-Li Kuo,Wei-Wu Liao. Владелец: Individual. Дата публикации: 2001-11-29.

Method for making an embedded memory MOS

Номер патента: US20020098650A1. Автор: Chien-Li Kuo,Sun-Chieh Chien. Владелец: United Microelectronics Corp. Дата публикации: 2002-07-25.

Method for manufacturing a semiconductor device, as well as a semiconductor substrate

Номер патента: US20060234468A1. Автор: Takeshi Saito. Владелец: Seiko Epson Corp. Дата публикации: 2006-10-19.

Method for forming Schottky Diodes and Ohmic Contacts in the Same Integrated Circuit

Номер патента: US20070281451A1. Автор: Schyi-Yi Wu. Владелец: Micrel Inc. Дата публикации: 2007-12-06.

Selective Etching Method and Method for Forming an Isolation Structure of a Memory Device

Номер патента: US20100167494A1. Автор: Dae Jin Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-07-01.

Methods and apparatus for forming light emitting diodes

Номер патента: US20220216363A1. Автор: Shiva Rai. Владелец: Applied Materials Inc. Дата публикации: 2022-07-07.

Apparatus and methods for forming curved films

Номер патента: WO2024124361A1. Автор: Yifei Li,Jiao LIU,Guangsen WANG,Ryan IRWIN,Shuai LAI. Владелец: Neverfrost Inc.. Дата публикации: 2024-06-20.

Method for forming semiconductor structure and semiconductor structure

Номер патента: US12094723B2. Автор: Yuejiao Shu,Ming-Pu Tsai. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-17.

Method for forming an electrically conductively formed connection and battery module

Номер патента: US20240297413A1. Автор: Markus Schmitt,Silvio Grund,Ralph Glemser. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2024-09-05.

A method for forming a semiconductor device

Номер патента: EP4391039A1. Автор: Shairfe Muhammad Salahuddin,Boon Teik CHAN,Hsiao-Hsuan Liu. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Method for manufacturing wiring substrate

Номер патента: US20210235584A1. Автор: Shinichiro Ichikawa,Takanori Doi. Владелец: Ibiden Co Ltd. Дата публикации: 2021-07-29.

Manufacturing method for forming insulating structure of high electron mobility transistor

Номер патента: US20210074838A1. Автор: Wen-Jung Liao,Chun-Ming Chang. Владелец: United Microelectronics Corp. Дата публикации: 2021-03-11.

Method for forming high density patterns

Номер патента: WO2009075959A1. Автор: Gurtej S. Sandhu,Ardavan Niroomand,Baosuo Zhou. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2009-06-18.

Method for forming multilayer coating film

Номер патента: US20190031915A1. Автор: Makoto Shimizu,Daisuke Segawa,Yoshiki Takaira,Manabu Horiuchi,Miho OKA. Владелец: Nippon Paint Automotive Coatings Co Ltd. Дата публикации: 2019-01-31.

Forming method for assembly of bead core and apex rubber

Номер патента: US20070137765A1. Автор: Masumi Suzuki,Shuichi Yamamori. Владелец: Sumitomo Rubber Industries Ltd. Дата публикации: 2007-06-21.

Method for Predicting the Point in Time of a Future Extreme Value in a Torque Curve of a Vehicle

Номер патента: US20240208610A1. Автор: Juergen Stegmaier. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2024-06-27.

An apparatus and method for forming an opening in a storage tank

Номер патента: EP2069114A1. Автор: Philip Quinan,Russell Thorpe,Dale Mclntyre Timms. Владелец: Zestco Pty Ltd. Дата публикации: 2009-06-17.

Methods for forming semiconductor devices

Номер патента: US20130137234A1. Автор: Peter Baars,Matthias Goldbach. Владелец: Globalfoundries Inc. Дата публикации: 2013-05-30.

Method for forming an opening in polymer-based dielectric

Номер патента: US20020177300A1. Автор: Hsueh-Chung Chen,Chih-Chien Liu,Tong-Yu Chen,Chingfu Lin. Владелец: United Microelectronics Corp. Дата публикации: 2002-11-28.

Method for manufacturing solar-control laminated glass

Номер патента: EP4244195A1. Автор: Bernard Nghiem,Guillaume Gauthier,Koucun ZHANG. Владелец: Compagnie de Saint Gobain SA. Дата публикации: 2023-09-20.

Method for inkjet printing on molded article

Номер патента: US20150298456A1. Автор: Hiroaki Kikuchi,Katsumi Hashimoto,Sei NAKAGAWA. Владелец: Nippon Closures Co Ltd. Дата публикации: 2015-10-22.

Method for forming semiconductor structure and semiconductor structure

Номер патента: US12062610B2. Автор: ChihCheng LIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-08-13.

Integrated circuit device and method for forming the same

Номер патента: US12062540B2. Автор: Chih-I Wu,Jin-Bin Yang,Ya-Ting Chang,Jian-Zhi Huang,I-Chih NI. Владелец: National Taiwan University NTU. Дата публикации: 2024-08-13.

Electrolytic capacitor and method for improved electrolytic capacitor anodes

Номер патента: US20180047515A1. Автор: James Wong. Владелец: Composite Materials Technology Inc. Дата публикации: 2018-02-15.

Method for Forming Pouch

Номер патента: US20240297377A1. Автор: Yong Su Choi,Sung Ryul KWON,Dong Gyun SEOL,Gi Beom KANG. Владелец: LG Energy Solution Ltd. Дата публикации: 2024-09-05.

Apparatus and methods for forming an attachment pad in high strength steel materials

Номер патента: CA3226531A1. Автор: Peter L. Busuttil. Владелец: KUKA Systems North America LLC. Дата публикации: 2023-02-16.

Method for Forming a Semiconductor Device and a Semiconductor Device

Номер патента: US20170229539A1. Автор: Hans-Joachim Schulze,Philipp Seng. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-08-10.

Synchronizer hub for vehicles and method for manufacturing the same

Номер патента: US20170203367A1. Автор: Na Ra Sin. Владелец: Hyundai Motor Co. Дата публикации: 2017-07-20.

Method for forming an opening in polymer-based dielectric

Номер патента: US20030199132A1. Автор: Hsueh-Chung Chen,Chih-Chien Liu,Tong-Yu Chen,Chingfu Lin. Владелец: Individual. Дата публикации: 2003-10-23.

Method for forming word line of semiconductor device

Номер патента: US20040082155A1. Автор: Won Lee. Владелец: Individual. Дата публикации: 2004-04-29.

Method for forming Zener Zap Diodes and Ohmic Contacts in the Same Integrated Circuit

Номер патента: US20090093116A1. Автор: Schyi-Yi Wu. Владелец: Micrel Inc. Дата публикации: 2009-04-09.

Method for manufacturing printed-circuit board

Номер патента: US20090321266A1. Автор: Harufumi Kobayashi,Yoshimi Egawa. Владелец: Oki Semiconductor Co Ltd. Дата публикации: 2009-12-31.

Method for forming an inter-metal dielectric layer

Номер патента: US20010019883A1. Автор: Water Lur,Wen-Yi Hsieh,Chih-Chien Liu,Cheng-Yuan Tsai. Владелец: Individual. Дата публикации: 2001-09-06.

Methods for forming image sensors

Номер патента: US20230378218A1. Автор: Chia-Ping Lai,Chung-Chuan Tseng,Chiao-Chi Wang,Yeh-Hsun Fang,Szu-Chien Tseng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

Three-dimensional memory devices and methods for forming the same

Номер патента: US20210320124A1. Автор: Zhiliang Xia,Kun Zhang,Linchun Wu,Wenxi Zhou. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2021-10-14.

Semiconductor device structure and method for forming the same

Номер патента: US20210313449A1. Автор: Pinyen Lin,Li-Te Lin,Han-Yu Lin,Tze-Chung Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-10-07.

Apparatus and methods for forming an attachment pad in high strength steel materials

Номер патента: US12097548B2. Автор: Peter L. Busuttil. Владелец: KUKA Systems North America LLC. Дата публикации: 2024-09-24.

Electron gun, electron beam application device, and method for forming multi-electron beam

Номер патента: EP4432328A1. Автор: Hokuto Iijima. Владелец: Photo Electron Soul Inc. Дата публикации: 2024-09-18.

Method for manufacturing solar-control laminated glass

Номер патента: WO2022100592A1. Автор: Bernard Nghiem,Guillaume Gauthier,Koucun ZHANG. Владелец: SAINT-GOBAIN GLASS FRANCE. Дата публикации: 2022-05-19.

Method for forming isolation regions on semiconductor device

Номер патента: US20020192961A1. Автор: Motoki Kobayashi. Владелец: Individual. Дата публикации: 2002-12-19.

Method for forming isolation regions on semiconductor device

Номер патента: US20020052118A1. Автор: Motoki Kobayashi. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2002-05-02.

Methods for forming electrostatic discharge protection clamps with increased current capabilities

Номер патента: US20140235026A1. Автор: Rouying Zhan,Chai Ean Gill,Amaury Gendron. Владелец: Individual. Дата публикации: 2014-08-21.

Methods for using ink jettable, uv-curable compositions

Номер патента: US20170081533A1. Автор: Thomas B. Brust,Anne Troxell Wyand. Владелец: Eastman Kodak Co. Дата публикации: 2017-03-23.

Apparatus and method for pulsed magnetic forming of a dish from a planar plate

Номер патента: EP1054746A2. Автор: Oren Gafri,Yuri Livshiz. Владелец: Pulsar Welding Ltd. Дата публикации: 2000-11-29.

Method and apparatus for forming uncured rubber component

Номер патента: US11548209B2. Автор: Hirokazu Takahashi. Владелец: Sumitomo Rubber Industries Ltd. Дата публикации: 2023-01-10.

Method for forming a semiconductor device with a single-sided buried strap

Номер патента: US20080268590A1. Автор: Neng-Tai Shih,Ming-Cheng Chang. Владелец: Nanya Technology Corp. Дата публикации: 2008-10-30.

Method for forming isolation regions on semiconductor device

Номер патента: US6579807B2. Автор: Motoki Kobayashi. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2003-06-17.

Method for manufacturing junction semiconductor wafer

Номер патента: EP4421887A1. Автор: Junya Ishizaki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-08-28.

Mechanisms for forming metal-insulator-metal (mim) capacitor structure

Номер патента: US20160233158A1. Автор: Chia-Lun Hsu,Chi-Chung JEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-11.

Semiconductor device and method for manufacturing same

Номер патента: US20080048228A1. Автор: Takashi Sakoh,Mami Toda. Владелец: NEC Electronics Corp. Дата публикации: 2008-02-28.

Method for forming inside nitride spacer for deep trench device DRAM cell

Номер патента: US20030064589A1. Автор: Arnd Scholz. Владелец: Individual. Дата публикации: 2003-04-03.

Semiconductor device and a method for forming a semiconductor device

Номер патента: US20170250112A1. Автор: Stefan Barzen. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-08-31.

Method for forming an oxynitride layer

Номер патента: US20030077915A1. Автор: Chia-Lin Ku,Yung-Hsien Wu. Владелец: Promos Technologies Inc. Дата публикации: 2003-04-24.

Method for forming a fuel cell electrode using a resole binder

Номер патента: US20040058801A1. Автор: Phillip Waitkus,Bohumir Lepeska,Theodore Morrison,Paschal Sciarra. Владелец: Individual. Дата публикации: 2004-03-25.

Method for forming interlayer insulation film in semiconductor device

Номер патента: US20050142848A1. Автор: Kang Shin,Sang Ryu. Владелец: Individual. Дата публикации: 2005-06-30.

Electrolytic capacitor and method for improved electrolytic capacitor anodes

Номер патента: EP3496884A1. Автор: James Wong. Владелец: Composite Materials Technology Inc. Дата публикации: 2019-06-19.

Method for making organic light emitting diode array

Номер патента: US20180315960A1. Автор: Yang Wei,Kai-Li Jiang,Shou-Shan Fan,Hao-Ming Wei. Владелец: Hon Hai Precision Industry Co Ltd. Дата публикации: 2018-11-01.

Semiconductor structure and method for forming the same

Номер патента: US20240222460A1. Автор: Jhon-Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-04.

System and method for forming integral flange in composite laminate structure after layup

Номер патента: WO2021194765A1. Автор: Mark Anthony Wadsworth. Владелец: SPIRIT AEROSYSTEMS, INC.. Дата публикации: 2021-09-30.

An integrated circuit device and a method for forming the same

Номер патента: EP4391040A1. Автор: Anshul Gupta,Hans Mertens. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Inkjet printer, printing system, and method for producing printed matter

Номер патента: US12036800B2. Автор: Hirohito Murate. Владелец: Ricoh Co Ltd. Дата публикации: 2024-07-16.

Method for forming amorphous carbon film

Номер патента: EP1741801B1. Автор: Kenji Yamamoto. Владелец: Kobe Steel Ltd. Дата публикации: 2009-08-12.

Method for forming semiconductor structure

Номер патента: US20230070135A1. Автор: Zhe Wang,Lu Zou. Владелец: United Microelectronics Corp. Дата публикации: 2023-03-09.

Method for preparing sulfur-polyacrylonitrile (span)

Номер патента: US20230287153A1. Автор: Mei Cai,Fang DAI,Robert D. Schmidt,Shuru Chen. Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2023-09-14.

System and method for forming integral flange in composite laminate structure after layup

Номер патента: EP4127539A1. Автор: Mark Anthony Wadsworth. Владелец: Spirit AeroSystems Inc. Дата публикации: 2023-02-08.

Method for forming multilayer coating film

Номер патента: EP3685928A1. Автор: Nobuhiko Narita,Hirokazu OKAZAKI,Tatsuo Kuramochi,Yuya Hirai. Владелец: Kansai Paint Co Ltd. Дата публикации: 2020-07-29.

Abrasive articles and methods for forming same

Номер патента: US20230211469A1. Автор: Brahmanandam V. Tanikella,Charles J. Gasdaska. Владелец: Saint Gobain Abrasifs SA. Дата публикации: 2023-07-06.

Abrasive articles and methods for forming same

Номер патента: US20220282144A1. Автор: Brahmanandam V. Tanikella,Michael J. Lemberger,Charles J. Gasdaska. Владелец: Saint Gobain Abrasifs SA. Дата публикации: 2022-09-08.

Method for forming interlayer insulating film in semiconductor device

Номер патента: US8048802B2. Автор: Ho-Yeong Choe. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2011-11-01.

Apparatus and method for forming an absorbent pad

Номер патента: EP3600190A1. Автор: Matteo Piantoni,Valerio Soli,Marco Rosani,Federico TOSCANI,Giuseppe Poli. Владелец: GDM SpA. Дата публикации: 2020-02-05.

Apparatuses and methods for making seal rings for caps, and thus obtained seal rings

Номер патента: EP2296859A2. Автор: Stefano Bergami,Danilo Albonetti,Fabrizio Villa. Владелец: Sacmi Imola Sc. Дата публикации: 2011-03-23.

Method for forming amorphous carbon film

Номер патента: EP1741801A3. Автор: Kenji Yamamoto. Владелец: Kobe Steel Ltd. Дата публикации: 2007-09-05.

Method for forming inside nitride spacer for deep trench device dram cell

Номер патента: US20030062557A1. Автор: Arnd Scholz,Prakash Dev. Владелец: Infineon Technologies North America Corp. Дата публикации: 2003-04-03.

Method For Forming Semiconductor Structure And A Semiconductor

Номер патента: US20240268104A1. Автор: Chih-Cheng Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-08-08.

Method, mould, and housing for forming an electronic component package

Номер патента: NL2033364B1. Автор: Hubertus Maria Kersjes Sebastianus,Gerardus Joseph Gal Wilhelmus. Владелец: Besi Netherlands Bv. Дата публикации: 2024-05-08.

Method for forming semiconductor structure

Номер патента: US20220320133A1. Автор: HAO Zhang,Haifeng Guo,Xiuzhong Liu. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2022-10-06.

Method for forming electrically conductive impregnated fibers and fiber pellets

Номер патента: WO2003022026A1. Автор: Cameron G Cofer,Dale E Mccoy. Владелец: PARKER HANNIFIN CORPORATION. Дата публикации: 2003-03-13.

Induction heated buffer gas heat pipe for use in an extreme ultraviolet source

Номер патента: US20130153568A1. Автор: Malcolm W. McGeoch. Владелец: PLEX LLC. Дата публикации: 2013-06-20.

Turbine shroud and a method for manufacturing the turbine shroud

Номер патента: US20120219404A1. Автор: David Wayne Weber,Gregory Thomas Foster. Владелец: General Electric Co. Дата публикации: 2012-08-30.

Method for forming an absorber layer of a thin film solar cell

Номер патента: US8569101B2. Автор: Tadahiro Kubota,Daisuke Okamura,Katustoshi Nosaki. Владелец: Honda Motor Co Ltd. Дата публикации: 2013-10-29.

Method for forming an electrical insulating layer on bit lines of the flash memory

Номер патента: US20020175139A1. Автор: Chien-Wei Chen,Jiun-Ren Lai. Владелец: Macronix International Co Ltd. Дата публикации: 2002-11-28.

Method for forming quantum dot

Номер патента: US20040053469A1. Автор: Sung-Eon Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-03-18.

Method for forming shallow trench in semiconductor device

Номер патента: US20050148152A1. Автор: Tse-Yao Huang,Yi-Nan Chen,Hsiu-Chun Lee. Владелец: Nanya Technology Corp. Дата публикации: 2005-07-07.

Method for forming semiconductor-on-insulator (soi) substrate

Номер патента: US20240282775A1. Автор: Chia-Shiung Tsai,Yu-Hung Cheng,Ching I Li. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-22.

Digital Isolator Structure and Method for Forming the Same

Номер патента: US20230420496A1. Автор: Hongxu Yang,Hualun CHEN,Hongfeng JIN. Владелец: Hua Hong Semiconductor Wuxi Co Ltd. Дата публикации: 2023-12-28.

Pneumatically operated form keys and method for thermoforming undercuts in foam parts

Номер патента: US20010030384A1. Автор: John Fuller,Philip Baker,Kenneth Simmons. Владелец: PACTIV LLC. Дата публикации: 2001-10-18.

Methods for forming lateral trench optical detectors

Номер патента: US20020115300A1. Автор: MIN Yang,Kern Rim. Владелец: International Business Machines Corp. Дата публикации: 2002-08-22.

Methods for forming lateral trench optical detectors

Номер патента: EP1362380A1. Автор: MIN Yang,Kern Rim. Владелец: International Business Machines Corp. Дата публикации: 2003-11-19.

Apparatus and method for packaging smoking articles

Номер патента: EP4294731A1. Автор: Fiorenzo Draghetti,Simone MONARI. Владелец: IMA Industria Macchine Automatiche SpA. Дата публикации: 2023-12-27.

Method For Fabricating A Photovoltaic Module Including Laser Cutting Of A Photovoltaic Label

Номер патента: US20230207721A1. Автор: Mathieu Baudrit. Владелец: Sono Motors GmbH. Дата публикации: 2023-06-29.

Semiconductor structure and method for forming the same

Номер патента: US20210134980A1. Автор: Yung-Han Chiu,Chia-Hung Liu,Tzu-Ming Ou Yang,Shu-Ming LEE. Владелец: Winbond Electronics Corp. Дата публикации: 2021-05-06.

Method for forming an electrode with a layer of hemispherical grains thereon

Номер патента: US20020110993A1. Автор: Wengyi Chen,Chiuling Lee. Владелец: United Microelectronics Corp. Дата публикации: 2002-08-15.

Method for manufacturing spin wave excitation/detection structure

Номер патента: CA3227691A1. Автор: Toshiaki Watanabe,Mitsuteru Inoue,Taichi Goto. Владелец: Toyohashi University of Technology NUC. Дата публикации: 2023-02-02.

Method for forming isolation film

Номер патента: US6656851B1. Автор: Young-Kuk Cha. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-12-02.

Method and Mold Structure for Forming Eva

Номер патента: US20090014922A1. Автор: Lawrence Chou. Владелец: Tien Kang Co ltd. Дата публикации: 2009-01-15.

Semiconductor devices and methods for forming the same

Номер патента: US20200194564A1. Автор: Chung-Yeh Lee,Sheng-Wei FU,Tsung-Yeh CHEN. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2020-06-18.

Method for forming radio frequency antenna

Номер патента: EP1932211A2. Автор: Robert R. Oberle. Владелец: Rcd Technology Inc. Дата публикации: 2008-06-18.

Method for forming image-sensor device

Номер патента: US20160071899A1. Автор: Ming-Hsien Wu,Yu-Lung Yeh,Chien-Nan Tu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-03-10.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US20230300966A1. Автор: Shogo KITASAKA. Владелец: GIGAPHOTON INC. Дата публикации: 2023-09-21.

Method for forming pattern using hard mask

Номер патента: US20080160778A1. Автор: Ki-Won Nam,Ky-Hyun Han. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-07-03.

Method for forming a semiconductor device has a lengthened channel length

Номер патента: US20090124085A1. Автор: Hung-Ming Tsai,Ying Cheng CHUANG. Владелец: Nanya Technology Corp. Дата публикации: 2009-05-14.

Methods for Forming a Permeable and Stable Mass in a Subterranean Formation

Номер патента: US20110030948A1. Автор: Alexander Bismarck,Angelika Menner,Vivian Ikem. Владелец: Halliburton Energy Services Inc. Дата публикации: 2011-02-10.

Apparatus and method for forming optical element

Номер патента: US20070252294A1. Автор: Takumi Tsuji. Владелец: Sony Corp. Дата публикации: 2007-11-01.

Method for forming radio frequency antenna

Номер патента: WO2007021398A3. Автор: Robert R Oberle. Владелец: Rcd Technology Inc. Дата публикации: 2007-12-13.

Method for forming radio frequency antenna

Номер патента: WO2007021398A2. Автор: Robert R. Oberle. Владелец: Rcd Technology Inc.. Дата публикации: 2007-02-22.

Dual deck three-dimensional nand memory and method for forming the same

Номер патента: US20210225866A1. Автор: FENG Lu,Jing Gao,Wenbin Zhou. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2021-07-22.

Abrasive articles and methods for forming same

Номер патента: US12064850B2. Автор: Brahmanandam V. Tanikella,Charles J. Gasdaska. Владелец: Saint Gobain Abrasifs SA. Дата публикации: 2024-08-20.

Methods for forming lateral trench optical detectors

Номер патента: WO2002067339A1. Автор: MIN Yang,Kern Rim. Владелец: Ibm United Kingdom Limited. Дата публикации: 2002-08-29.

Method for forming an electrode for electrochemical cells and electrode for electrochemical cells

Номер патента: EP4421892A1. Автор: Gilberto Spirito. Владелец: GD SpA. Дата публикации: 2024-08-28.

Method for forming an electrode for electrochemical cells and electrode for electrochemical cells

Номер патента: EP4421891A1. Автор: Gilberto Spirito. Владелец: GD SpA. Дата публикации: 2024-08-28.

Production method for forming an antibacterial film on the surface of an object

Номер патента: US20130256120A1. Автор: Ming-Hsien Chao. Владелец: Ever Brite Tech Products Inc. Дата публикации: 2013-10-03.

Method for forming Ni film

Номер патента: US8669191B2. Автор: Yasushi Higuchi,Naoki Hanada,Michio Ishikawa,Harunori Ushikawa,Toshimitsu Uehigashi. Владелец: Ulvac Inc. Дата публикации: 2014-03-11.

Method for forming trench isolation

Номер патента: US20140087540A1. Автор: Chia-Hao Chang,Yung-Fa Lin. Владелец: Anpec Electronics Corp. Дата публикации: 2014-03-27.

Method for forming trench isolation

Номер патента: US20140065795A1. Автор: Chia-Hao Chang,Yung-Fa Lin. Владелец: Anpec Electronics Corp. Дата публикации: 2014-03-06.

Continuous polymeric liner production methods for conformable pressure vessels

Номер патента: US11745405B2. Автор: Michael F. Donoughe,Christopher T. Kondogiani. Владелец: Noble Gas Systems Inc. Дата публикации: 2023-09-05.

Method for forming a photodiode

Номер патента: US6184050B1. Автор: Jen-Yao Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2001-02-06.

Method for forming a semiconductor structure

Номер патента: US20210020752A1. Автор: Yao-Wen Chang,Chern-Yow Hsu,Gung-Pei Chang,Ching-Sheng Chu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-01-21.

Method for forming a semiconductor structure

Номер патента: US20220367646A1. Автор: Yao-Wen Chang,Chern-Yow Hsu,Gung-Pei Chang,Ching-Sheng Chu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-17.

Method for forming a semiconductor structure

Номер патента: US11476337B2. Автор: Yao-Wen Chang,Chern-Yow Hsu,Gung-Pei Chang,Ching-Sheng Chu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-10-18.

Method for forming extension by using double etch spacer

Номер патента: US20020102801A1. Автор: Tao-Cheng Lu,Hung-Sui Lin,Han-Chao Lai. Владелец: Macronix International Co Ltd. Дата публикации: 2002-08-01.

Method for forming an sei layer on an anode

Номер патента: US20240194849A1. Автор: Ronald A. Wohl,Jose A. Vega,Asela Maha Acharige. Владелец: Nanoscale Components Inc. Дата публикации: 2024-06-13.

Semiconductor structure and method for forming same

Номер патента: US20210066326A1. Автор: Han Liang,Wang Hai YING. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2021-03-04.

Method for forming an electrode for electrochemical cells and electrode for electrochemical cells

Номер патента: WO2024180419A1. Автор: Gilberto Spirito. Владелец: G.D S.p.A.. Дата публикации: 2024-09-06.

Method for forming an electrode for electrochemical cells and electrode for electrochemical cells

Номер патента: WO2024180420A1. Автор: Gilberto Spirito. Владелец: G.D S.p.A.. Дата публикации: 2024-09-06.

Method for forming a semiconductor device having a metal gate recess

Номер патента: US20150056796A1. Автор: Ruilong Xie,Vimal Kamineni. Владелец: Globalfoundries Inc. Дата публикации: 2015-02-26.

Semiconductor device with fuse and anti-fuse structures and method for forming the same

Номер патента: US20220157717A1. Автор: Chin-Ling Huang. Владелец: Nanya Technology Corp. Дата публикации: 2022-05-19.

Semiconductor structure and method for forming semiconductor structure

Номер патента: US20230386892A1. Автор: Ning Xi,Shijie BAI,Peimeng WANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-11-30.

Method for manufacturing memory device having a protruding channel structure

Номер патента: US20230371232A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2023-11-16.

Method for forming an interconnect pattern in a semiconductor device

Номер патента: US20020142614A1. Автор: Eisuke Kodama. Владелец: NEC Corp. Дата публикации: 2002-10-03.

Method for forming image sensing device

Номер патента: US20110260345A1. Автор: Wei-Ping Chen,Jau-Jan Deng,Jui-Yi Chiu. Владелец: VisEra Technologies Co Ltd. Дата публикации: 2011-10-27.

Image Sensor Structures And Methods For Forming The Same

Номер патента: US20240030262A1. Автор: Kuo-Cheng Lee,Ping-Hao LIN,Po Chun Chang,Kun-Hui Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-25.

Decontamination unit and method for decontaminating a space

Номер патента: EP4397325A1. Автор: Kim Hagstrom,Ismo Grönvall,Harri Purho. Владелец: HALTON OY. Дата публикации: 2024-07-10.

Method for fabricating finfet isolation structure

Номер патента: US20170110557A1. Автор: Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-20.

Air handling system and method for forming an air handling unit

Номер патента: EP3701198A1. Автор: Zhiyong Lin. Владелец: Vertiv Corp. Дата публикации: 2020-09-02.

Air handling system and method for forming an air handling unit

Номер патента: AU2018354028A1. Автор: Zhiyong Lin. Владелец: Vertiv Corp. Дата публикации: 2020-04-23.

Epitaxial structure and method for forming the same

Номер патента: US20240128398A1. Автор: Yen-Lin LAI,Shen-Jie Wang,Hsin-Chiao Fang. Владелец: PlayNitride Display Co Ltd. Дата публикации: 2024-04-18.

Epitaxial structure and method for forming the same

Номер патента: US20240128397A1. Автор: Yen-Lin LAI,Shen-Jie Wang,Hsin-Chiao Fang. Владелец: PlayNitride Display Co Ltd. Дата публикации: 2024-04-18.

Method for fabricating ferroelectric field effect transistor

Номер патента: US20010041372A1. Автор: Koji Arita,Tatsuo Otsuki,Shinichiro Hayashi,Carlos Paz de ARAUJO. Владелец: Symetrix Corp. Дата публикации: 2001-11-15.

Method for forming vias in a substrate

Номер патента: US20090035931A1. Автор: Meng-Jen Wang. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2009-02-05.

Method of forming an alignment key on a semiconductor wafer

Номер патента: US20010009294A1. Автор: Jae-Hwan Kim,Dong-Hoon Chung. Владелец: Individual. Дата публикации: 2001-07-26.

Method for forming an oxide superconducting material

Номер патента: US5322818A. Автор: Hisashi Ohtani. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 1994-06-21.

Method for Forming Backside Illumination CMOS Image Sensor

Номер патента: US20230290805A1. Автор: Han Wang,XIAO FAN,Guanglong Chen. Владелец: Hua Hong Semiconductor Wuxi Co Ltd. Дата публикации: 2023-09-14.

Electrical bus and method for forming an electrical bus

Номер патента: US20080006433A1. Автор: Kenneth Sikora. Владелец: Group Dekko Inc. Дата публикации: 2008-01-10.

Methods for Forming Interconnect Structures of Integrated Circuits

Номер патента: US20130052818A1. Автор: Keng-Chu Lin,Chung-Chi Ko,Po-Cheng Shih. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-02-28.

Methods for forming a tubing assembly

Номер патента: US12055241B2. Автор: Max D. Blomberg. Владелец: Meissner Filtration Products Inc. Дата публикации: 2024-08-06.

Decontamination unit and method for decontaminating a space

Номер патента: US20240216565A1. Автор: Kim Hagstrom,Ismo Grönvall,Harri Purho. Владелец: HALTON OY. Дата публикации: 2024-07-04.

Device and method for individual finger isolation in an optoelectronic device

Номер патента: US20130049154A1. Автор: Andreas Hegedus. Владелец: Individual. Дата публикации: 2013-02-28.

Method and apparatus for forming a septum for an engine intake maniford

Номер патента: US20080135011A1. Автор: Frank A. Nicholas,David A. Chinnici,Michael R. Brosseau. Владелец: Delphi Technologies Inc. Дата публикации: 2008-06-12.

Vapor deposition method and vapor deposition apparatus for forming organic thin films

Номер патента: US20030131796A1. Автор: Toshitaka Kawashima. Владелец: Individual. Дата публикации: 2003-07-17.

Method for forming an ultra-shallow junction in a semiconductor substrate using a nuclear stopping layer

Номер патента: US20050287778A1. Автор: Yuan-Chang Lai. Владелец: United Microelectronics Corp. Дата публикации: 2005-12-29.

Method For Forming An Electric Device Comprising Power Switches Around A Logic Circuit And Related Apparatus

Номер патента: US20060237834A1. Автор: Cheng-I Huang,Yu-Wen Tsai. Владелец: Individual. Дата публикации: 2006-10-26.

Method for forming net-cloth enclosed chair

Номер патента: US20070204450A1. Автор: Tsung-Chieh Huang. Владелец: Individual. Дата публикации: 2007-09-06.

Method for forming ultra-shallow junction

Номер патента: US20220254903A1. Автор: Jian Yang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-08-11.

Stretch blow molded pipette, and system and method for forming same

Номер патента: US20240261777A1. Автор: Michael Kurt SCHAEFER,James Mark SEYMOUR,John Claude Cadotte, Jr.. Владелец: Corning Inc. Дата публикации: 2024-08-08.

Method for production of l-glutamic acid

Номер патента: RU2282662C2. Автор: Такаюки КОДА,Хироси УЕДА,Масаказу САТО. Владелец: Адзиномото Ко., Инк.. Дата публикации: 2006-08-27.

Extreme ultraviolet light source device

Номер патента: US20170094766A1. Автор: Takuma Yokoyama. Владелец: Ushio Denki KK. Дата публикации: 2017-03-30.

Compounds and processes for extreme ultraviolet lithography

Номер патента: WO2024006453A1. Автор: Thomas M. Cameron,David M. ERMERT. Владелец: ENTEGRIS, INC.. Дата публикации: 2024-01-04.

Methods for forming interconnection line using screen printing technique

Номер патента: US8951810B2. Автор: Kyu Won Lee,Ji Eun Kim,Hee Min SHIN,Cheol Ho JOH,Chong Ho CHO. Владелец: SK hynix Inc. Дата публикации: 2015-02-10.

Method for forming an oxide coated substrate

Номер патента: EP2941409A1. Автор: Amane Mochizuki,Rajesh Mukherjee,Takuya Fukumura,Ekambaram Sambandan. Владелец: Sanbandan Ekambaram. Дата публикации: 2015-11-11.

Semiconductor device and method for forming the same

Номер патента: US12022739B2. Автор: Chih-Wei Kuo. Владелец: United Microelectronics Corp. Дата публикации: 2024-06-25.

Integrated Circuit Device and a Method for Forming the Same

Номер патента: US20240203994A1. Автор: Anshul Gupta,Hans Mertens. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-20.

Systems for electroencephalography and methods for use and manufacture of the same

Номер патента: WO2024011174A3. Автор: Giorgio Bonmassar. Владелец: The General Hospital Corporation. Дата публикации: 2024-06-27.

Methods for HMDSO thermal stability

Номер патента: US12041840B2. Автор: Jrjyan Jerry Chen,Wen-Hao Wu. Владелец: Applied Materials Inc. Дата публикации: 2024-07-16.

Apparatus and method for forming wall base coved trim strip material

Номер патента: US4570350A. Автор: Jesse L. Cullison. Владелец: Individual. Дата публикации: 1986-02-18.

Method for forming working fluid passages in a base of a hydraulic jack

Номер патента: US5346338A. Автор: Ta C. Wang. Владелец: Individual. Дата публикации: 1994-09-13.

Method for forming semiconductor structure

Номер патента: US20240047558A1. Автор: Chao Lin. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-08.

Method for forming a box shaped polygate

Номер патента: US20050133850A1. Автор: Chia-Shiung Tsai,Yeur-Luen Tu,Yi-Shing Chang,Wen-Ting Chu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2005-06-23.

Organic functional element and method for manufacturing same

Номер патента: US20110030912A1. Автор: Nobuyuki Ito. Владелец: DAI NIPPON PRINTING CO LTD. Дата публикации: 2011-02-10.

Method for forming carbon-carbon bond

Номер патента: EP3971156A1. Автор: Hironao Sajiki,Shinji Nakamura,Hitoshi Takada,Tsuyoshi Yamada,Yoshinari Sawama. Владелец: Organo Corp. Дата публикации: 2022-03-23.

Method for forming thin film

Номер патента: WO2003041142A1. Автор: Won-Yong Koh,Choon-Soo Lee. Владелец: Genitech Co., Ltd.. Дата публикации: 2003-05-15.

Method for forming thin film

Номер патента: EP1454347A1. Автор: Won-Yong 105-605 Hanul Apt. KOH,Choon-Soo 113-402 Hanmaeul Apt. 200-4 LEE. Владелец: Genitech Co Ltd. Дата публикации: 2004-09-08.

Methods for hmdso thermal stability

Номер патента: WO2020149898A1. Автор: Jrjyan Jerry Chen,Wen-Hao Wu. Владелец: Applied Materials, Inc.. Дата публикации: 2020-07-23.

Method for forming an isolating trench with a dielectric material

Номер патента: US20070087516A1. Автор: Uwe Wellhausen,Henry Heidemeyer,Joern Regul. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2007-04-19.

Method for forming metal line in a semiconductor device

Номер патента: US20070166987A1. Автор: Han-Choon Lee,In-Cheol Baek. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-19.

Cladding and the method for its formation

Номер патента: RU2759409C1. Автор: Константин Юрьевич Севенард. Владелец: Константин Юрьевич Севенард. Дата публикации: 2021-11-12.

Heat exchanger, method for formation thereof and use thereof

Номер патента: RU2667565C2. Автор: Петер Ян КОЛ. Владелец: Интергэс Хитинг Эссетс Б.В.. Дата публикации: 2018-09-21.

Method for forming capacitor opening hole, and method for forming memory capacitor

Номер патента: EP4002504A1. Автор: ChihCheng LIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-05-25.

Semiconductor structure, method for forming same and layout structure

Номер патента: US20230018639A1. Автор: Yi Tang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-19.

System and method for an easily-erectable modular business cubicle

Номер патента: US12049756B2. Автор: Jay A. Berkowitz. Владелец: Individual. Дата публикации: 2024-07-30.

Method for fabrication of crack-free ceramic dielectric films

Номер патента: US9355761B2. Автор: Manoj Narayanan,Uthamalingam Balachandran,Beihai Ma,Shanshan Liu,Sheng Chao. Владелец: UChicago Argonne LLC. Дата публикации: 2016-05-31.

Method for fabrication of crack-free ceramic dielectric films

Номер патента: US20160376708A1. Автор: Manoj Narayanan,Uthamalingam Balachandran,Beihai Ma,Shanshan Liu,Sheng Chao. Владелец: UChicago Argonne LLC. Дата публикации: 2016-12-29.

Method for forming elongated composite tubular

Номер патента: US8640318B2. Автор: Karl Parfrey. Владелец: Vetco Gray LLC. Дата публикации: 2014-02-04.

Photoinitiators, photohardenable compositions, and methods for forming an object in a volume

Номер патента: US20240217943A1. Автор: Samuel N. SANDERS,Emily M. ARNDT. Владелец: Quadratic 3d Inc. Дата публикации: 2024-07-04.

Method for thin film lift-off processes using lateral extended etching masks and device

Номер патента: EP1384265A1. Автор: David G. Lishan. Владелец: Unaxis USA Inc. Дата публикации: 2004-01-28.

X-ray source and method for forming same

Номер патента: WO2022070101A1. Автор: Jian Zhang. Владелец: Ncx Corporation. Дата публикации: 2022-04-07.

Method for forming an object

Номер патента: EP4245507A2. Автор: Joseph Lucian Smolenski,Robert Butler,Vadim Bromberg,Victor FULTON,John STERLE,Tyler Andrew GRIFFITH,Carlos H. BONILLA. Владелец: General Electric Co. Дата публикации: 2023-09-20.

Method for forming via hole in substrate for flexible printed circuit board

Номер патента: EP1884147A1. Автор: Hideo Yamazaki,Kazuo Satoh. Владелец: 3M Innovative Properties Co. Дата публикации: 2008-02-06.

Method For Forming Via Hole in Substrate For Flexible Printed Circuit Board

Номер патента: US20080210661A1. Автор: Hideo Yamazaki,Kazuo Satoh. Владелец: 3M Innovative Properties Co. Дата публикации: 2008-09-04.

Organic polymer film and method for forming the same

Номер патента: US20070191585A1. Автор: Nobuo Aoi. Владелец: Individual. Дата публикации: 2007-08-16.

Thin film semiconductor integrated circuit and method for forming the same

Номер патента: US20020079488A1. Автор: Yasuhiko Takemura,Toshimitsu Konuma. Владелец: Individual. Дата публикации: 2002-06-27.

Methods for forming semiconductor structure

Номер патента: US20240282719A1. Автор: Ryan Chia-Jen Chen,Yuan-Sheng Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-22.

System and method for forming three-dimensional body

Номер патента: RU2759969C2. Автор: Клаус ШТАДЛЬМАНН. Владелец: Клаус ШТАДЛЬМАНН. Дата публикации: 2021-11-19.

Method for forming a remote annular rim of plastic material

Номер патента: CA1049726A. Автор: Theodore G. Apostoleris,Marion L. Callahan. Владелец: Glastic Corp. Дата публикации: 1979-03-06.

Method for manufacturing semiconductor device

Номер патента: US20150179528A1. Автор: Shukun Yu,Qingsong WEI. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2015-06-25.

Cold Forming Method for Forming Power Pins and Power Pin Formed Thereof

Номер патента: US20160336675A1. Автор: Manzhi Zhou,Guangdong Song. Владелец: Individual. Дата публикации: 2016-11-17.

Manufacturing method for vehicle knuckle using preform

Номер патента: US20230128647A1. Автор: Ki Ho Kim,Se Woong JEONG,Ig Jin KWON,Ju Hyoung Cho,Hyun Tae BANG. Владелец: Iljin Co Ltd. Дата публикации: 2023-04-27.

Method for forming contact layer on semiconductor light emitting device

Номер патента: US4686001A. Автор: Niro Okazaki. Владелец: Fujitsu Ltd. Дата публикации: 1987-08-11.

Method for forming a fine pattern using isotropic etching

Номер патента: US20100041237A1. Автор: Sang-Yu Lee,Soo-Hong Kim,Chang-Woo Yoo,Jee-Heum Paik,Sung-Woon Yoon. Владелец: LG Innotek Co Ltd. Дата публикации: 2010-02-18.

Method for surface treatment of substrate and method for forming fine wiring

Номер патента: US7776407B2. Автор: Jae-Woo Joung,Hyun-Chul Jung. Владелец: Samsung Electro Mechanics Co Ltd. Дата публикации: 2010-08-17.

Method for manufacturing a semiconductor element

Номер патента: US20060286733A1. Автор: Masahiro Hayashi,Akihiro Shiraishi,Takahisa Akiba. Владелец: Seiko Epson Corp. Дата публикации: 2006-12-21.

Method for manufacturing liquid ejection head

Номер патента: US20170008289A1. Автор: Takumi Suzuki,Tamaki Sato,Akihiko Okano. Владелец: Canon Inc. Дата публикации: 2017-01-12.

Method for forming insulation film

Номер патента: US20220235456A1. Автор: Nobuo Matsuki. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-07-28.

Method for forming three-dimensional object

Номер патента: US20180147775A1. Автор: Hirofumi Hara,Masaya Nagahari. Владелец: MIMAKI ENGINEERING CO LTD. Дата публикации: 2018-05-31.

Agricultural seed coatings with incorporated aluminum oxide and methods for forming

Номер патента: EP4404745A1. Автор: Aaron WALTZ,Kyle J. ISAACSON,Pauline WELIKHE. Владелец: Phospholutions Inc. Дата публикации: 2024-07-31.

Methods for forming an epitaxial wafer

Номер патента: WO2022233682A1. Автор: Maria Porrini,Pietro Valcozzena. Владелец: GlobalWafers Co., Ltd.. Дата публикации: 2022-11-10.

Semiconductor device having stacked capacitor and method for forming the same

Номер патента: US20240268094A1. Автор: Yen-Min RUAN. Владелец: Winbond Electronics Corp. Дата публикации: 2024-08-08.

System and method for electrospinning of an ultra-high temperature composite structure

Номер патента: EP4424656A2. Автор: Bart A. Van Hassel. Владелец: RTX Corp. Дата публикации: 2024-09-04.

System and method for assembling an aft fuselage section of an aircraft

Номер патента: CA3182303A1. Автор: Richard R. Rosman,Paul B. Diep,Joel Joseph,Max Tyler Breedlove. Владелец: Boeing Co. Дата публикации: 2023-05-17.

Shaped articles for nuclear remediation and methods for forming such shaped articles

Номер патента: WO2015183435A2. Автор: Evgeny Todorov KOLEV. Владелец: UOP LLC. Дата публикации: 2015-12-03.

Spring forming device, method for forming a helical spring and corresponding computer program

Номер патента: EP3021996A1. Автор: Paolo BOSCHIERO. Владелец: Simplex Rapid Srl. Дата публикации: 2016-05-25.

Positioning unit for a charging station, and method for making contact

Номер патента: US20240227595A9. Автор: Lothar Schneider,Timo STAUBACH,Holger LEIB. Владелец: Schunk Transit Systems Gmbh. Дата публикации: 2024-07-11.

Electronic package and method for forming the same

Номер патента: US20240098907A1. Автор: Seunghyun Lee,KyoungHee Park,WonSang Rhee,HunTaek LEE,HyoDong RYU. Владелец: Jcet Stats Chippac Korea Ltd. Дата публикации: 2024-03-21.

Method for forming the gate of a transistor

Номер патента: US20080157132A1. Автор: Dae-Young Kim. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-07-03.

Method for manufacturing metal wiring and method for manufacturing solid state imaging device

Номер патента: US20150263057A1. Автор: Masaki Kikuchi,Takuto Inoue,Masaharu Ogasawara. Владелец: Toshiba Corp. Дата публикации: 2015-09-17.

Method for forming image by thermal transfer

Номер патента: US20020109769A1. Автор: Jun Sogabe,Yasutoshi Inoue,Tetuo Hoshino. Владелец: Individual. Дата публикации: 2002-08-15.

System and method for assembling an aft fuselage section of an aircraft

Номер патента: US11932420B2. Автор: Richard R. Rosman,Paul B. Diep,Joel Joseph,Max Tyler Breedlove. Владелец: Boeing Co. Дата публикации: 2024-03-19.

Method for forming multilayer coating film

Номер патента: CA3076175A1. Автор: Nobuhiko Narita,Hirokazu OKAZAKI,Tatsuo Kuramochi,Yuya Hirai. Владелец: Kansai Paint Co Ltd. Дата публикации: 2019-03-21.

System and method for generating extreme ultraviolet light

Номер патента: US20150351209A1. Автор: Osamu Wakabayashi,Kouji Kakizaki,Tatsuya Yanagida,Tsukasa Hori. Владелец: GIGAPHOTON INC. Дата публикации: 2015-12-03.

Discharge Device And Method For Discharging Electrostatic Charges

Номер патента: US20170280540A1. Автор: Daniel Pfeffer,Lothar Theis,Frank Burkard. Владелец: Schunk Bahn und Industrietechnik GmbH Germany. Дата публикации: 2017-09-28.

Semiconductor device and method for forming the same

Номер патента: US20200203475A1. Автор: Po-An Chen,Syed Neyaz Imam. Владелец: Nuvoton Technology Corp. Дата публикации: 2020-06-25.

Method for disposing of waste, produced in an aluminium anodisation plant

Номер патента: AU2002215831A1. Автор: Heiko Weber. Владелец: Individual. Дата публикации: 2002-05-15.

Method for surface treatment of substrate and method for forming fine wiring

Номер патента: US20080311312A1. Автор: Jae-Woo Joung,Hyun-Chul Jung. Владелец: Samsung Electro Mechanics Co Ltd. Дата публикации: 2008-12-18.

Method for forming a sheet blank as a workpiece in a forming tool

Номер патента: US12064800B2. Автор: Peter Amborn. Владелец: Hodforming GmbH. Дата публикации: 2024-08-20.

Method for forming a footwear midsole

Номер патента: US11745456B2. Автор: Juan Manuel Calle. Владелец: Nike Inc. Дата публикации: 2023-09-05.

Circuit board with a substrate made of silicon and the methods for forming the same

Номер патента: US20190191554A1. Автор: Wen Yao Chang. Владелец: Individual. Дата публикации: 2019-06-20.

Device and method for forming a non-ground vial

Номер патента: WO2006127843A2. Автор: Herbert A. Gerretz,Wayne Mozzo,Ivan E. Perez. Владелец: Alcan Global Pharmaceutical Packaging Inc.. Дата публикации: 2006-11-30.

Methods for forming an organic layer on a substrate

Номер патента: WO2013021149A2. Автор: Helena Gleskova,Swati Gupta,Of Strathclyde University,Krishna Chytanya CHINNAM. Владелец: Chinnam Krishna Chytanya. Дата публикации: 2013-02-14.

Method for forming a panel, particularly skin for a hollow core door, and a method of manufacturing a hollow core door

Номер патента: WO2016081958A3. Автор: Fahiem Amod BUX. Владелец: Bux Fahiem Amod. Дата публикации: 2016-08-25.

Method for forming silicide of semiconductor device

Номер патента: US8105910B2. Автор: Hee-Jae Shin. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2012-01-31.

Multi-gate FETs and methods for forming the same

Номер патента: US10103025B2. Автор: Wen-Tai Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-10-16.

Arrangement and method for forming a multilayered paper or paperboard web

Номер патента: AU2245001A. Автор: Jukka Kinnunen. Владелец: Metso Paper Oy. Дата публикации: 2001-06-25.

Arrangement and method for forming a multilayered paper or paperboard web

Номер патента: WO2001044569A1. Автор: Jukka Kinnunen. Владелец: METSO PAPER, INC.. Дата публикации: 2001-06-21.

Arrangement and method for forming a multilayered paper or paperboard web

Номер патента: EP1266087A1. Автор: Jukka Kinnunen. Владелец: METSO PAPER KARLSTAD AB. Дата публикации: 2002-12-18.

Method for fabricating semiconductor devices

Номер патента: US20020106863A1. Автор: In-Chul Jung,Seung-Hoon Sa. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-08-08.

Method for forming silicon dots

Номер патента: US20100260944A1. Автор: Hirokazu Kaki,Eiji Takahashi,Atsushi Tomyo. Владелец: Nissin Electric Co Ltd. Дата публикации: 2010-10-14.

A fastening method for push-in coupling and products thereof

Номер патента: EP3240959A1. Автор: Ari Nurminen. Владелец: UPM Kymmene Oy. Дата публикации: 2017-11-08.

Method for forming silicon-containing film, and silicon-containing film formed thereby

Номер патента: US20240318305A1. Автор: Jin Sik Kim,Byung Kwan KIM,Da Som YU. Владелец: UP Chemical Co Ltd. Дата публикации: 2024-09-26.

Method for forming an electromagnetic motor with an air bearing

Номер патента: SG149672A1. Автор: Yeo Ching Biing,Akio Takada,Kanzo Okada,Cheng Cheow Tong. Владелец: Sony Corp. Дата публикации: 2009-02-27.

Method for forming involute plastic articles from thermoplastic sheet material

Номер патента: CA1077668A. Автор: Robert F. Mulvany (Jr.). Владелец: Individual. Дата публикации: 1980-05-20.

Methods for forming wraparound electrical contacts on solar cells

Номер патента: WO1997034325B1. Автор: . Владелец: . Дата публикации: 1997-11-06.

Photoinitiators, compositions, and methods of forming an object

Номер патента: WO2024186326A1. Автор: Samuel N. SANDERS,Gavin R. KIEL,Elango KUMARASAMY. Владелец: Quadratic 3D, Inc.. Дата публикации: 2024-09-12.