• Главная
  • CLAYISH COMPOSITION FOR FORMING SINTERED SILVER ALLOY BODY, POWDER FOR CLAYISH COMPOSITION FOR FORMING SINTERED SILVER ALLOY BODY, METHOD FOR MANUFACTURING CLAYISH COMPOSITION FOR FORMING SINTERED SILVER ALLOY BODY, SINTERED SILVER ALLOY BODY, AND METHOD FOR MANUFACTURING SINTERED SILVER ALLOY BODY

CLAYISH COMPOSITION FOR FORMING SINTERED SILVER ALLOY BODY, POWDER FOR CLAYISH COMPOSITION FOR FORMING SINTERED SILVER ALLOY BODY, METHOD FOR MANUFACTURING CLAYISH COMPOSITION FOR FORMING SINTERED SILVER ALLOY BODY, SINTERED SILVER ALLOY BODY, AND METHOD FOR MANUFACTURING SINTERED SILVER ALLOY BODY

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Sinter of noble metal and method for production thereof

Номер патента: CA2285787C. Автор: Hitoshi Araki,Makoto Miyata,Atsushi Fujimaru,Katsuhiko Shimamoto. Владелец: Aida Chemical Industries Co Ltd. Дата публикации: 2010-01-19.

Manufacturing device and manufacturing method for sintered body

Номер патента: US20240253118A1. Автор: Takafumi Noguchi,Kenichi Nagayama,Changhwan KIM,Toshihiro Iizuka. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-01.

Process for forming an electric heater

Номер патента: US20200194398A1. Автор: Tanja Dickel,Ryan Persons,Sebastian Fritzsche,Steffan KASS. Владелец: Heraeus Precious Metals North America Conshohocken LLC. Дата публикации: 2020-06-18.

Shot for wild game hunting and a method for its manufacture

Номер патента: AU5288793A. Автор: Karl-Erik Johansson,Karin Paulsson,Kjell-Ake Johansson,Per-Olof Lindgren. Владелец: Boliden Mineral Ab. Дата публикации: 1994-04-26.

Shot for wild game hunting and a method for its manufacture

Номер патента: AU662459B2. Автор: Karl-Erik Johansson,Karin Paulsson,Kjell-Ake Johansson,Per-Olof Lindgren. Владелец: Boliden Mineral Ab. Дата публикации: 1995-08-31.

Shaping method for three-dimensional shaped product

Номер патента: CA3101692C. Автор: Seiichi Tomita. Владелец: Matsuura Machinery Corp. Дата публикации: 2023-03-07.

A method of forming sintered valve metal material

Номер патента: WO2004097869A2. Автор: Robert D. Mariani. Владелец: CABOT CORPORATION. Дата публикации: 2004-11-11.

Compositions for forming three-dimensional objects and methods thereof

Номер патента: WO2023141468A2. Автор: Hany Basam Eitouni,Scott Allen Mullin,Katrina Irene PATERSON. Владелец: Holo, Inc.. Дата публикации: 2023-07-27.

Shot for wild game hunting and a method for its manufacture

Номер патента: CA2123219C. Автор: Karl-Erik Johansson,Karin Paulsson,Kjell-Ake Johansson,Per-Olof Lindgren. Владелец: Boliden Mineral Ab. Дата публикации: 1998-03-31.

Copper paste for forming sintered copper pillars and method for producing bonded body

Номер патента: EP4299216A1. Автор: Michiko Natori,Dai Ishikawa,Hideo Nakako. Владелец: Resonac Corp. Дата публикации: 2024-01-03.

Copper paste for forming sintered copper pillars and method for producing bonded body

Номер патента: US20240181575A1. Автор: Michiko Natori,Dai Ishikawa,Hideo Nakako. Владелец: Resonac Corp. Дата публикации: 2024-06-06.

An improved sterling silver alloy and articles made from the same

Номер патента: WO2013032665A1. Автор: John Robert Butler. Владелец: Stuller, Inc.. Дата публикации: 2013-03-07.

Process for making finished or semi-finished articles of silver alloy

Номер патента: US20160032436A1. Автор: Peter Gamon Johns. Владелец: Individual. Дата публикации: 2016-02-04.

Sintered porous silver film and production method for joint body

Номер патента: EP3778075A1. Автор: Kazuhiko Yamasaki,Kotaro Masuyama. Владелец: Mitsubishi Materials Corp. Дата публикации: 2021-02-17.

Sintered porous silver film and production method for joint body

Номер патента: US20200376545A1. Автор: Kazuhiko Yamasaki,Kotaro Masuyama. Владелец: Mitsubishi Materials Corp. Дата публикации: 2020-12-03.

Printable lithium compositions for forming battery electrodes

Номер патента: AU2019240246A1. Автор: Marina Yakovleva,Kenneth Brian Fitch,Jian Xia,William Arthur GREETER JR.. Владелец: Livent USA Corp. Дата публикации: 2020-10-08.

Printable lithium compositions for forming battery electrodes

Номер патента: CA3093431A1. Автор: Marina Yakovleva,Kenneth Brian Fitch,Jian Xia,JR. William Arthur Greeter. Владелец: FMC Lithium USA Corp. Дата публикации: 2019-09-26.

Printable lithium compositions for forming battery electrodes

Номер патента: AU2019240246B2. Автор: Marina Yakovleva,Kenneth Brian Fitch,Jian Xia,William Arthur GREETER JR.. Владелец: Livent USA Corp. Дата публикации: 2022-09-01.

Palladium-copper-silver alloy

Номер патента: US20230273240A1. Автор: Matthias Wegner,Jonas FECHER. Владелец: Heraeus Deutschland GmbH and Co KG. Дата публикации: 2023-08-31.

Brittle raney silver alloy

Номер патента: GB929089A. Автор: . Владелец: Steinkohlen-Elektrizitat AG. Дата публикации: 1963-06-19.

Electrically conductive paste for forming pillars

Номер патента: US20210229172A1. Автор: Yoshiyuki Sano,Makoto Yada,Nobuhiro Sekine,Ryota Yamaguchi. Владелец: DIC Corp. Дата публикации: 2021-07-29.

Preparation and Use of Silver Alloy Composite Nanomaterial

Номер патента: US20190084049A1. Автор: Wen Cao. Владелец: Pacific Import Manufacturing Inc. Дата публикации: 2019-03-21.

Preparation and Use of Silver Alloy Composite Nanomaterial

Номер патента: US20210121964A1. Автор: Wen Cao. Владелец: Pacific Import Manufacturing Inc. Дата публикации: 2021-04-29.

A device for forming tooth form of sprocket gear for power transmission apparatus of cahinless bicycle

Номер патента: WO2004101195A1. Автор: Chil-Sung Hwang. Владелец: Bikevalley Co., Ltd. Дата публикации: 2004-11-25.

Machine for forming knotted fence mesh

Номер патента: US20230415221A1. Автор: Karl BEARDSLEY,Benjamin Laurie Byers,Jack Hendrikz. Владелец: South Fence Machinery Ltd. Дата публикации: 2023-12-28.

Ink set for stereolithography and method for manufacturing stereolithographic article using same

Номер патента: US20210170669A1. Автор: Hiroshi Ota,Keisuke Okushiro. Владелец: Maxell Holdings Ltd. Дата публикации: 2021-06-10.

Apparatus and method for creating a flat surface on a workpiece

Номер патента: US7686045B2. Автор: Jaime E. Garcia,Craig A. Carroll. Владелец: Black and Decker Inc. Дата публикации: 2010-03-30.

A machine for forming knotted fence mesh

Номер патента: AU2022235638A1. Автор: Karl BEARDSLEY,Benjamin Laurie Byers,Jack Hendrikz. Владелец: South Fence Machinery Ltd. Дата публикации: 2024-01-18.

Rubber composition for tire tread and pneumatic tire

Номер патента: MY189887A. Автор: Keisuke Iwakuni. Владелец: Toyo Tire Corp. Дата публикации: 2022-03-17.

Method of marking composition for oral administration

Номер патента: EP1884233A1. Автор: Kazuhisa Momoi. Владелец: Eisai R&D Management Co Ltd. Дата публикации: 2008-02-06.

Rubber composition for hose and hose

Номер патента: US11643528B2. Автор: Koki Sugihara. Владелец: Yokohama Rubber Co Ltd. Дата публикации: 2023-05-09.

Ultraviolet-curable ink composition for ink jet and ink jet recording method

Номер патента: US20140362152A1. Автор: Hiroshi Fukumoto,Yusuke MIZUTAKI. Владелец: Seiko Epson Corp. Дата публикации: 2014-12-11.

Rubber composition for tires and pneumatic tire

Номер патента: US11926734B2. Автор: Asuka Noda. Владелец: Toyo Tire Corp. Дата публикации: 2024-03-12.

Rubber composition for additive manufacturing

Номер патента: US20190010311A1. Автор: Hisao Yoshinaga,Yoshikazu MASUYAMA,Takuro AKASAKA,Nami MASAO. Владелец: Sumitomo Rubber Industries Ltd. Дата публикации: 2019-01-10.

Method for producing sintered porous polymeric articles

Номер патента: US4375441A. Автор: Richard C. Adams,Albert J. Herold. Владелец: Standard Oil Co. Дата публикации: 1983-03-01.

Composition for forming coating film

Номер патента: US20210038530A1. Автор: Tatsuya Yoshino. Владелец: Kao Corp. Дата публикации: 2021-02-11.

Composition for forming coating film

Номер патента: EP3750522A1. Автор: Tatsuya Yoshino. Владелец: Kao Corp. Дата публикации: 2020-12-16.

Conductive film formation composition and method for manufacturing conductive film

Номер патента: US12012523B2. Автор: Kei Anai,Shun FUKUZATO. Владелец: Mitsui Mining and Smelting Co Ltd. Дата публикации: 2024-06-18.

White ink composition, ink set for forming multiple layers, image forming method and printed matter

Номер патента: US20140287206A1. Автор: Hiroshi Yamamoto,Misato Sasada. Владелец: Fujifilm Corp. Дата публикации: 2014-09-25.

System for forming elastomeric compositions for application to metal

Номер патента: CA2976340C. Автор: Stephen Smith,Lyle Caillouette,Karl Gust,David R. Phelps. Владелец: BASF SE. Дата публикации: 2023-09-05.

Composition for forming hard coat layer, and eyeglass lens

Номер патента: CA3125081A1. Автор: Yuka IGAMI. Владелец: Nikon Essilor Co Ltd. Дата публикации: 2020-07-02.

Photocurable resin composition for forming support regions

Номер патента: EP3122537A1. Автор: Tsuneo Hagiwara,Jan-Michael STEPPER. Владелец: I-Squared GmbH. Дата публикации: 2017-02-01.

Photosensitive resin composition for forming cell culture substrate

Номер патента: US20170137767A1. Автор: Takahiro Senzaki. Владелец: Tokyo Ohka Kogyo Co Ltd. Дата публикации: 2017-05-18.

Electrode composition for electrospraying

Номер патента: US20240198368A1. Автор: Seung Hyun Lee,Hae Won Jang,Young Hwan Jeon. Владелец: Amogreentech Co Ltd. Дата публикации: 2024-06-20.

Composition for laser marking

Номер патента: EP1086175A1. Автор: Hendrik Verhoogt,Franciscus Petrus Maria Mercx,Cor Van Der Zalm. Владелец: General Electric Co. Дата публикации: 2001-03-28.

Coating compositions for forming toner receptive coatings

Номер патента: US20160059607A1. Автор: David K. Hood,Sounak SARKAR,Charles J. Wasserman,Karen B. VISSCHER. Владелец: ISP Investments LLC. Дата публикации: 2016-03-03.

Coating composition for forming a glossy inkjet-receptive coating on a substrate

Номер патента: WO2007018723A3. Автор: David K Hood. Владелец: David K Hood. Дата публикации: 2009-04-23.

Method of protecting silver and silver alloy surfaces from tarnishing

Номер патента: RU2556162C2. Автор: Дарио НАРДУЧЧИ. Владелец: Аджере С.Р.Л.. Дата публикации: 2015-07-10.

Aluminium solder and a method for the production thereof

Номер патента: GB648482A. Автор: . Владелец: VICTOR TISSOT DAGNETTE. Дата публикации: 1951-01-03.

Alloyed body in a laminar or other format, which eliminates all types of vaporisation and emission

Номер патента: US20190255472A1. Автор: Laura CAÑADA SIERRA. Владелец: TechnoKontrol Global Ltd. Дата публикации: 2019-08-22.

Pneumatically operated form keys and method for thermoforming undercuts in foam parts

Номер патента: US20010030384A1. Автор: John Fuller,Philip Baker,Kenneth Simmons. Владелец: PACTIV LLC. Дата публикации: 2001-10-18.

Aggregate-based mandrels and compositions for producing same

Номер патента: US12012511B2. Автор: Zachary Wing,Mark Angier. Владелец: Advanced Ceramics Manufacturing LLC. Дата публикации: 2024-06-18.

Forming systems and methods for forming an elongate charge of composite material

Номер патента: US20240181726A1. Автор: John Dempsey Morris,Derek John Flolid. Владелец: Boeing Co. Дата публикации: 2024-06-06.

Blank for forming disposable cup and disposable cup

Номер патента: EP3741697A1. Автор: Teemu Aittamaa. Владелец: Origamicup Oy. Дата публикации: 2020-11-25.

Cold Forming Method for Forming Power Pins and Power Pin Formed Thereof

Номер патента: US20160336675A1. Автор: Manzhi Zhou,Guangdong Song. Владелец: Individual. Дата публикации: 2016-11-17.

A multi-cavity forming mould system and a method for forming cellulose products in a multi-cavity forming mould system

Номер патента: CA3192089A1. Автор: Ove Larsson,Olle HÖGBLOM. Владелец: PulPac AB. Дата публикации: 2022-03-03.

Methods And Systems For Forming Trays

Номер патента: US20230302756A1. Автор: Colin P. Ford,Mark Woodward,Joseph C. Walsh,Robert L. Conatser,Shawn Westerlund. Владелец: Graphic Packaging International LLC. Дата публикации: 2023-09-28.

Methods And Systems For Forming Trays

Номер патента: US20230166474A1. Автор: Mark Woodward,Joseph C. Walsh,Robert L. Conatser. Владелец: Graphic Packaging International LLC. Дата публикации: 2023-06-01.

Methods and equipment for forming tubes of fibrous material

Номер патента: EP4233578A2. Автор: John Richardson,Gerhard Le Roux,Gary Fallon,Arnold HERHOLDT. Владелец: British American Tobacco Investments Ltd. Дата публикации: 2023-08-30.

Methods and equipment for forming tubes of fibrous material

Номер патента: EP4233578A3. Автор: John Richardson,Gerhard Le Roux,Gary Fallon,Arnold HERHOLDT. Владелец: British American Tobacco Investments Ltd. Дата публикации: 2023-09-13.

Method for Forming a Semiconductor Device

Номер патента: US20230197831A1. Автор: Hans Mertens,Boon Teik CHAN,Eugenio Dentoni Litta. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-06-22.

Systems, apparatus and methods for forming metal strips into dies

Номер патента: EP3843916A1. Автор: Petr PIRO,Nir RIKOVITCH,Tin To CHAN,Jordan LLOYD,Robert AMIRAULT. Владелец: Ontario Die International Inc. Дата публикации: 2021-07-07.

Methods and systems for forming trays

Номер патента: CA3238201A1. Автор: Mark Woodward,Joseph C. Walsh,Robert L. Conatser. Владелец: Graphic Packaging International LLC. Дата публикации: 2023-06-08.

Methods and systems for forming trays

Номер патента: AU2022400763A1. Автор: Mark Woodward,Joseph C. Walsh,Robert L. Conatser. Владелец: Graphic Packaging International LLC. Дата публикации: 2024-06-13.

A tool for forming a composite component

Номер патента: EP3288745A1. Автор: Scott Barber. Владелец: Composite Technology and Applications Ltd. Дата публикации: 2018-03-07.

Device for forming of a target route and the device for controlling the drive

Номер патента: RU2671602C1. Автор: Ясухиро СУДЗУКИ. Владелец: Ниссан Мотор Ко., Лтд.. Дата публикации: 2018-11-02.

Device for forming a circumferential groove on a pipe

Номер патента: RU2764631C1. Автор: Дуглас Р. ДОУЛ. Владелец: Виктаулик Компани. Дата публикации: 2022-01-19.

System and method for forming three-dimensional body

Номер патента: RU2759969C2. Автор: Клаус ШТАДЛЬМАНН. Владелец: Клаус ШТАДЛЬМАНН. Дата публикации: 2021-11-19.

Mold and method for forming tilt boss

Номер патента: US20140374957A1. Автор: Tsung-Chin Liu,Ying-Huang Liu. Владелец: QUANTA COMPUTER INC. Дата публикации: 2014-12-25.

System and method for forming a hairpin for motors

Номер патента: US11848589B2. Автор: Jiwon YU. Владелец: Kia Corp. Дата публикации: 2023-12-19.

Method for forming multilayer coating film

Номер патента: US20210039136A1. Автор: Akihiro Nishimura. Владелец: Nippon Paint Automotive Coatings Co Ltd. Дата публикации: 2021-02-11.

Method for forming multilayer coating film

Номер патента: CA3095439A1. Автор: Akihiro Nishimura. Владелец: Nippon Paint Automotive Coatings Co Ltd. Дата публикации: 2020-07-02.

Composition for laser marking

Номер патента: WO2000018831A1. Автор: Robert Alan Fisher,Peter Hendrikus T. Vollenberg. Владелец: GENERAL ELECTRIC COMPANY. Дата публикации: 2000-04-06.

A method for forming a semiconductor device

Номер патента: EP4391039A1. Автор: Shairfe Muhammad Salahuddin,Boon Teik CHAN,Hsiao-Hsuan Liu. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Method for forming a foamed product integral with a sheet of covering material

Номер патента: US7323126B2. Автор: Yasushi Asano,Takahito Yabe. Владелец: TS Tech Co Ltd. Дата публикации: 2008-01-29.

Inverted cylinder assembly for forming and filling a container with liquid

Номер патента: EP3576925A1. Автор: Kirk Edward Maki,G. David Lisch. Владелец: Amcor Rigid Plastics USA LLC. Дата публикации: 2019-12-11.

Method for forming dense silicic film

Номер патента: US9534145B2. Автор: Masakazu Kobayashi,Takaaki Sakurai,Yuki Ozaki. Владелец: AZ Electronic Materials Luxembourg SARL. Дата публикации: 2017-01-03.

Spring forming device, method for forming a helical spring and corresponding computer program

Номер патента: EP3021996A1. Автор: Paolo BOSCHIERO. Владелец: Simplex Rapid Srl. Дата публикации: 2016-05-25.

Method for forming a fet device

Номер патента: US20230178635A1. Автор: Naoto Horiguchi,Julien Ryckaert,Boon Teik CHAN,Aryan Afzalian. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-06-08.

Flow-forming machine and method for flow-forming

Номер патента: US20240173763A1. Автор: Benedikt Nillies. Владелец: Leifeld Metal Spinning GmbH. Дата публикации: 2024-05-30.

Manufacturing method for vehicle knuckle using preform

Номер патента: US20230128647A1. Автор: Ki Ho Kim,Se Woong JEONG,Ig Jin KWON,Ju Hyoung Cho,Hyun Tae BANG. Владелец: Iljin Co Ltd. Дата публикации: 2023-04-27.

Method for forming working fluid passages in a base of a hydraulic jack

Номер патента: US5346338A. Автор: Ta C. Wang. Владелец: Individual. Дата публикации: 1994-09-13.

Device for forming binding elements

Номер патента: EP3209836A1. Автор: Dante Bruno Priuli,Simone Rupoli. Владелец: Schnell SpA. Дата публикации: 2017-08-30.

System for forming stacks of composite materials

Номер патента: WO2013064309A1. Автор: Jordi Brufau Redondo,Antoni SOLÀ LORENTE. Владелец: Applus Servicios Tecnologicos, S.L.. Дата публикации: 2013-05-10.

Method and apparatus for forming spring units

Номер патента: WO1999030853A1. Автор: Simon Paul Spinks,Peter Douglas Spinks. Владелец: A HARRISON (BEDDING) LIMITED. Дата публикации: 1999-06-24.

System and method for forming solder bumps

Номер патента: WO2021018466A1. Автор: Jae-Woong Nah,Jeng-Bang Yau,Peter Jerome Sorce,Eric Peter Lewandowski. Владелец: Ibm United Kingdom Limited. Дата публикации: 2021-02-04.

Method for forming micro-electro-mechanical system (mems) structure

Номер патента: US20190315620A1. Автор: Kai-Fung Chang,Len-Yi Leu,Lien-Yao TSAI. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-10-17.

"A method and tool for forming a hinge leaf"

Номер патента: IE910071A1. Автор: Michael John Mcdonagh. Владелец: Rosspark Ltd. Дата публикации: 1992-07-15.

A method and tool for forming a hinge leaf

Номер патента: IE66034B1. Автор: Michael John Mcdonagh. Владелец: Rosspark Ltd. Дата публикации: 1995-11-29.

Apparatus and method for pulsed magnetic forming of a dish from a planar plate

Номер патента: EP1054746A2. Автор: Oren Gafri,Yuri Livshiz. Владелец: Pulsar Welding Ltd. Дата публикации: 2000-11-29.

Device for forming binding elements

Номер патента: WO2016063221A4. Автор: Dante Bruno Priuli,Simone Rupoli. Владелец: Schnell S.P.A.. Дата публикации: 2016-06-09.

Methods for making solid state devices having spin-photon interfaces

Номер патента: WO2024124327A1. Автор: Mohsen Keshavarz Akhlaghi,Navid Mohammad Sadeghi Jahed. Владелец: Photonic Inc.. Дата публикации: 2024-06-20.

Method for forming a foamed product integral with a sheet of covering material

Номер патента: US20050206027A1. Автор: Yasushi Asano,Takahito Yabe. Владелец: TS Tech Co Ltd. Дата публикации: 2005-09-22.

Method for forming multilayer coating film

Номер патента: CA3076175A1. Автор: Nobuhiko Narita,Hirokazu OKAZAKI,Tatsuo Kuramochi,Yuya Hirai. Владелец: Kansai Paint Co Ltd. Дата публикации: 2019-03-21.

Method for forming multilayer coating film

Номер патента: EP3685928A1. Автор: Nobuhiko Narita,Hirokazu OKAZAKI,Tatsuo Kuramochi,Yuya Hirai. Владелец: Kansai Paint Co Ltd. Дата публикации: 2020-07-29.

Method for making mold for forming anti-flare lens

Номер патента: US8454864B2. Автор: Chien-Feng Huang. Владелец: Hon Hai Precision Industry Co Ltd. Дата публикации: 2013-06-04.

A cold working apparatus and a method for cold working a blank

Номер патента: EP3962674A1. Автор: Lars Sandberg,Joakim INNALA,Petter ULFBERG. Владелец: Autotech Engineering SL. Дата публикации: 2022-03-09.

Method for forming carbon-carbon bond

Номер патента: EP3971156A1. Автор: Hironao Sajiki,Shinji Nakamura,Hitoshi Takada,Tsuyoshi Yamada,Yoshinari Sawama. Владелец: Organo Corp. Дата публикации: 2022-03-23.

Method for forming a sheet blank as a workpiece in a forming tool

Номер патента: US12064800B2. Автор: Peter Amborn. Владелец: Hodforming GmbH. Дата публикации: 2024-08-20.

System for forming stacks of composite materials

Номер патента: EP2773505A1. Автор: Jordi Brufau Redondo,Antoni SOLÀ LORENTE. Владелец: Applus Servicios Tecnologicos SL. Дата публикации: 2014-09-10.

Method and apparatus for forming spring units

Номер патента: EP1051271A1. Автор: Simon Paul Spinks,Peter Douglas Spinks. Владелец: Harrison Spinks Beds LTD. Дата публикации: 2000-11-15.

Apparatus and method for forming optical element

Номер патента: US20070252294A1. Автор: Takumi Tsuji. Владелец: Sony Corp. Дата публикации: 2007-11-01.

Method and apparatus for forming a package box

Номер патента: WO2017109293A1. Автор: Jouni Suokas. Владелец: OY JOPAMAC AB. Дата публикации: 2017-06-29.

Method and apparatus for forming a polymeric container system for pressurized fluids

Номер патента: WO2002036333A1. Автор: John I. Izuchukwu,Stan A. Sanders. Владелец: Mallinckrodt Inc.. Дата публикации: 2002-05-10.

Device and method for forming a non-ground vial

Номер патента: WO2006127843A2. Автор: Herbert A. Gerretz,Wayne Mozzo,Ivan E. Perez. Владелец: Alcan Global Pharmaceutical Packaging Inc.. Дата публикации: 2006-11-30.

Flexible independent multi-layer container and method for forming

Номер патента: EP1885609A2. Автор: Charles W. True. Владелец: Environmental Packaging Technologies Ltd. Дата публикации: 2008-02-13.

Imprint method for fabrication of low density nanopore membrane

Номер патента: US20230194991A1. Автор: Thomas Chang,Kim Yang Lee. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2023-06-22.

Method for Forming a Semiconductor Device

Номер патента: US20230197522A1. Автор: Naoto Horiguchi,Julien Ryckaert,Boon Teik CHAN,Anne Vandooren. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-06-22.

Method and apparatus for forming spring units

Номер патента: AU1569899A. Автор: Simon Paul Spinks,Peter Douglas Spinks. Владелец: Harrison Spinks Beds LTD. Дата публикации: 1999-07-05.

Method for forming multilayer coating film

Номер патента: US20200398308A1. Автор: Kenji Sakai,Nobuhiko Narita,Hirokazu OKAZAKI,Tatsuo Kuramochi. Владелец: Kansai Paint Co Ltd. Дата публикации: 2020-12-24.

Method for forming a moulding and moulding

Номер патента: US11590546B2. Автор: Johann Willdonner. Владелец: NEUMAN ALUMINIUM FLIESSPRESSWERK GmbH. Дата публикации: 2023-02-28.

Apparatus and method for forming pouch case for secondary battery

Номер патента: US20180243966A1. Автор: Hyun-Min Kim,Eun-Ju Lee,Sei-Woon Oh,Soo-Ji HWANG,Sun-Hwak Woo. Владелец: LG Chem Ltd. Дата публикации: 2018-08-30.

Device and method for forming a mat

Номер патента: WO2003022541A1. Автор: Goran Lundgren,Sven-Ingvar Thorbjörnsson,Lena BÄCKMAN. Владелец: METSO PAPER, INC.. Дата публикации: 2003-03-20.

Method for forming multilayer coating film

Номер патента: US20210245198A1. Автор: Satoshi Ishida,Akiko Senda,Kenta TAKEMURA. Владелец: Nippon Paint Automotive Coatings Co Ltd. Дата публикации: 2021-08-12.

Method for forming three-dimensional object

Номер патента: US20180147775A1. Автор: Hirofumi Hara,Masaya Nagahari. Владелец: MIMAKI ENGINEERING CO LTD. Дата публикации: 2018-05-31.

Method and apparatus for forming a modified a cross-section wire material

Номер патента: US20040107758A1. Автор: Takao Mikami,Takao Karaoke,Yoshiki Masugata. Владелец: Hitachi Metals Ltd. Дата публикации: 2004-06-10.

Semiconductor assembly and method for forming seal ring

Номер патента: US20100084735A1. Автор: Chin-Sheng Yang. Владелец: United Microelectronics Corp. Дата публикации: 2010-04-08.

Method for forming quantum dot

Номер патента: US20040053469A1. Автор: Sung-Eon Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-03-18.

Article with composite hard coat layer and method for forming composite hard coat layer

Номер патента: US20050095432A1. Автор: Naoki Hayashida,Kazushi Tanaka. Владелец: TDK Corp. Дата публикации: 2005-05-05.

Mold for forming golf ball and golf ball manufactured using the same

Номер патента: US20110070974A1. Автор: Hirotaka Shimosaka,Youichi Omura. Владелец: Bridgestone Sports Co Ltd. Дата публикации: 2011-03-24.

Method and device for forming packages

Номер патента: US20110088358A1. Автор: Barend Bastiaan Romijn. Владелец: Robert Bosch Packaging Technology BV. Дата публикации: 2011-04-21.

System and method for forming of 3d plastic parts

Номер патента: US20240278481A1. Автор: Scott WHITEHEAD. Владелец: Scrape Armor Inc. Дата публикации: 2024-08-22.

Apparatus and method for forming a resist fine pattern

Номер патента: US20230182488A1. Автор: Jong-Gyun Lee,Seog-Soon Kim,Hee-Jun Han. Владелец: Unijet Co ltd. Дата публикации: 2023-06-15.

Method for Forming Packaged Semiconductor Die with Micro-Cavity

Номер патента: US20220115282A1. Автор: Matthias Klein,Richard Gruenwald,Andreas Zakrzewski. Владелец: Microchip Technology Inc. Дата публикации: 2022-04-14.

Method and apparatus for forming a composite fabric

Номер патента: WO1997010101A1. Автор: Douglas Brian Mann,Andrew Bencich Woodside,Margaret Mary Woodside. Владелец: Owens Corning. Дата публикации: 1997-03-20.

Machine for forming a container from a blank

Номер патента: US20240293990A1. Автор: Thomas Dean Graham,Amer Aganovic,Claudio D'alesio. Владелец: WestRock Shared Services LLC. Дата публикации: 2024-09-05.

Plastics barrel and method for making it

Номер патента: RU2258003C2. Автор: . Владелец: Шютц Гмбх Унд Ко. Кгаа. Дата публикации: 2005-08-10.

Tool for making nail with shifted head and method for using such tool

Номер патента: RU2322324C2. Автор: Йенс КАРЛСЕН. Владелец: Энкотек А/С. Дата публикации: 2008-04-20.

Method for forming coating film for lithography

Номер патента: US9502247B2. Автор: Tsutomu Ogihara,Taku Morisawa. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2016-11-22.

Process and apparatus for forming dual compartment pouches from a continuous web

Номер патента: EP1294615A1. Автор: Balbir Singh,David A. Blythe,Stephen Holten,Gary Willison. Владелец: Mars Inc. Дата публикации: 2003-03-26.

Process and apparatus for forming dual compartment pouches from a continuous web

Номер патента: EP1294615A4. Автор: Balbir Singh,David A Blythe,Stephen Holten,Gary Willison. Владелец: Mars Inc. Дата публикации: 2005-10-19.

A cellulose product toggle pressing module and method for using the same

Номер патента: CA3215437A1. Автор: Ove Larsson. Владелец: PulPac AB. Дата публикации: 2022-10-20.

A cellulose product toggle pressing module and method for using the same

Номер патента: EP4323180A1. Автор: Ove Larsson. Владелец: PulPac AB. Дата публикации: 2024-02-21.

Fully and uniformly silicided gate structure and method for forming same

Номер патента: EP2089909A1. Автор: Haining Yang,Wai-Kin Li. Владелец: International Business Machines Corp. Дата публикации: 2009-08-19.

Method for forming gripper heads used to transport components

Номер патента: US20240157633A1. Автор: Mark Knight Robinson. Владелец: Gillette Co LLC. Дата публикации: 2024-05-16.

Blank for forming an insert

Номер патента: SE1951151A1. Автор: Pär MAGNUSSON. Владелец: STORA ENSO OYJ. Дата публикации: 2021-04-11.

Method for forming a cardboard container

Номер патента: SE1950522A1. Автор: Mugeni Nuamu,Michael Andersson. Владелец: Michael Andersson. Дата публикации: 2020-10-31.

Image forming apparatus having a member for forming an image

Номер патента: US9022675B2. Автор: Masatoshi Yamashita,Yoshiyuki Maekawa,Daisuke Takamura. Владелец: Canon Inc. Дата публикации: 2015-05-05.

Method for forming ball in bonding wire

Номер патента: US20180096965A1. Автор: Takashi Yamada,Tomohiro Uno,Teruo Haibara,Ryo Oishi,Noritoshi Araki. Владелец: Nippon Steel and Sumikin Materials Co Ltd. Дата публикации: 2018-04-05.

Compositions for forming polyureas, methods of forming polyureas and kits for forming polyureas

Номер патента: WO2022180364A1. Автор: Richard John Foster. Владелец: Richard John Foster. Дата публикации: 2022-09-01.

Compositions for forming polyureas, methods of forming polyureas and kits for forming polyureas

Номер патента: US20240317921A1. Автор: Richard John Foster. Владелец: Individual. Дата публикации: 2024-09-26.

Double-side cooled power modules with sintered-silver interposers

Номер патента: US20240153862A1. Автор: Guo-Quan Lu,Chao Ding. Владелец: Virginia Tech Intellectual Properties Inc. Дата публикации: 2024-05-09.

Separator for electrochemical device and method for manufacturing the same

Номер патента: US20230268615A1. Автор: Bum-Young Jung,Jun-Soo Park. Владелец: LG Energy Solution Ltd. Дата публикации: 2023-08-24.

Laminated ceramic electronic component and method for manufacturing same

Номер патента: US20020008606A1. Автор: Tadahiro Nakagawa,Shingo Okuyama. Владелец: Murata Manufacturing Co Ltd. Дата публикации: 2002-01-24.

Composition for forming coating film

Номер патента: US20220226202A1. Автор: Hideo Kobayashi,Tatsuya Fujimoto,Shingo Hirono,Kaori ISHIDA. Владелец: Kao Corp. Дата публикации: 2022-07-21.

Compositions for forming polyureas, methods of forming polyureas and kits for forming polyureas

Номер патента: EP4298142A1. Автор: Richard John Foster. Владелец: Individual. Дата публикации: 2024-01-03.

Composition for stimulating coating of plant roots

Номер патента: RU2636168C2. Автор: Антуан КУТАН,Мод-Сесиль РЕВЕЙО,Башар БЛАЛЬ. Владелец: Агроксин. Дата публикации: 2017-11-21.

Method for the insulation of polysilicon film in semiconductor device

Номер патента: US5376576A. Автор: Sang H. Park,Chang S. Moon,Dae I. Park. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1994-12-27.

Method for evaluating defective region of wafer

Номер патента: US11955386B2. Автор: Jae Hyeong Lee. Владелец: SK Siltron Co Ltd. Дата публикации: 2024-04-09.

Method for storing aqueous ink-jet white ink composition

Номер патента: EP4151691A1. Автор: Yoichi Sato,Eri Ueda,Kazuki MORIYASU,Takaaki Sano,Hirohito Maeda. Владелец: Sakata Inx Corp. Дата публикации: 2023-03-22.

Method for storing aqueous white ink composition for inkjet

Номер патента: US20230193060A1. Автор: Yoichi Sato,Eri Ueda,Kazuki MORIYASU,Takaaki Sano,Hirohito Maeda. Владелец: Sakata Inx Corp. Дата публикации: 2023-06-22.

Apparatus and process for forming an aqueous solution

Номер патента: US4973529A. Автор: Albert P. Grasso,Wolfgang M. Vogel,Bryan F. Dufner,William A. Taylor. Владелец: International Fuel Cells Corp. Дата публикации: 1990-11-27.

Method for depositing porous films

Номер патента: US20060252278A1. Автор: Kam Law,Cecilia Mak. Владелец: Individual. Дата публикации: 2006-11-09.

Coating solution for forming cell scaffold and production method thereof

Номер патента: AU2022428176A1. Автор: Daigo Kobayashi,Yuuhei ARAI. Владелец: Sekisui Chemical Co Ltd. Дата публикации: 2024-05-30.

Coating solution for forming cell scaffold and production method thereof

Номер патента: CA3239283A1. Автор: Daigo Kobayashi,Yuuhei ARAI. Владелец: Sekisui Chemical Co Ltd. Дата публикации: 2023-07-06.

Fat and/or oil composition for use in infant formula

Номер патента: SG11201901882WA. Автор: Takashi Yoshida,Toshihiro Nakamura,Amane HORI. Владелец: Taiyo Yushi Corp. Дата публикации: 2019-04-29.

Reshaping composition for keratin fibers

Номер патента: WO2021170568A1. Автор: Niu Jian,Steven Breakspear,Bernd Nöcker. Владелец: KAO Germany GmbH. Дата публикации: 2021-09-02.

Self-quenching homofluorophore compositions for detecting enzyme activity

Номер патента: US20070212696A1. Автор: John Owen. Владелец: Wake Forest University Health Sciences. Дата публикации: 2007-09-13.

Composition for wet indicator

Номер патента: US20230165731A1. Автор: Shigekazu Saito. Владелец: Henkel AG and Co KGaA. Дата публикации: 2023-06-01.

Composition for wet indicator

Номер патента: WO2022024783A1. Автор: Shigekazu Saito. Владелец: Henkel AG & Co. KGaa. Дата публикации: 2022-02-03.

Composition for fighting nosebleed

Номер патента: RU2473327C2. Автор: Бьёрн ПЕТРУСОН. Владелец: Фармакьюэ Хелс Кеа Аб. Дата публикации: 2013-01-27.

Composition for improving brain function and method for improving brain function

Номер патента: MY160372A. Автор: Hiroaki Goto,Naoto Uchida,Kazuhito Ohsawa,Kohji Ohki. Владелец: Asahi Group Holdings Ltd. Дата публикации: 2017-03-15.

Compositions and methods for modulating an immune response

Номер патента: WO2015081073A3. Автор: Richard S. Blumberg,Kristi BAKER,Timo RATH. Владелец: The Brigham And Women's Hospital, Inc.. Дата публикации: 2015-11-12.

Composition for preventing harmful organisms

Номер патента: CA2616749C. Автор: Nobuyuki Kawahara,Michikazu Nomura,Hidenori Daido. Владелец: Mitsui Chemicals Inc. Дата публикации: 2012-01-03.

A composition for use in prophylaxis and/or treatment

Номер патента: CA2453090A1. Автор: Timothy Roberts,Neil Roland Mcgregor,Richard Hugh Dunstan,Henry Lawrence Butt. Владелец: Individual. Дата публикации: 2003-01-23.

Compositions and methods for directing the oviposition of mosquitoes

Номер патента: CA2938065C. Автор: Gerardo Ulibarri. Владелец: LAURENTIAN UNIVERSITY OF SUDBURY. Дата публикации: 2023-09-26.

Compositions and methods for metabolic health

Номер патента: WO2023122690A3. Автор: Murray Stewart FLEMING. Владелец: Glucose Health, Inc.. Дата публикации: 2023-08-03.

Composition for etching and method for manufacturing semiconductor device using same

Номер патента: US20210054286A1. Автор: Jin Uk Lee,Jae Wan Park,Jung Hun Lim. Владелец: Soulbrain Co Ltd. Дата публикации: 2021-02-25.

Composition for etching and method for manufacturing semiconductor device using same

Номер патента: US20210054285A1. Автор: Jin Uk Lee,Jae Wan Park,Jung Hun Lim. Владелец: Soulbrain Co Ltd. Дата публикации: 2021-02-25.

Composition for etching and method for manufacturing semiconductor device using same

Номер патента: US20210054284A1. Автор: Jin Uk Lee,Jae Wan Park,Jung Hun Lim. Владелец: Soulbrain Co Ltd. Дата публикации: 2021-02-25.

Composition for etching and method for manufacturing semiconductor device using same

Номер патента: US20200263087A1. Автор: Jin Uk Lee,Jae Wan Park,Jung Hun Lim. Владелец: Soulbrain Co Ltd. Дата публикации: 2020-08-20.

Composition for etching and method for manufacturing semiconductor device using same

Номер патента: US20210054281A1. Автор: Jin Uk Lee,Jae Wan Park,Jung Hun Lim. Владелец: Soulbrain Co Ltd. Дата публикации: 2021-02-25.

Composition for etching and method for manufacturing semiconductor device using same

Номер патента: US20210054282A1. Автор: Jin Uk Lee,Jae Wan Park,Jung Hun Lim. Владелец: Soulbrain Co Ltd. Дата публикации: 2021-02-25.

COMPOSITIONS FOR TRANSFECTING mRNA INTO A CELL AND THEIR APPLICATIONS

Номер патента: US20210386841A1. Автор: Patrick Erbacher,Fabrice Stock,Valerie Toussaint Moreau. Владелец: Polyplus Transfection SA. Дата публикации: 2021-12-16.

Composition for improving or maintaining quality of life

Номер патента: CA3145423A1. Автор: Kengo Kawasaki,Koutarou Muroyama,Shinji Murosaki,Ryusei Uchio. Владелец: House Wellness Foods Corp. Дата публикации: 2020-12-30.

Compositions and methods for directing the oviposition of mosquitoes

Номер патента: WO2014113876A8. Автор: Gerardo Ulibarri. Владелец: Laurentian University. Дата публикации: 2014-09-04.

Compositions and methods for directing the opposition of mosquitoes

Номер патента: WO2014113876A1. Автор: Gerardo Ulibarri. Владелец: Laurentian University. Дата публикации: 2014-07-31.

Compositions and methods for metabolic health

Номер патента: WO2023122690A2. Автор: Murray Stewart FLEMING. Владелец: Glucose Health, Inc.. Дата публикации: 2023-06-29.

Method and composition for improved animal husbandry

Номер патента: CA2002712C. Автор: Carey L. Quarles. Владелец: Carey L. Quarles. Дата публикации: 1997-10-14.

Method and composition for improved animal husbandry

Номер патента: US4927811A. Автор: Carey L. Quarles. Владелец: Coors Biotech Inc. Дата публикации: 1990-05-22.

Insecticidal bait composition for control of household infestations of cockroaches

Номер патента: CA1329116C. Автор: Francis J. Bruey. Владелец: Clorox Co. Дата публикации: 1994-05-03.

Composition for etching and manufacturing method of semiconductor device using the same

Номер патента: US11912902B2. Автор: Jung-Hun Lim,Jae-Wan Park,Jin-Uk Lee. Владелец: Soulbrain Co Ltd. Дата публикации: 2024-02-27.

Compounds and methods for modulation of dystrophia myotonica-protein kinase (DMPK) expression

Номер патента: US11981897B2. Автор: Susan M. Freier. Владелец: Ionis Pharmaceuticals Inc. Дата публикации: 2024-05-14.

Methods, kits, and compositions for detecting enzyme activity

Номер патента: US20090148851A1. Автор: John Owen. Владелец: Individual. Дата публикации: 2009-06-11.

Methods, kits, and compositions for detecting enzyme activity

Номер патента: US7927864B2. Автор: John Owen. Владелец: Wake Forest University Health Sciences. Дата публикации: 2011-04-19.

Manufacturing method for semiconductor device and semiconductor device

Номер патента: US20190006268A1. Автор: Masatoshi Sugiura,Hiroi Oka. Владелец: Renesas Electronics Corp. Дата публикации: 2019-01-03.

Method for forming resist underlayer film and patterning process

Номер патента: EP4435516A1. Автор: Naoki Kobayashi,Daisuke Kori,Kenta Ishiwata,Nobuhiro Nagamachi. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-09-25.

Method for producing a composition for forming an organic film

Номер патента: US10047244B2. Автор: Tsutomu Ogihara,Motoaki Iwabuchi,Daisuke Kori. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2018-08-14.

Compositions and methods for inhibiting reproduction in tadpole shrimp

Номер патента: US20100129458A1. Автор: Brian K. C. Tsukimura. Владелец: California State University Fresno. Дата публикации: 2010-05-27.

Knitted silver alloy cable and method of manufacture

Номер патента: WO2005069960A2. Автор: John Lefgren. Владелец: Silver Silk Llc. Дата публикации: 2005-08-04.

Method for forming silicon-containing film, and silicon-containing film formed thereby

Номер патента: US20240318305A1. Автор: Jin Sik Kim,Byung Kwan KIM,Da Som YU. Владелец: UP Chemical Co Ltd. Дата публикации: 2024-09-26.

Method for forming resist underlayer film and pattering process

Номер патента: EP4432011A1. Автор: Naoki Kobayashi,Daisuke Kori,Kenta Ishiwata,Nobuhiro Nagamachi. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-09-18.

Method For Forming Resist Underlayer Film And Patterning Process

Номер патента: US20240310732A1. Автор: Naoki Kobayashi,Daisuke Kori,Kenta Ishiwata,Nobuhiro Nagamachi. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-09-19.

Methods and compositions for forming ligation products

Номер патента: US12049665B2. Автор: Li Weng,Malek Faham. Владелец: Accuragen Holdings Ltd. Дата публикации: 2024-07-30.

Composition for forming a liquid crystal alignment film

Номер патента: WO2024125945A1. Автор: Jean-François ECKERT,Thierry Becret,Qian Tang,Frederic Lincker,Hervé VISSIERES. Владелец: ROLIC TECHNOLOGIES AG. Дата публикации: 2024-06-20.

Cleaning composition for facilitating cleaning surfaces of an object using femtotechnology

Номер патента: US11225632B1. Автор: Donald Richard Wilshe. Владелец: Individual. Дата публикации: 2022-01-18.

Silver alloy used in an organic electroluminescent panel

Номер патента: US7208870B2. Автор: Chih-Jen Yang,Shang-Wei Chen,Tien-Rong Lu,Yih Chang,Tien Wang Huang,Hsin Tzu Yao. Владелец: RiTdisplay Corp. Дата публикации: 2007-04-24.

Manufacturing method for semiconductor device and semiconductor device

Номер патента: US10388597B2. Автор: Masatoshi Sugiura,Hiroi Oka. Владелец: Renesas Electronics Corp. Дата публикации: 2019-08-20.

Composition and method for forming a composition for increasing dermal nitric oxide

Номер патента: US20210052681A1. Автор: Cary Chousky. Владелец: Spinal Relief Centres of Canada. Дата публикации: 2021-02-25.

Silver alloy with improved mechanical properties

Номер патента: EP3914745A1. Автор: Subodh Suhas Pethe. Владелец: Individual. Дата публикации: 2021-12-01.

Coating compositions for use in forming patterns and methods of forming patterns

Номер патента: US20080305638A1. Автор: Man-Hyoung Ryoo,Mitsuhiro Hata,Jung-Hwan Hah,Sang-jung Choi. Владелец: Individual. Дата публикации: 2008-12-11.

Resin composition for forming varistor and varistor

Номер патента: US20210155769A1. Автор: Yoshitaka Kamata. Владелец: Namics Corp. Дата публикации: 2021-05-27.

Methods and compositions for electrophoretic metallization deposition

Номер патента: US20090178927A1. Автор: Timothy Weidman,Kapila P. Wijekoon. Владелец: Individual. Дата публикации: 2009-07-16.

Nanotwinned silver alloy film with controlled architecture

Номер патента: US20150275350A1. Автор: Ryan Timothy Ott,Matthew Frank Besser. Владелец: Iowa State University Research Foundation ISURF. Дата публикации: 2015-10-01.

Composition for forming film for semiconductor, laminate, and substrate laminate

Номер патента: EP4391024A1. Автор: Yuzo Nakamura,Yasuhisa Kayaba,Takashi Kozeki. Владелец: Mitsui Chemicals Inc. Дата публикации: 2024-06-26.

Composition and method for forming a composition for increasing dermal nitric oxide

Номер патента: WO2021035335A1. Автор: Cary Chousky. Владелец: Spinal Relief Centres Of Canada Inc.. Дата публикации: 2021-03-04.

Composition for forming silicon-containing resist underlayer film and patterning process

Номер патента: US11934100B2. Автор: Kazunori Maeda,Daisuke Kori,Yusuke Kai. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-03-19.

Composition for forming low dielectric constant resin, low dielectric member, and electronic device using same

Номер патента: EP4239000A1. Автор: Takeshi Fujiwara,Koki Sago. Владелец: JNC Corp. Дата публикации: 2023-09-06.

Sputter target of a silver alloy, its use and glass substrate with thermal insulation layer

Номер патента: US20070259191A1. Автор: Martin Weigert,Christoph Simons. Владелец: WC Heraus GmbH and Co KG. Дата публикации: 2007-11-08.

Flowable concentrate composition for agricultrual seeds

Номер патента: US20220125046A1. Автор: Wei Lu,Cheng Shen,PENG Gao,Ling Zhong,Jing Ji. Владелец: Dow Global Technologies LLC. Дата публикации: 2022-04-28.

Silver alloy, sputtering target material thereof, and thin film thereof

Номер патента: US20070110968A1. Автор: ATSUSHI Watanabe. Владелец: Furuya Metal Co Ltd. Дата публикации: 2007-05-17.

Inkjet composition for textile printing, treated fabric, image formed object, and method for forming image

Номер патента: EP4417659A1. Автор: Ken Nito. Владелец: KONICA MINOLTA INC. Дата публикации: 2024-08-21.

Acrylate coating composition for forming an antifouling coat

Номер патента: EP4399247A1. Автор: Stefan Møller Olsen,Maria BILURBINA,Nelida GIMENO,Albert Camós NOGUER,Joan Antoni SIERRA. Владелец: Hempel AS. Дата публикации: 2024-07-17.

Sand removing body powder

Номер патента: WO1999018921A1. Автор: Adele Abramson,Trevor David Abramson. Владелец: Trevor David Abramson. Дата публикации: 1999-04-22.

Compositions for treatment of diseases of the nail unit

Номер патента: CA2595620A1. Автор: Ronald Erwin Boch,Alain H. Curaudeau,Jing-Song Tao,Agnes How-Ching Chan,Peter Lutwyche. Владелец: Individual. Дата публикации: 2006-08-24.

Adhesive tape and method for manufacturing adhesive tape

Номер патента: EP4410914A1. Автор: Kohei Takeda,Shinsuke Ikishima,Sho UCHIDA,Kentaro UCHIDA. Владелец: Nitto Denko Corp. Дата публикации: 2024-08-07.

Composition for forming silicon-containing resist underlayer film

Номер патента: US20240231230A1. Автор: Shuhei Shigaki,Satoshi Takeda,Wataru Shibayama,Kodai KATO. Владелец: Nissan Chemical Corp. Дата публикации: 2024-07-11.

Methods and compositions for polymer superparamagnetic particles for nucleic acid extraction

Номер патента: US11204349B1. Автор: Alex Ho Fai Lee. Владелец: Labrador Diagnostics LLC. Дата публикации: 2021-12-21.

Composition for forming a hydrogen peroxide based emulsion explosive

Номер патента: EP4334269A1. Автор: Stefan Nilsson,Thomas GUSTAVSSON,Robert HÅKLAND. Владелец: Hypex Bio Explosives Technology Ab. Дата публикации: 2024-03-13.

Composition for forming a hydrogen peroxide based emulsion explosive

Номер патента: ZA202311166B. Автор: Nilsson Stefan,Gustavsson Thomas,HAKLAND Robert. Владелец: Hypex Bio Explosives Tech AB. Дата публикации: 2024-03-27.

Compositions and processes for forming photovoltaic devices

Номер патента: EP2380204A2. Автор: Jon-Paul Maria,William Borland,Howard David Glicksman. Владелец: EI Du Pont de Nemours and Co. Дата публикации: 2011-10-26.

Composition for forming biodegradable horticultural growing foam

Номер патента: GB2589524A. Автор: LU CHUN-HAO. Владелец: Babyfoam Uk Ltd. Дата публикации: 2021-06-02.

Composition for forming solar cell electrode and electrode produced from same

Номер патента: US20160304727A1. Автор: Tae Joon Kim,Sang Hee Park,Hun Gyu SONG,Hyun Jin Koo. Владелец: Samsung SDI Co Ltd. Дата публикации: 2016-10-20.

Composition for forming organic film, patterning process, and compound

Номер патента: US20230280655A1. Автор: Daisuke Kori,Shohei Iwamori. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2023-09-07.

Composition for forming silicon-containing resist underlayer film

Номер патента: US20240295819A1. Автор: Shuhei Shigaki,Satoshi Takeda,Wataru Shibayama,Kodai KATO,Ken ISHIBASHI. Владелец: Nissan Chemical Corp. Дата публикации: 2024-09-05.

Optical film, composition for forming coating layer, and electronic device

Номер патента: US20240279501A1. Автор: Hanna Lee,Soonhwa Jung,Chulsuk HONG. Владелец: LG Chem Ltd. Дата публикации: 2024-08-22.

Method and composition for forming a non-chrome conversion coating on steel surface

Номер патента: EP1838898A2. Автор: Andrea Keys,Michael T. Raab,Jeff I. Melzer. Владелец: General Electric Co. Дата публикации: 2007-10-03.

Liquid droplet polymer composite compositions and methods for their production

Номер патента: EP3697525A1. Автор: Rene Rossi,Fabrizio Spano,Greta Faccio. Владелец: Individual. Дата публикации: 2020-08-26.

Circuit board structure and composite for forming insulating substrates

Номер патента: US20190345308A1. Автор: Hung-Jung Lee. Владелец: Azotek Co Ltd. Дата публикации: 2019-11-14.

Superfine copper alloy wire and method for manufacturing same

Номер патента: US20080202648A1. Автор: Ryohei Okada,Hiromitsu Kuroda,Taikan Aoyagi. Владелец: Hitachi Cable Ltd. Дата публикации: 2008-08-28.

Electroplating contacts with silver-alloys in a basic bath

Номер патента: WO2014179506A1. Автор: Min Zheng,Jerzy Gazda. Владелец: TYCO ELECTRONICS CORPORATION. Дата публикации: 2014-11-06.

Gold-silver alloys with good tarnish resistance for the dental art

Номер патента: US4255191A. Автор: Rudolf Kropp. Владелец: Degussa GmbH. Дата публикации: 1981-03-10.

Display device and method for manufacturing display device

Номер патента: US20240107856A1. Автор: Hyuneok Shin,Joonyong Park. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-03-28.

Composition for blackening copper-based or silver-based metals

Номер патента: US20170073818A1. Автор: Hiroaki Sakai,Azusa Kita,Joonhaeng Kang. Владелец: Okuno Chemical Industries Co Ltd. Дата публикации: 2017-03-16.

Nickel-free Sterling Silver Alloy Compositions and Method of Preparation

Номер патента: US20130004363A1. Автор: Arthur Taylor,Bernard Madden. Владелец: Individual. Дата публикации: 2013-01-03.

Silver alloy having excellent contact resistance and antifouling property and suitable for use in prove pin

Номер патента: US20110133767A1. Автор: Naoki Morita. Владелец: Tanaka Kikinzoku Kogyo KK. Дата публикации: 2011-06-09.

Methods and compositions for modifying polypropylene-based fibres

Номер патента: EP2893063A1. Автор: Dirk Luyckx,Xavier Almeras. Владелец: DEVAN CHEMICALS NV. Дата публикации: 2015-07-15.

A process for forming a moulded pulp fibre product

Номер патента: WO2024077344A1. Автор: Stuart Gordon,Mark Appleford,Rico TABOR,Liam Methven,Dion SANTOSO. Владелец: VARDEN PROCESS PTY LTD. Дата публикации: 2024-04-18.

Transistor and method for forming the same

Номер патента: US8741708B2. Автор: Leo Liu. Владелец: Semiconductor Manufacturing International Corp. Дата публикации: 2014-06-03.

Compositions For Achieving A Therapeutic Effect In An Anatomical Structure

Номер патента: US20140065093A1. Автор: Stephen D. Pacetti,Wouter E. Roorda. Владелец: Abbott Cardiovascular Systems Inc. Дата публикации: 2014-03-06.

Compositions for achieving a therapeutic effect in an anatomical structure

Номер патента: US20120035107A1. Автор: Stephen D. Pacetti,Wouter E. Roorda. Владелец: Individual. Дата публикации: 2012-02-09.

High Energy Materials for a Battery and Methods for Making and Use

Номер патента: US20170062806A1. Автор: Cory O'NEILL,Steven Kaye. Владелец: Wildcat Discovery Technologies Inc. Дата публикации: 2017-03-02.

High energy materials for a battery and methods for making and use

Номер патента: US20150364763A1. Автор: Wei Tong,Cory O'NEILL,David Keogh,Steven Kaye. Владелец: Wildcat Discovery Technologies Inc. Дата публикации: 2015-12-17.

A method for forming a stacked fet device

Номер патента: EP4199057A1. Автор: Naoto Horiguchi,Boon Teik CHAN,Anne Vandooren. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-06-21.

Arrangement and method for forming a multilayered paper or paperboard web

Номер патента: AU2245001A. Автор: Jukka Kinnunen. Владелец: Metso Paper Oy. Дата публикации: 2001-06-25.

Arrangement and method for forming a multilayered paper or paperboard web

Номер патента: WO2001044569A1. Автор: Jukka Kinnunen. Владелец: METSO PAPER, INC.. Дата публикации: 2001-06-21.

Arrangement and method for forming a multilayered paper or paperboard web

Номер патента: EP1266087A1. Автор: Jukka Kinnunen. Владелец: METSO PAPER KARLSTAD AB. Дата публикации: 2002-12-18.

Method for forming contact window

Номер патента: US20010046782A1. Автор: Chien-Li Kuo,Wei-Wu Liao. Владелец: Individual. Дата публикации: 2001-11-29.

Semiconductor devices and methods for forming semiconductor devices

Номер патента: US20040097048A1. Автор: Kwan Koh. Владелец: Anam Semiconductor Inc. Дата публикации: 2004-05-20.

Method for forming Schottky Diodes and Ohmic Contacts in the Same Integrated Circuit

Номер патента: US20070281451A1. Автор: Schyi-Yi Wu. Владелец: Micrel Inc. Дата публикации: 2007-12-06.

Method for forming semiconductor structures and semiconductor structure

Номер патента: US12089400B2. Автор: Minki HONG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-10.

Cladding and the method for its formation

Номер патента: RU2759409C1. Автор: Константин Юрьевич Севенард. Владелец: Константин Юрьевич Севенард. Дата публикации: 2021-11-12.

Superjunction transistor device and method for forming a superjunction transistor device

Номер патента: US12034040B2. Автор: Hans Weber,Ingo Muri,Daniel Tutuc. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2024-07-09.

Superjunction transistor device and method for forming a superjunction transistor device

Номер патента: EP3916762A1. Автор: Hans Weber,Ingo Muri,Daniel Tutuc. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2021-12-01.

Semiconductor structures and methods for forming the same

Номер патента: US12027422B2. Автор: Hung-Sheng Chen,Ching-Yung Wang,cheng-hong Wei,Tseng-Yao PAN,Chien-Hsiang Yu. Владелец: Winbond Electronics Corp. Дата публикации: 2024-07-02.

Method for forming cu film and storage medium

Номер патента: US20120028462A1. Автор: Yasuhiko Kojima,Kenji Hiwa. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-02-02.

A method for forming a semiconductor device

Номер патента: EP4391038A1. Автор: Boon Teik CHAN,Hsiao-Hsuan Liu,Pieter Schuddinck. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Semiconductor structure and method for forming the same

Номер патента: US20240222460A1. Автор: Jhon-Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-04.

Method for forming multi-layer metal line of semiconductor device

Номер патента: US20030129825A1. Автор: Jun Yoon. Владелец: Individual. Дата публикации: 2003-07-10.

Method for forming a diode protection circuit connecting to MOS device

Номер патента: US5946574A. Автор: Chih-Yuan Hsiao. Владелец: United Microelectronics Corp. Дата публикации: 1999-08-31.

Method for Forming Mixed Substrate

Номер патента: US20240186140A1. Автор: Tao Wang. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2024-06-06.

Film forming device and method for forming metal film using the same

Номер патента: US20200190685A1. Автор: Hirofumi Iisaka. Владелец: Toyota Motor Corp. Дата публикации: 2020-06-18.

Method for forming semiconductor structure

Номер патента: US12033897B2. Автор: Chien-Hao Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Method for forming bulk acoustic wave resonance device

Номер патента: EP4027516A1. Автор: YuHao LIU. Владелец: Changzhou Chemsemi Co Ltd. Дата публикации: 2022-07-13.

Method for forming contacts applied to cmos image sensor

Номер патента: US20220130889A1. Автор: Dong Zhang,Peng Huang. Владелец: Hua Hong Semiconductor Wuxi Co Ltd. Дата публикации: 2022-04-28.

Method for forming contacts applied to CMOS image sensor

Номер патента: US11728369B2. Автор: Dong Zhang,Peng Huang. Владелец: Hua Hong Semiconductor Wuxi Co Ltd. Дата публикации: 2023-08-15.

Semiconductor device and method for manufacturing same

Номер патента: US20150364427A1. Автор: Takashi KANSAKU. Владелец: Longitude Semiconductor SARL. Дата публикации: 2015-12-17.

Semiconductor device and method for forming the same

Номер патента: US12022739B2. Автор: Chih-Wei Kuo. Владелец: United Microelectronics Corp. Дата публикации: 2024-06-25.

An integrated circuit device and a method for forming the same

Номер патента: EP4391040A1. Автор: Anshul Gupta,Hans Mertens. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Integrated Circuit Device and a Method for Forming the Same

Номер патента: US20240203994A1. Автор: Anshul Gupta,Hans Mertens. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-20.

Element for forming ground covering, restraining and reinforcing structures, particularly for forming retaining walls

Номер патента: IL131276A. Автор: . Владелец: MACCAFERRI SpA OFF. Дата публикации: 2002-09-12.

Methods and apparatus for forming resist pattern using EUV light with electric field

Номер патента: US11754925B2. Автор: Bu Geun KI. Владелец: SK hynix Inc. Дата публикации: 2023-09-12.

Methods and apparatus for forming resist pattern using euv light with electric field

Номер патента: US20220244646A1. Автор: Bu Geun KI. Владелец: SK hynix Inc. Дата публикации: 2022-08-04.

Methods for fabricating a capacitor

Номер патента: US20070243690A1. Автор: Lurng-Shehng Lee,Pei-Jer Tzeng,Hengyuan Lee,Ching Chiun Wang. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2007-10-18.

Method for Forming SiGe Channel

Номер патента: US20240072168A1. Автор: Xinhua Cheng. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-02-29.

Solventless method for forming a coating on a medical electrical lead body

Номер патента: EP2473211A1. Автор: James Q. Feng,Larry L. Hum,Tolga Tas,Arienne P. Simon. Владелец: Cardiac Pacemakers Inc. Дата публикации: 2012-07-11.

Method for forming thermal oxide film of semiconductor substrate

Номер патента: EP4160657A1. Автор: Tatsuo Abe,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-04-05.

Method for forming capacitor opening hole, and method for forming memory capacitor

Номер патента: EP4002504A1. Автор: ChihCheng LIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-05-25.

Sheets of material having forming indicia for forming into flower pots or plant covers and methods

Номер патента: US20030029084A1. Автор: Donald Weder. Владелец: Individual. Дата публикации: 2003-02-13.

Methods for forming via hole and filling via hole in flexible substrate

Номер патента: US20240222141A1. Автор: Chao Zhou,Shuo Zhang,Tuo Sun,Kui Liang. Владелец: Beijing BOE Technology Development Co Ltd. Дата публикации: 2024-07-04.

Apparatus for forming barrier ribs on panel for flat panel display

Номер патента: US20030122486A1. Автор: Manabu Yabe. Владелец: Dainippon Screen Manufacturing Co Ltd. Дата публикации: 2003-07-03.

Systems and methods for porous capping layers

Номер патента: GB2625513A. Автор: Clark Andrew,Hammond Richard. Владелец: IQE plc. Дата публикации: 2024-06-26.

Multilayer printed wiring board and method for manufacturing multilayer printed wiring board

Номер патента: US20100078212A1. Автор: Daiki Komatsu. Владелец: Ibiden Co Ltd. Дата публикации: 2010-04-01.

Composition for low temperature use containers

Номер патента: EP3268427A1. Автор: Colin Li Pi Shan,Eddy I. Garcia-Meitin,Jr. Raymond L. Laakso. Владелец: Dow Global Technologies LLC. Дата публикации: 2018-01-17.

Process of manufacturing roll punch used for forming partition walls of plasma display panel

Номер патента: US20010004065A1. Автор: Bong Kim,Deok Kim,Sang Oh. Владелец: LG ELECTRONICS INC. Дата публикации: 2001-06-21.

Method and device for forming a muliply web

Номер патента: EP1218591A1. Автор: Bengt NORDSTRÖM. Владелец: Sca Packaging Sweden Ab. Дата публикации: 2002-07-03.

Method and device for forming a muliply web

Номер патента: AU5861500A. Автор: Bengt NORDSTRÖM. Владелец: SCA HYGIENE PRODUCTS AB. Дата публикации: 2001-01-02.

Method and device for forming a muliply web

Номер патента: WO2000077299A1. Автор: Bengt NORDSTRÖM. Владелец: SCA HYGIENE PRODUCTS AB. Дата публикации: 2000-12-21.

Method for forming a wiring pattern by laser irradiation

Номер патента: US20120219918A1. Автор: Hua-Min Huang,Chien-Han Ho. Владелец: Cretec Co Ltd. Дата публикации: 2012-08-30.

Semiconductor devices and methods for forming the same

Номер патента: US20200194564A1. Автор: Chung-Yeh Lee,Sheng-Wei FU,Tsung-Yeh CHEN. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2020-06-18.

Method for forming gate oxide layer in semiconductor device

Номер патента: US20050142770A1. Автор: Yong Choi. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Method for forming semiconductor structure and semiconductor structure

Номер патента: US20230079234A1. Автор: Junbo PAN,Jinghao WANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-03-16.

Methods for fabricating a capacitor

Номер патента: US7405122B2. Автор: Lurng-Shehng Lee,Pei-Jer Tzeng,Hengyuan Lee,Ching Chiun Wang. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2008-07-29.

Semiconductor structure and method for forming the same

Номер патента: US20240258394A1. Автор: Hsin-Che Chiang,Wei-Chih Kao,Jyun-Hong Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-01.

Semiconductor memory structure and method for forming the same

Номер патента: US11521975B2. Автор: Ying-Chu YEN. Владелец: Winbond Electronics Corp. Дата публикации: 2022-12-06.

Memory and method for forming same

Номер патента: US20230301054A1. Автор: Yi Jiang,Deyuan Xiao,Juanjuan Huang,Weiping BAI. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-09-21.

Pixel, a Storage Capacitor, and a Method for Forming the Same

Номер патента: US20150056759A1. Автор: Yi-Sheng Cheng. Владелец: AU OPTRONICS CORP. Дата публикации: 2015-02-26.

Memory device and method for forming the same

Номер патента: US9401368B2. Автор: Yun Yang,ZHONGSHAN Hong. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-07-26.

Polymer composition for improved grade plastics from recycled material

Номер патента: WO2023180439A1. Автор: Johannes VENERIUS,Francois Essers. Владелец: Qcp Holding B.V.. Дата публикации: 2023-09-28.

Tft array substrate and method for manufacturing the same

Номер патента: US20150311233A1. Автор: Lung Pao Hsin,Tianwang HUANG. Владелец: EverDisplay Optronics Shanghai Co Ltd. Дата публикации: 2015-10-29.

Method for forming a semiconductor device with a single-sided buried strap

Номер патента: US20080268590A1. Автор: Neng-Tai Shih,Ming-Cheng Chang. Владелец: Nanya Technology Corp. Дата публикации: 2008-10-30.

Method for forming semiconductor structure

Номер патента: US20210358764A1. Автор: Hsin-Hung Chou,Cheng-Ta Yang,Ting-Wei Wu. Владелец: Winbond Electronics Corp. Дата публикации: 2021-11-18.

Mos transistor structure with in-situ doped source and drain and method for forming the same

Номер патента: US20120032231A1. Автор: Lei Guo,Jing Wang,Jun Xu. Владелец: TSINGHUA UNIVERSITY. Дата публикации: 2012-02-09.

Method for forming vias in a substrate

Номер патента: US20090035931A1. Автор: Meng-Jen Wang. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2009-02-05.

Thermally conductive silicone composition and method for producing same

Номер патента: WO2024153428A1. Автор: Shunsuke Yamada,Akihiro Takahashi. Владелец: Wacker Chemie AG. Дата публикации: 2024-07-25.

Method for forming metal wires in semiconductor device

Номер патента: US20050233579A1. Автор: Ihl Cho. Владелец: Individual. Дата публикации: 2005-10-20.

Method for producing semiconductor device and semiconductor device

Номер патента: US20150303296A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2015-10-22.

Method for producing semiconductor device

Номер патента: US20170077267A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore PteLtd. Дата публикации: 2017-03-16.

Method for forming circuit board stacked structure

Номер патента: US20190098746A1. Автор: Ra-Min Tain,Kai-Ming Yang,Chien-Tsai Li. Владелец: Unimicron Technology Corp. Дата публикации: 2019-03-28.

Apparatus and method for forming a winding for a stator of a dynamoelectric machine

Номер патента: WO2000004625A1. Автор: Mario Bo. Владелец: Pavesi Srl. Дата публикации: 2000-01-27.

Methods for forming a memory cell having a top oxide spacer

Номер патента: US20120181601A1. Автор: Gang Xue,Kashmir Sahota,Chun Chen,Scott Bell,Wai Lo,Alexander Nickel,Shenqing Fang,Angela Hui. Владелец: Individual. Дата публикации: 2012-07-19.

Method for forming semiconductor structure

Номер патента: US20210343523A1. Автор: Jian-Jun Zhang,Zhen-Zhen Wang. Владелец: United Microelectronics Corp. Дата публикации: 2021-11-04.

Display panel and method for forming micro component support

Номер патента: US10403493B2. Автор: Yi-Cheng Liu,Chin-Yuan Ho. Владелец: AU OPTRONICS CORP. Дата публикации: 2019-09-03.

Method for forming metal gate

Номер патента: US20120244675A1. Автор: Chun-Yuan Wu,Chin-Cheng Chien,Chiu-Hsien Yeh,Yeng-Peng Wang. Владелец: United Microelectronics Corp. Дата публикации: 2012-09-27.

Method for forming a semiconductor device has a lengthened channel length

Номер патента: US20090124085A1. Автор: Hung-Ming Tsai,Ying Cheng CHUANG. Владелец: Nanya Technology Corp. Дата публикации: 2009-05-14.

Method for manufacturing electric film body

Номер патента: US20140072780A1. Автор: Noboru Otabe,Takayasu SUGAI. Владелец: Micronics Japan Co Ltd. Дата публикации: 2014-03-13.

Three-dimensional memory device and method for forming the same

Номер патента: US20210066333A1. Автор: Zhiliang Xia,Fandong LIU,Wenyu HUA. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2021-03-04.

Split gate flash cell and method for making the same

Номер патента: US20120168842A1. Автор: Yimin Wang. Владелец: WaferTech LLC. Дата публикации: 2012-07-05.

Method for manufacturing semiconductor device

Номер патента: US20200144500A1. Автор: Soo Gil Kim,Joo Young MOON,Young Seok Ko. Владелец: SK hynix Inc. Дата публикации: 2020-05-07.

Method for manufacturing semiconductor device

Номер патента: US20200403155A1. Автор: Soo Gil Kim,Joo Young MOON,Young Seok Ko. Владелец: SK hynix Inc. Дата публикации: 2020-12-24.

Process for forming vertical semiconductor device having increased source contact area

Номер патента: EP1067596A3. Автор: Thomas Grebs,Jason Trost. Владелец: Intersil Corp. Дата публикации: 2003-05-28.

Modular unit for forming constructions for toy use

Номер патента: EP3347111A1. Автор: Simon Carlo MARUSSI. Владелец: Individual. Дата публикации: 2018-07-18.

Method for forming ribs in a plasma display panel

Номер патента: US20020132550A1. Автор: Kuo-Pin Hsu. Владелец: AU OPTRONICS CORP. Дата публикации: 2002-09-19.

Method for manufacturing a semiconductor element

Номер патента: US20060286733A1. Автор: Masahiro Hayashi,Akihiro Shiraishi,Takahisa Akiba. Владелец: Seiko Epson Corp. Дата публикации: 2006-12-21.

Method for forming semiconductor structure

Номер патента: US20180061656A1. Автор: Li-Chieh Hsu,Po-Cheng Huang,Yu-Ting Li,Fu-Shou Tsai,Kun-Ju Li,Yi-Liang Liu,Chien-Nan Lin. Владелец: United Microelectronics Corp. Дата публикации: 2018-03-01.

Three-dimensional memory devices having transferred interconnect layer and methods for forming same

Номер патента: EP3867953A1. Автор: Lihong Xiao. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2021-08-25.

Three-dimensional memory devices having transferred interconnect layer and methods for forming same

Номер патента: WO2020124879A1. Автор: Lihong Xiao. Владелец: Yangtze Memory Technologies Co., Ltd.. Дата публикации: 2020-06-25.

Method for building a timbre sample databank for a waveform table

Номер патента: US6020550A. Автор: Ming-Che Yang. Владелец: Winbond Electronics Corp. Дата публикации: 2000-02-01.

Integrated circuit device and method for forming the same

Номер патента: US12062540B2. Автор: Chih-I Wu,Jin-Bin Yang,Ya-Ting Chang,Jian-Zhi Huang,I-Chih NI. Владелец: National Taiwan University NTU. Дата публикации: 2024-08-13.

Method for forming metal wiring

Номер патента: US20190088539A1. Автор: Tsutomu Nakanishi,Yusuke Tanaka,Akihiko Happoya,Atsushi Hieno,Yasuhito Yoshimizu. Владелец: Toshiba Memory Corp. Дата публикации: 2019-03-21.

Method for forming semiconductor device and semiconductor device

Номер патента: US20230015279A1. Автор: Deyuan Xiao,GuangSu SHAO,Weiping BAI. Владелец: Beijing Superstring Academy of Memory Technology. Дата публикации: 2023-01-19.

Ferroelectric field-effect transistor and a method for forming the same

Номер патента: WO2024177575A1. Автор: Kah-Wee Ang,Heng XIANG,Yu-Chieh CHIEN,Lingqi LI. Владелец: NATIONAL UNIVERSITY OF SINGAPORE. Дата публикации: 2024-08-29.

Method for forming high-k charge storage device

Номер патента: SG144931A1. Автор: HSIA Liang Choo,Sohn Dong Kyun,Ang Chew Hoe. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2008-08-28.

Semiconductor structure and method for forming same

Номер патента: US20200035676A1. Автор: Nan Wang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2020-01-30.

Method for manufacturing sensor apparatus and sensor apparatus

Номер патента: US20130140461A1. Автор: Kenji Yamada. Владелец: Seiko Epson Corp. Дата публикации: 2013-06-06.

Method For Forming Semiconductor Structure And A Semiconductor

Номер патента: US20240268104A1. Автор: Chih-Cheng Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-08-08.

Method for manufacturing organic transistor and organic transistor

Номер патента: US20090256144A1. Автор: Masataka Kano,Kazuhito Tsukagoshi,Takeo MINARI. Владелец: DAI NIPPON PRINTING CO LTD. Дата публикации: 2009-10-15.

Apparatuses including contacts in a peripheral region and methods for forming the same

Номер патента: US20230056343A1. Автор: Yutaka Nakae. Владелец: Micron Technology Inc. Дата публикации: 2023-02-23.

Semiconductor memory device and method for forming semiconductor memory device

Номер патента: US20240268097A1. Автор: PENG Guo,Yuanbao WANG. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2024-08-08.

Apparatus for forming concrete foundations

Номер патента: WO2004109023A2. Автор: Pete Mihelcic,Daniel M. Michelcic. Владелец: Michelcic Daniel M. Дата публикации: 2004-12-16.

Dual deck three-dimensional nand memory and method for forming the same

Номер патента: US20210225866A1. Автор: FENG Lu,Jing Gao,Wenbin Zhou. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2021-07-22.

Transistor and method for forming the same

Номер патента: US20120104486A1. Автор: Huilong Zhu,Haizhou Yin,Zhijong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-05-03.

Image forming system and method for controlling image forming operation

Номер патента: US20150248095A1. Автор: Shoichi Nakamura. Владелец: KONICA MINOLTA INC. Дата публикации: 2015-09-03.

Image forming system and method for controlling image forming operation

Номер патента: US9354575B2. Автор: Shoichi Nakamura. Владелец: KONICA MINOLTA INC. Дата публикации: 2016-05-31.

Method for Forming Isolation Layer in Semiconductor Devices

Номер патента: US20070166949A1. Автор: In Kyu Chun. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-19.

Composition for forming electrode of solar cell and electrode manufactured by using same

Номер патента: US9627556B2. Автор: Sang Hee Park,Dong Il Shin. Владелец: Cheil Industries Inc. Дата публикации: 2017-04-18.

Method for forming via hole in substrate for flexible printed circuit board

Номер патента: EP1884147A1. Автор: Hideo Yamazaki,Kazuo Satoh. Владелец: 3M Innovative Properties Co. Дата публикации: 2008-02-06.

Method For Forming Via Hole in Substrate For Flexible Printed Circuit Board

Номер патента: US20080210661A1. Автор: Hideo Yamazaki,Kazuo Satoh. Владелец: 3M Innovative Properties Co. Дата публикации: 2008-09-04.

Method and composite hard mask for forming deep trenches in a semiconductor substrate

Номер патента: US20050215061A1. Автор: Yinan Chen,Chang-Rong Wu,Tuz-Ching Tsai. Владелец: Nanya Technology Corp. Дата публикации: 2005-09-29.

Tunneling field effect transistor structure and method for forming the same

Номер патента: US20130105764A1. Автор: Jing Wang,Jun Xu,Renrong Liang,Ning Cui. Владелец: TSINGHUA UNIVERSITY. Дата публикации: 2013-05-02.

Method for forming silicide of semiconductor device

Номер патента: US8105910B2. Автор: Hee-Jae Shin. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2012-01-31.

Method for forming thin film

Номер патента: WO2003041142A1. Автор: Won-Yong Koh,Choon-Soo Lee. Владелец: Genitech Co., Ltd.. Дата публикации: 2003-05-15.

Method for forming thin film

Номер патента: EP1454347A1. Автор: Won-Yong 105-605 Hanul Apt. KOH,Choon-Soo 113-402 Hanmaeul Apt. 200-4 LEE. Владелец: Genitech Co Ltd. Дата публикации: 2004-09-08.

Semiconductor device and method for manufacturing same

Номер патента: US20080048228A1. Автор: Takashi Sakoh,Mami Toda. Владелец: NEC Electronics Corp. Дата публикации: 2008-02-28.

High-density field emission elements and a method for forming said emission elements

Номер патента: US20090280585A1. Автор: Seong Jin Koh,Gerald W. Gibson, Jr.. Владелец: Agere Systems LLC. Дата публикации: 2009-11-12.

Methods for forming semiconductor structures and semiconductor structures

Номер патента: US12051618B2. Автор: Yuchen Wang,Nianwang YANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-30.

Method for forming an absorber layer of a thin film solar cell

Номер патента: US8569101B2. Автор: Tadahiro Kubota,Daisuke Okamura,Katustoshi Nosaki. Владелец: Honda Motor Co Ltd. Дата публикации: 2013-10-29.

Color image forming apparatus and development method for color image forming apparatus

Номер патента: US20030086731A1. Автор: Masato Ogasawara. Владелец: Toshiba TEC Corp. Дата публикации: 2003-05-08.

Color image forming apparatus and developing method for color image forming apparatus

Номер патента: US20030007809A1. Автор: Masato Ogasawara. Владелец: Toshiba TEC Corp. Дата публикации: 2003-01-09.

Electronic equipment and method for carrying out communication with electronic equipment

Номер патента: WO2006045895A1. Автор: Suresh Chande. Владелец: Nokia Corporation. Дата публикации: 2006-05-04.

Display panel and method for forming micro component support

Номер патента: US20180366320A1. Автор: Yi-Cheng Liu,Chin-Yuan Ho. Владелец: AU OPTRONICS CORP. Дата публикации: 2018-12-20.

Methods for forming a memory cell having a top oxide spacer

Номер патента: US20110233647A1. Автор: Gang Xue,Kashmir Sahota,Chun Chen,Scott Bell,Wai Lo,Alexander Nickel,Shenqing Fang,Angela Hui. Владелец: SPANSION LLC. Дата публикации: 2011-09-29.

Association information authorization method for form

Номер патента: EP3651049A1. Автор: Dazhi Chen. Владелец: Chengdu Qianniucao Information Technology Co Ltd. Дата публикации: 2020-05-13.

Association information authorization method for form

Номер патента: US20200218818A1. Автор: Dazhi Chen. Владелец: Chengdu Qianniucao Information Technology Co Ltd. Дата публикации: 2020-07-09.

Association information authorization method for form

Номер патента: PH12020500013A1. Автор: Dazhi Chen. Владелец: Chengdu Qianniucao Information Technology Co Ltd. Дата публикации: 2020-11-09.

Method for forming dual damascene opening

Номер патента: US20140030885A1. Автор: Yu-Heng Liu,Seng-Wah Liau. Владелец: United Microelectronics Corp. Дата публикации: 2014-01-30.

Method for forming an electrical insulating layer on bit lines of the flash memory

Номер патента: US20020175139A1. Автор: Chien-Wei Chen,Jiun-Ren Lai. Владелец: Macronix International Co Ltd. Дата публикации: 2002-11-28.

Method for forming a semiconductor structure

Номер патента: US20210020752A1. Автор: Yao-Wen Chang,Chern-Yow Hsu,Gung-Pei Chang,Ching-Sheng Chu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-01-21.

Method for forming a semiconductor structure

Номер патента: US20220367646A1. Автор: Yao-Wen Chang,Chern-Yow Hsu,Gung-Pei Chang,Ching-Sheng Chu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-17.

Method for forming a semiconductor structure

Номер патента: US11476337B2. Автор: Yao-Wen Chang,Chern-Yow Hsu,Gung-Pei Chang,Ching-Sheng Chu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-10-18.

Rubber composition for golf ball and golf ball produced using the same

Номер патента: US20010053808A1. Автор: Nobuyuki Kataoka. Владелец: Individual. Дата публикации: 2001-12-20.

MOS structure and method for fabricating the structure

Номер патента: US20020037610A1. Автор: Horng-Huei Tseng. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2002-03-28.

Method for fabricating semiconductor devices

Номер патента: US20020106863A1. Автор: In-Chul Jung,Seung-Hoon Sa. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-08-08.

Method for forming extension by using double etch spacer

Номер патента: US20020102801A1. Автор: Tao-Cheng Lu,Hung-Sui Lin,Han-Chao Lai. Владелец: Macronix International Co Ltd. Дата публикации: 2002-08-01.

Method for forming cells array of mask read only memory

Номер патента: US20020136989A1. Автор: Chun-Jung Lin. Владелец: Macronix International Co Ltd. Дата публикации: 2002-09-26.

Method for forming word line of semiconductor device

Номер патента: US20040082155A1. Автор: Won Lee. Владелец: Individual. Дата публикации: 2004-04-29.

Method for forming capacitor

Номер патента: US20040209457A1. Автор: Tomoyuki Hirano,Hayato Iwamoto. Владелец: Individual. Дата публикации: 2004-10-21.

Method for forming a transparent electrode film

Номер патента: US20050040135A1. Автор: Takuya Miyakawa. Владелец: Seiko Epson Corp. Дата публикации: 2005-02-24.

Method for forming shallow trench in semiconductor device

Номер патента: US20050148152A1. Автор: Tse-Yao Huang,Yi-Nan Chen,Hsiu-Chun Lee. Владелец: Nanya Technology Corp. Дата публикации: 2005-07-07.

Planarizing method for forming FIN-FET device

Номер патента: US20050258476A1. Автор: Kong-Beng Thei,Chung-Long Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2005-11-24.

Photosensitive resin composition for optical waveguides, and optical waveguide and manufacturing method thereof

Номер патента: US20060088257A1. Автор: Yukio Maeda,Yuuichi Eriyama. Владелец: JSR Corp. Дата публикации: 2006-04-27.

Method for manufacturing a semiconductor device, as well as a semiconductor substrate

Номер патента: US20060234468A1. Автор: Takeshi Saito. Владелец: Seiko Epson Corp. Дата публикации: 2006-10-19.

Tungsten plug structure of semiconductor device and method for forming the same

Номер патента: US20070102824A1. Автор: In Chun. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2007-05-10.

Photosensitive resin composition for optical waveguides, and optical waveguide and manufacturing method thereof

Номер патента: US20080145016A1. Автор: Yukio Maeda,Yuuichi Eriyama. Владелец: JSR Corp. Дата публикации: 2008-06-19.

Stacked-film-forming system, sputtering apparatus, and method for forming stacked film

Номер патента: US20080233301A1. Автор: Katsunori Takahashi,Kazuya Takahashi,Yoichi Sato. Владелец: Fujitsu Ltd. Дата публикации: 2008-09-25.

Method for forming Zener Zap Diodes and Ohmic Contacts in the Same Integrated Circuit

Номер патента: US20090093116A1. Автор: Schyi-Yi Wu. Владелец: Micrel Inc. Дата публикации: 2009-04-09.

Method for forming silicon dots

Номер патента: US20100260944A1. Автор: Hirokazu Kaki,Eiji Takahashi,Atsushi Tomyo. Владелец: Nissin Electric Co Ltd. Дата публикации: 2010-10-14.

Method for forming capacitor of a dram having a wall protection structure

Номер патента: US20020110980A1. Автор: Ching-ming Lee,Kuo-Yuh Yang,Yu-Ju Yang,Yu-Hong Huang. Владелец: Individual. Дата публикации: 2002-08-15.

Method for forming an inter-metal dielectric layer

Номер патента: US20010019883A1. Автор: Water Lur,Wen-Yi Hsieh,Chih-Chien Liu,Cheng-Yuan Tsai. Владелец: Individual. Дата публикации: 2001-09-06.

Optical waveguide article with laminate structure and method for forming the same

Номер патента: US20210302649A1. Автор: Natesan Venkataraman,Venkata Adiseshaiah Bhagavatula. Владелец: Corning Inc. Дата публикации: 2021-09-30.

Method for forming semiconductor memory device

Номер патента: US20230299160A1. Автор: LIANG Yi,Zhiguo Li,Chi REN,Xiaojuan GAO. Владелец: United Microelectronics Corp. Дата публикации: 2023-09-21.

Methods for forming image sensors

Номер патента: US20230378218A1. Автор: Chia-Ping Lai,Chung-Chuan Tseng,Chiao-Chi Wang,Yeh-Hsun Fang,Szu-Chien Tseng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

Semiconductor device and methods for forming the same

Номер патента: US20240274441A1. Автор: Yi-Chung Chen,Yu-Ting Huang,Wei-Nan CHUANG. Владелец: Winbond Electronics Corp. Дата публикации: 2024-08-15.

Semiconductor device having stacked capacitor and method for forming the same

Номер патента: US20240268094A1. Автор: Yen-Min RUAN. Владелец: Winbond Electronics Corp. Дата публикации: 2024-08-08.

Digital Isolator Structure and Method for Forming the Same

Номер патента: US20230420496A1. Автор: Hongxu Yang,Hualun CHEN,Hongfeng JIN. Владелец: Hua Hong Semiconductor Wuxi Co Ltd. Дата публикации: 2023-12-28.

Semiconductor device structure and method for forming the same

Номер патента: US20210313449A1. Автор: Pinyen Lin,Li-Te Lin,Han-Yu Lin,Tze-Chung Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-10-07.

Semiconductor structure and method for forming same

Номер патента: US20210066326A1. Автор: Han Liang,Wang Hai YING. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2021-03-04.

Semiconductor device and method for fabricating the same

Номер патента: US12089508B2. Автор: Hung-Chan Lin. Владелец: United Microelectronics Corp. Дата публикации: 2024-09-10.

Method and apparatus for forming a multiple sectioned ring

Номер патента: US3746828A. Автор: L Minutillo. Владелец: Griffiths Electronics Inc. Дата публикации: 1973-07-17.

Tanning process for forming a photographic relief image

Номер патента: US3664836A. Автор: Laura K Case. Владелец: Itek Corp. Дата публикации: 1972-05-23.

Semiconductor device and method for forming semiconductor device

Номер патента: US12100657B2. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Solid composition for aqueous, viscous formulation

Номер патента: WO2024184108A1. Автор: Nikolay CHRISTOV,Varun Tripathi,Vishal JAVIA. Владелец: Specialty Operations France. Дата публикации: 2024-09-12.

Method for forming self-aligned double pattern and semiconductor structures

Номер патента: US12100593B2. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Method for forming semiconductor structure and semiconductor structure

Номер патента: US12094723B2. Автор: Yuejiao Shu,Ming-Pu Tsai. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-17.

An apparatus for forming a terrain feature

Номер патента: EP2318594A1. Автор: John Featherstone Melville. Владелец: Individual. Дата публикации: 2011-05-11.

Method for forming an electrically conductively formed connection and battery module

Номер патента: US20240297413A1. Автор: Markus Schmitt,Silvio Grund,Ralph Glemser. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2024-09-05.

Rechargeable nonaqueous silver alloy anode cell

Номер патента: CA1161495A. Автор: Arabinda N. Dey. Владелец: Duracell International Inc. Дата публикации: 1984-01-31.

Improvements in and relating to Dielectric-to-Metal Joints and methods for making same

Номер патента: GB1153816A. Автор: Louis Raymond Falce. Владелец: Hughes Aircraft Co. Дата публикации: 1969-05-29.

Electrical contact materials containing internally oxidized silver alloys

Номер патента: CA1113741A. Автор: Akira Shibata. Владелец: Chugai Electric Industrial Co Ltd. Дата публикации: 1981-12-08.

Composition for forming coating

Номер патента: EP4260911A1. Автор: Tatsuya Yoshino. Владелец: Kao Corp. Дата публикации: 2023-10-18.

Method for forming bumps and substrate including the bumps

Номер патента: US20130026626A1. Автор: Cheol Ho Choi,Chang Sup Ryu,Chang Bo Lee. Владелец: Samsung Electro Mechanics Co Ltd. Дата публикации: 2013-01-31.

Peracid forming system, peracid forming composition, and methods for making and using

Номер патента: WO2001006856A1. Автор: G. Jason Wei,David D. McSherry. Владелец: Ecolab Inc.. Дата публикации: 2001-02-01.

Layered body, method for manufacturing layered body, and method for forming pattern

Номер патента: EP4365679A1. Автор: Hitoshi Maruyama,Kumiko Hayashi. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-05-08.

Silver alloy, sputtering target material thereof, and thin film thereof

Номер патента: US20110003173A1. Автор: ATSUSHI Watanabe. Владелец: Furuya Metal Co Ltd. Дата публикации: 2011-01-06.

Silver alloy, sputtering target material thereof, and thin film thereof

Номер патента: US8668787B2. Автор: ATSUSHI Watanabe. Владелец: Furuya Metal Co Ltd. Дата публикации: 2014-03-11.

Method for forming semiconductor structure

Номер патента: US12034061B2. Автор: Yee-Chia Yeo,Chien-Wei Lee,Hsueh-Chang Sung,Yen-Ru LEE. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Method for forming a facial pad

Номер патента: US20190335881A1. Автор: Susumu Omoto. Владелец: Nichiei Co Ltd. Дата публикации: 2019-11-07.

Method for forming a dielectric on a semiconductor substrate

Номер патента: US20010046787A1. Автор: Helmut Wurzer,Martin Kerber,Thomas Pompl. Владелец: Individual. Дата публикации: 2001-11-29.

Frequency selective security paper and method for manufacturing the same

Номер патента: US20240086669A1. Автор: Jae Hong JUNG,Yu Suk Jung. Владелец: POSI Inc. Дата публикации: 2024-03-14.

On-chip capacitors in semiconductor devices and methods for forming the same

Номер патента: US20240213144A1. Автор: LIANG Chen,WEI Liu,Lei Xue. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-06-27.

Methods for forming lateral trench optical detectors

Номер патента: US20020115300A1. Автор: MIN Yang,Kern Rim. Владелец: International Business Machines Corp. Дата публикации: 2002-08-22.

Methods for forming lateral trench optical detectors

Номер патента: EP1362380A1. Автор: MIN Yang,Kern Rim. Владелец: International Business Machines Corp. Дата публикации: 2003-11-19.

Improved sheet products for use in a pop-up dispenser and method for forming

Номер патента: AU1143397A. Автор: Terrill Alan Young,Charles John Berg Jr.. Владелец: Procter and Gamble Co. Дата публикации: 1997-07-14.

Semiconductor device and method for fabricating the same

Номер патента: US20240145594A1. Автор: Po-Yu YANG. Владелец: United Microelectronics Corp. Дата публикации: 2024-05-02.

Milling bur for implant and method for forming implant hole using the same

Номер патента: US20230380930A1. Автор: Chaeheon HEO. Владелец: Individual. Дата публикации: 2023-11-30.

Method for manufacturing semiconductor device

Номер патента: US20150179528A1. Автор: Shukun Yu,Qingsong WEI. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2015-06-25.

Reusable Mold for Forming Swimming Pool Copings

Номер патента: US20220106801A1. Автор: Gregory Walter. Владелец: Individual. Дата публикации: 2022-04-07.

Methods for reducing leakage current

Номер патента: US20240213029A1. Автор: Yi-Chun Chen,Chieh-Ning Feng,Jih-Jse Lin,Tzu-Ging LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-27.

Method for forming semiconductor device and semiconductor device

Номер патента: US20230282517A1. Автор: Chih-Cheng Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-09-07.

Method for forming a matrix of led elements of different colours

Номер патента: US20240186365A1. Автор: Martin Andreas OLSSON. Владелец: Epinovatech AB. Дата публикации: 2024-06-06.

Semiconductor structure and method for forming the same

Номер патента: US20210134980A1. Автор: Yung-Han Chiu,Chia-Hung Liu,Tzu-Ming Ou Yang,Shu-Ming LEE. Владелец: Winbond Electronics Corp. Дата публикации: 2021-05-06.

Method and apparatus for forming a video sequence

Номер патента: EP2936794A1. Автор: Sujeet Shyamsundar Mate,Igor Danilo Diego Curcio. Владелец: NOKIA TECHNOLOGIES OY. Дата публикации: 2015-10-28.

Method for forming a unassembled electrophoresis gel cassette

Номер патента: US20240167979A1. Автор: Kuan-Lin Lee. Владелец: Smobio Technology Inc. Дата публикации: 2024-05-23.

Esd protection device and method for producing the same

Номер патента: US20140192447A1. Автор: Jun Adachi,Takahiro Sumi,Takayuki Tsukizawa. Владелец: Murata Manufacturing Co Ltd. Дата публикации: 2014-07-10.

Process for forming steel shuttering frame

Номер патента: MY133884A. Автор: Fu-Chang Liao. Владелец: Fu-Chang Liao. Дата публикации: 2007-11-30.

Composition for saturating concrete

Номер патента: RU2494080C1. Автор: Юлия Алексеевна Щепочкина. Владелец: Юлия Алексеевна Щепочкина. Дата публикации: 2013-09-27.

Process for forming hollow glass micro-spheres from admixed high and low temperature glass formers

Номер патента: CA1040859A. Автор: William R. Matthews,Richard W. Carson. Владелец: Individual. Дата публикации: 1978-10-24.

Alloyed body in a laminar or other format, which eliminates all types of vaporisation and emission.

Номер патента: OA18918A. Автор: Canada Sierra Laura. Владелец: Technokontrol Global, Ltd.. Дата публикации: 2019-09-30.

A New or Improved Composition for Forming Joints for Earthenware, Fireclay, Stoneware, and other Glazed Goods.

Номер патента: GB190304876A. Автор: William Oates. Владелец: Individual. Дата публикации: 1903-07-02.

Method and device for forming three-dimensional body

Номер патента: RU2035936C1. Автор: Юрий Иванович Гуркин. Владелец: Юрий Иванович Гуркин. Дата публикации: 1995-05-27.

Method and device for forming three-dimensional body

Номер патента: RU2035934C1. Автор: Юрий Иванович Гуркин. Владелец: Юрий Иванович Гуркин. Дата публикации: 1995-05-27.

Method for forming involute plastic articles from thermoplastic sheet material

Номер патента: CA1077668A. Автор: Robert F. Mulvany (Jr.). Владелец: Individual. Дата публикации: 1980-05-20.

Improved Means for Forming Screw-threads.

Номер патента: GB189901222A. Автор: SALOMON Frank. Владелец: Individual. Дата публикации: 1899-11-11.

Radiator tube and method and apparatus for forming same

Номер патента: WO1995005571B1. Автор: . Владелец: . Дата публикации: 1995-04-13.

Method and apparatus for forming a 3d body

Номер патента: RU2016612C1. Автор: Юрий Иванович Гуркин. Владелец: Юрий Иванович Гуркин. Дата публикации: 1994-07-30.

Method and apparatus for forming a 3d body

Номер патента: RU2016613C1. Автор: Юрий Иванович Гуркин. Владелец: Юрий Иванович Гуркин. Дата публикации: 1994-07-30.

Method and device for forming three-dimensional body

Номер патента: RU2035935C1. Автор: Юрий Иванович Гуркин. Владелец: Юрий Иванович Гуркин. Дата публикации: 1995-05-27.