Noncontacting measurement of hall effect in a wafer

Реферат: NONCONTACTING MEASUREMENT OF HALL EFFECT IN A WAFER Abstract of the Disclosure The magnitude and sign of the Hall angle of the material of a wafer are measured by a combined capacitive and inductive coupling technique which does not require physically contacting the wafer. Contacting methods in common use introduce surface damage or contamination which may reduce the yield of microelectronic circuits on semi-conductor wafers and normally in addition require special sample geometries. In this technique an rf signal is applied to a pair of concentric circular planar electrodes adjacent to the wafer, thus capacitively coupling a radial rf current into the wafer. A magnetic field applied perpendicular to the wafer produces a circular component of rf current because of the Hall effect. This circular rf current produces an axial rf magnetic field which couples to a pickup coil. The pickup signal is amplified and detected to produce an output signal related to the sign and magnitude of the Hall angle of the wafer material.

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Hall-effect measurement apparatus

Номер патента: US09689935B2. Автор: Ming-Cheng Lin,Che-Hung Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-06-27.

Apparatus and method for evaluating a wafer of semiconductor material

Номер патента: US6049220A. Автор: Peter G. Borden,Jiping Li,Regina G. Nijmeijer. Владелец: Boxer Cross Inc. Дата публикации: 2000-04-11.

Systems and methods for calibrating a wafer inspection apparatus

Номер патента: US20200088829A1. Автор: Douglas Michael Baney. Владелец: Keysight Technologies Inc. Дата публикации: 2020-03-19.

Method for testing a wafer and wafer

Номер патента: US20240178075A1. Автор: Ivar Tangring. Владелец: Ams Osram International GmbH. Дата публикации: 2024-05-30.

Fast hall effect measurement system

Номер патента: US9797965B2. Автор: Jeffrey R. Lindemuth. Владелец: Lake Shore Cryotronics Inc. Дата публикации: 2017-10-24.

Method for automatic measurement of failure in subthreshold region of metal-oxide-semiconductor transistor

Номер патента: US7312606B2. Автор: Chang Soo Jang. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-12-25.

Horizontal magnet for hall effect sensor activation in a vehicle latch

Номер патента: US20200333167A1. Автор: Daniel Alexander Ney. Владелец: Inteva Products LLC. Дата публикации: 2020-10-22.

Magnetic field programming of electronic devices on a wafer

Номер патента: US09824774B2. Автор: Philippe Lance,Lianjun Liu. Владелец: NXP USA Inc. Дата публикации: 2017-11-21.

Testing a single chip in a wafer probing system

Номер патента: GB2628265A. Автор: Gentner Thomas,Torreiter Otto,Cook Lobo Alejandro. Владелец: International Business Machines Corp. Дата публикации: 2024-09-18.

Device for checking mobile electrical charges in a MOS integrated circuit

Номер патента: US4672313A. Автор: Pierre Jeuch,Joel Hartmann. Владелец: Commissariat a lEnergie Atomique CEA. Дата публикации: 1987-06-09.

System and method for test structure on a wafer

Номер патента: US09472476B2. Автор: Waisum Wong,Chin Chang Liao,Wang Jian Ping. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-10-18.

Testing architecture of circuits integrated on a wafer

Номер патента: US09541601B2. Автор: Alberto Pagani. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2017-01-10.

System and Method for the Probing of a Wafer

Номер патента: US20070080705A1. Автор: Curtis Miller,Glenn Schuette,James Rousey. Владелец: Texas Instruments Inc. Дата публикации: 2007-04-12.

Testing a single chip in a wafer probing system

Номер патента: US11808808B2. Автор: Thomas Gentner,Alejandro Alberto Cook Lobo,Otto Andreas Torreiter. Владелец: International Business Machines Corp. Дата публикации: 2023-11-07.

Testing a single chip in a wafer probing system

Номер патента: US20240019488A1. Автор: Thomas Gentner,Alejandro Alberto Cook Lobo,Otto Andreas Torreiter. Владелец: International Business Machines Corp. Дата публикации: 2024-01-18.

Testing a single chip in a wafer probing system

Номер патента: WO2023105317A1. Автор: Otto Torreiter,Thomas Gentner,Alejandro COOK LOBO. Владелец: Ibm (China) Investment Company Ltd.. Дата публикации: 2023-06-15.

Computer-Implemented Method for Determining a Quality State of a Wafer

Номер патента: US20240353473A1. Автор: Michel Janus,Jonas Bergdolt,Maria Irina Nicolae,Moritz Gronbach. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2024-10-24.

Optical programming of electronic devices on a wafer

Номер патента: US09607911B2. Автор: Philippe Lance,Lianjun Liu,David J. Monk,Babak A. Taheri. Владелец: NXP USA Inc. Дата публикации: 2017-03-28.

Voltage contrast scan area on a wafer

Номер патента: US20240006254A1. Автор: Xiao Wen,Sairam Subramanian,Dipto THAKURTA. Владелец: Intel Corp. Дата публикации: 2024-01-04.

Method for fabrication of a silicon photosensor array on a wafer and testing the same

Номер патента: US20030008423A1. Автор: Paul Hosier,Jagdish Tandon. Владелец: Xerox Corp. Дата публикации: 2003-01-09.

Sensing apparatus using groups of hall sensors and apparatus using the sensing apparatus

Номер патента: US09921274B2. Автор: Eun Joong KIM,Seong Min CHOE. Владелец: Haechitech Corp. Дата публикации: 2018-03-20.

Systems and methods for detecting forcer misalignment in a wafer prober

Номер патента: US20220082589A1. Автор: Sherwin Peñaflor,Lester Tipano,Gerald Paul Margallo. Владелец: Microchip Technology Inc. Дата публикации: 2022-03-17.

Stage unit for a probe station and apparatus for testing a wafer including the same

Номер патента: WO2010071276A1. Автор: Eung-Su Kim,In-Wook Hwang,Meang-Kwon Kim,Su-Hyun Choi. Владелец: Secron Co., Ltd.. Дата публикации: 2010-06-24.

Testing architecture of circuits integrated on a wafer

Номер патента: US20190107575A1. Автор: Alberto Pagani. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2019-04-11.

Horizontal magnet for hall effect sensor activation in a vehicle latch

Номер патента: US11346687B2. Автор: Daniel Alexander Ney. Владелец: Inteva Products LLC. Дата публикации: 2022-05-31.

HORIZONTAL MAGNET FOR HALL EFFECT SENSOR ACTIVATION IN A VEHICLE LATCH

Номер патента: US20200333167A1. Автор: Ney Daniel Alexander. Владелец: . Дата публикации: 2020-10-22.

Non-intrusive measurement of the volume density of a phase in a part

Номер патента: US09836833B2. Автор: Estelle PARRA,Vincent Morard,David Tourais. Владелец: Safran SA. Дата публикации: 2017-12-05.

Apparatus for the measurement of a concentration of a charged species in a sample

Номер патента: US09766205B2. Автор: Jan Floris,Steven S. Staal,Stefan O. Lenk. Владелец: Ce-Mate BV. Дата публикации: 2017-09-19.

Measurement of rapid variation in pressure or volume in a reservoir

Номер патента: GB2473310A. Автор: Boguslaw Lorecki,Julien Vallayer,Jean-Jacques Bougy. Владелец: Bertin Technologies SAS. Дата публикации: 2011-03-09.

Measurement of the activated partial thromboplastin time (APTT) in a one-step reaction

Номер патента: US5506146A. Автор: Dieter Josef. Владелец: STIFTUNG FUR DIAGNOSTISCHE FORSCHUNG. Дата публикации: 1996-04-09.

Calibration of hall device sensitivity using auxiliary hall device

Номер патента: WO2019199983A1. Автор: Arup Polley,Srinath Ramaswamy,Baher S. Haroun. Владелец: Texas Instruments Japan Limited. Дата публикации: 2019-10-17.

Calibration of hall device sensitivity using auxiliary hall device

Номер патента: EP3775940A1. Автор: Arup Polley,Srinath Ramaswamy,Baher S. Haroun. Владелец: Texas Instruments Inc. Дата публикации: 2021-02-17.

Calibration of Hall Device Sensitivity Using an Auxiliary Hall Device

Номер патента: US20190317175A1. Автор: Arup Polley,Srinath Ramaswamy,Baher S. Haroun. Владелец: Texas Instruments Inc. Дата публикации: 2019-10-17.

Offset compensation method and system of hall sensor in motor

Номер патента: US09927495B2. Автор: Min Su Kang,Dong Hun Lee,Sung Do Kim. Владелец: Hyundai Motor Co. Дата публикации: 2018-03-27.

A circuit arrangement for compensating the ohmic zero voltage of hall generators

Номер патента: GB2169083A. Автор: Herbert Diehl,Jorg Quittkat. Владелец: Nukem GmbH. Дата публикации: 1986-07-02.

Hall effect sensor device

Номер патента: US09581658B2. Автор: Martin Cornils,Christian SANDER. Владелец: TDK Micronas GmbH. Дата публикации: 2017-02-28.

Method for doping an active hall effect region of a hall effect device

Номер патента: US09978930B2. Автор: Stefan Kolb,Markus Eckinger. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-05-22.

Hall effect sensor

Номер патента: US09709639B2. Автор: Oliver Paul,Christian SANDER. Владелец: Albert Ludwigs Universitaet Freiburg. Дата публикации: 2017-07-18.

Hall effect sensor arrangement

Номер патента: US09606189B2. Автор: Udo Ausserlechner. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-03-28.

Method for inspecting a wafer and apparatus for inspecting a wafer

Номер патента: US20040161866A1. Автор: Hyo-cheon Kang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-08-19.

Method for inspecting a wafer and apparatus for inspecting a wafer

Номер патента: US6913939B2. Автор: Hyo-cheon Kang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2005-07-05.

Method for inspecting a wafer and apparatus for inspecting a wafer

Номер патента: US20050176159A1. Автор: Hyo-cheon Kang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2005-08-11.

Electronic device comprising hall effect region with three contacts

Номер патента: US09581660B2. Автор: Udo Ausserlechner. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-02-28.

Sensing apparatus using a plurality of hall sensors

Номер патента: US09523744B2. Автор: Eunjoong KIM. Владелец: MagnaChip Semiconductor Ltd. Дата публикации: 2016-12-20.

Hall effect prism sensor

Номер патента: EP3942316A1. Автор: Keith Bryan Hardin,John Douglas Anderson,Scott Richard Castle,Robert Henry Muyskens. Владелец: Lexmark International Inc. Дата публикации: 2022-01-26.

Hall Effect Prism Sensor

Номер патента: US20230114075A1. Автор: Keith Bryan Hardin,John Douglas Anderson,Scott Richard Castle,Robert Henry Muyskens. Владелец: Lexmark International Inc. Дата публикации: 2023-04-13.

Hall Effect Prism Sensor

Номер патента: US20230110624A1. Автор: Keith Bryan Hardin,John Douglas Anderson,Scott Richard Castle,Robert Henry Muyskens. Владелец: Lexmark International Inc. Дата публикации: 2023-04-13.

Hall effect prism sensor

Номер патента: CA3132512A1. Автор: Keith Bryan Hardin,John Douglas Anderson,Scott Richard Castle,Robert Henry Muyskens. Владелец: Lexmark International Inc. Дата публикации: 2020-10-01.

Hall effect prism sensor

Номер патента: AU2020245361A1. Автор: Keith Bryan Hardin,John Douglas Anderson,Scott Richard Castle,Robert Henry Muyskens. Владелец: Lexmark International Inc. Дата публикации: 2021-09-23.

Hall-effect magnetic sensors with improved magnetic responsivity and methods for manufacturing the same

Номер патента: WO2010011495A2. Автор: Wayne Kilian. Владелец: HONEYWELL INTERNATIONAL INC.. Дата публикации: 2010-01-28.

Hall effect sensor with graphene detection layer

Номер патента: US09714988B2. Автор: Stefan Kolb,Alfons Dehe,Guenther Ruhl,Markus Eckinger. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-07-25.

Using hall sensors to detect insertion and locking of a portable device in a base

Номер патента: US20170176214A1. Автор: MARCO Pifferi,Alessio Riccardi. Владелец: Datalogic IP Tech SRL. Дата публикации: 2017-06-22.

Detecting three different open ranges in a flip device

Номер патента: WO2010053707A2. Автор: Roger W. Harmon,Michael J. Lombardi,John Gorsica,Narendra A. Patel. Владелец: MOTOROLA, INC.. Дата публикации: 2010-05-14.

Hall effect device with trench about a micron or greater in depth

Номер патента: US20230413687A1. Автор: Sundar Chetlur,Maxim Klebanov,Thomas S. Chung. Владелец: Allegro Microsystems Inc. Дата публикации: 2023-12-21.

Hall effect device

Номер патента: US09935259B2. Автор: Stefan Kolb,Markus Eckinger. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-04-03.

Using hall sensors to detect insertion and locking of a portable device in a base

Номер патента: US09921080B2. Автор: MARCO Pifferi,Alessio Riccardi. Владелец: Datalogic IP Tech SRL. Дата публикации: 2018-03-20.

Hall effect device

Номер патента: US09520551B2. Автор: Stefan Kolb,Markus Eckinger. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-12-13.

Positioning a Patient Couch in a Magnetic Resonance System

Номер патента: US20240197258A1. Автор: Stephan Biber. Владелец: Siemens Healthcare GmbH. Дата публикации: 2024-06-20.

Hall effect sensor system with diagnostic capabilities

Номер патента: CA2921687A1. Автор: Dicky M. Kurniawan. Владелец: FISHER CONTROLS INTERNATIONAL LLC. Дата публикации: 2015-03-19.

Hall-effect-based angular orientation sensor and corresponding method

Номер патента: WO2012151707A1. Автор: Pavel Kejik,Serge Reymond. Владелец: Serge Reymond. Дата публикации: 2012-11-15.

Integrated circuit with hall effect and anisotropic magnetoresistive (amr) sensors

Номер патента: US20180130849A1. Автор: Dok Won Lee,Keith Ryan Green,William David French. Владелец: Texas Instruments Inc. Дата публикации: 2018-05-10.

Measuring method of resistivity of a wafer

Номер патента: US20230040616A1. Автор: XING Wei,Minghao LI,Zhongying Xue. Владелец: Zing Semiconductor Corp. Дата публикации: 2023-02-09.

Comparison device and method for comparing test pattern files of a wafer tester

Номер патента: US20150074094A1. Автор: Fu-Tai Chen. Владелец: King Yuan Electronics Co Ltd. Дата публикации: 2015-03-12.

Comparison device and method for comparing test pattern files of a wafer tester

Номер патента: US09921269B2. Автор: Fu-Tai Chen. Владелец: King Yuan Electronics Co Ltd. Дата публикации: 2018-03-20.

Method and apparatus for testing signal paths between an integrated circuit wafer and a wafer tester

Номер патента: EP1275010A2. Автор: Benjamin N. Eldridge,Ralph G. Whitten. Владелец: Formfactor Inc. Дата публикации: 2003-01-15.

FinFET electrical characterization with enhanced hall effect and probe

Номер патента: US09972548B2. Автор: Carlos Strocchia-Rivera. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-15.

Substrate support in a millisecond anneal system

Номер патента: US10734262B2. Автор: Joseph Cibere. Владелец: Beijing E Town Semiconductor Technology Co Ltd. Дата публикации: 2020-08-04.

Substrate support in a millisecond anneal system

Номер патента: US11810802B2. Автор: Joseph Cibere. Владелец: Mattison Technology Inc. Дата публикации: 2023-11-07.

Detection of an electric arc hazard related to a wafer

Номер патента: US20210063461A1. Автор: Samuel Ives Nackash,Yosef Basson,Ittamar Levy. Владелец: Applied Materials Israel Ltd. Дата публикации: 2021-03-04.

Comparison device and method for comparing test pattern files of a wafer tester

Номер патента: US20120158758A1. Автор: Fu-Tai Chen. Владелец: King Yuan Electronics Co Ltd. Дата публикации: 2012-06-21.

Registration of ligthning strike in a wind turbine

Номер патента: EP1631846A1. Автор: Ivan Mortensen. Владелец: Lm Glasfiber As. Дата публикации: 2006-03-08.

Cell and method for electrical measurements of highly reactive powder and liquid samples

Номер патента: US09651595B2. Автор: Rafal Jurczakowski,Karol Jan FIJALKOWSKI. Владелец: UNIWERSYTET WARSZAWSKI. Дата публикации: 2017-05-16.

Charging and discharging jig for impedance measurement of battery cell

Номер патента: US20240077545A1. Автор: Ki Hyun Kim. Владелец: LG Energy Solution Ltd. Дата публикации: 2024-03-07.

Well pipe joint locator using a ring magnet and two sets of hall detectors surrounding the pipe

Номер патента: US3843923A. Автор: D Devries,C Calhoun. Владелец: C Jim Stewart and Stevenson Inc. Дата публикации: 1974-10-22.

Integrated device for capacitive measuring of nanometer distances

Номер патента: US6323660B1. Автор: Janez Trontelj,Vinko Kunc. Владелец: austriamicrosystems AG. Дата публикации: 2001-11-27.

Apparatus and methods for determining gravity and density of solids in a liquid medium

Номер патента: US20170045432A1. Автор: David J. MOTH. Владелец: Red Meters LLC. Дата публикации: 2017-02-16.

Apparatus and methods for determining gravity and density of solids in a liquid medium

Номер патента: EP3335027A1. Автор: David J. MOTH. Владелец: Red Meters LLC. Дата публикации: 2018-06-20.

Infrared spectrometer measurement of droplets collected from an oil mist in a breather pipe of a gas turbine

Номер патента: US09863873B2. Автор: Roger Brown,Robert Pearce. Владелец: SIEMENS AG. Дата публикации: 2018-01-09.

Method and apparatus for sensing ion concentrations in a fluid sample

Номер патента: US09689839B2. Автор: Albert Van den Berg,Jan Floris,Steven S. Staal. Владелец: Ce-Mate BV. Дата публикации: 2017-06-27.

Method and system for counting particles in a laminar flow with an imaging device

Номер патента: WO2007081920A2. Автор: Paul E. Johnson. Владелец: UNIVERSITY OF WYOMING. Дата публикации: 2007-07-19.

Method and system for counting particles in a laminar flow with an imaging device

Номер патента: WO2007081920A3. Автор: Paul E Johnson. Владелец: Univ Wyoming. Дата публикации: 2007-09-20.

Hall-effect sensor arrangement

Номер патента: US4931719A. Автор: Yutaka Ohashi,Shigemi Murata. Владелец: Mitsubishi Electric Corp. Дата публикации: 1990-06-05.

METAL TRACES FOR HALL-EFFECT SENSOR ACTIVATION IN A VEHICLE LATCH

Номер патента: US20200332572A1. Автор: Ney Daniel Alexander. Владелец: . Дата публикации: 2020-10-22.

Metal traces for hall-effect sensor activation in a vehicle latch

Номер патента: WO2020215040A1. Автор: Daniel Alexander Ney. Владелец: Inteva Products, Llc. Дата публикации: 2020-10-22.

Method and apparatus for measurement and registration of the aggregation rate of particles suspended in a liquid

Номер патента: CA1122435A. Автор: Albrecht Ehrly,Hans J. Schmitt. Владелец: Individual. Дата публикации: 1982-04-27.

Apparatus and methods for determining gravity and density of solids in a liquid medium

Номер патента: AU2021266235B2. Автор: David J. MOTH. Владелец: Red Meters LLC. Дата публикации: 2024-02-01.

Apparatus and methods for determining gravity and density of solids in a liquid medium

Номер патента: CA2995376C. Автор: David J. MOTH. Владелец: Red Meters LLC. Дата публикации: 2024-01-02.

Process and apparatus for measurement of a curvature of an annular plate in a pipe

Номер патента: WO1989003513A1. Автор: Audun Haugs. Владелец: Chr. Michelsens Institutt. Дата публикации: 1989-04-20.

System for measuring the size distribution of particles dispersed in a fluid

Номер патента: US4781460A. Автор: Steven E. Bott. Владелец: Coulter Electronics of New England Inc. Дата публикации: 1988-11-01.

Electronic spirit level for measurement of inclination

Номер патента: WO1999063305A3. Автор: Boris Gersak. Владелец: Boris Gersak. Дата публикации: 2000-03-02.

Method and system for counting particles in a laminar flow with an imaging device

Номер патента: EP1974198A2. Автор: Paul E. Johnson. Владелец: UNIVERSITY OF WYOMING. Дата публикации: 2008-10-01.

NON-INTRUSIVE MEASUREMENT OF THE VOLUME DENSITY OF A PHASE IN A PART

Номер патента: US20170004613A1. Автор: MORARD Vincent,PARRA Estelle,TOURAIS David. Владелец: . Дата публикации: 2017-01-05.

DEVICE FOR THE MEASUREMENT OF THE FLOW RATE OF A GAS IN A GAS PIPING

Номер патента: US20220099473A1. Автор: Imboccioli Claudio. Владелец: . Дата публикации: 2022-03-31.

APPARATUS FOR THE MEASUREMENT OF A CONCENTRATION OF A CHARGED SPECIES IN A SAMPLE

Номер патента: US20140251812A1. Автор: Staal Steven S.,Floris Jan,Lenk Stefan O.. Владелец: Medimate Holding B.V.. Дата публикации: 2014-09-11.

NON-INTRUSIVE MEASUREMENT OF THE VOLUMIC DENSITY OF A PHASE IN A PIECE

Номер патента: FR3015035B1. Автор: Estelle PARRA,Vincent Morard,David Tourais. Владелец: Safran SA. Дата публикации: 2016-01-22.

NON-INTRUSIVE MEASUREMENT OF THE VOLUMIC DENSITY OF A PHASE IN A PIECE

Номер патента: FR3015035A1. Автор: Estelle PARRA,Vincent Morard,David Tourais. Владелец: Safran SA. Дата публикации: 2015-06-19.

Measurement of the proportion of a paramagnetic gas in a gaseous mixture

Номер патента: US2696731A. Автор: Luft Karl. Владелец: Office National dEtudes et de Recherches Aerospatiales ONERA. Дата публикации: 1954-12-14.

Infrared spectrometer measurement of droplets collected from an oil mist in a breather pipe of a gas turbine

Номер патента: CN104204795A. Автор: R.布朗,R.皮尔斯. Владелец: SIEMENS AG. Дата публикации: 2014-12-10.

An apparatus for the measurement of a concentration of a charged species in a sample

Номер патента: CA2746928A1. Автор: Steven Selwyn Staal,Jan Floris,Stefan Otto Lenk. Владелец: Medimate Holding BV. Дата публикации: 2010-08-26.

Infrared spectrometer measurement of droplets collected from an oil mist in a breather pipe of a gas turbine

Номер патента: EP2645100A1. Автор: Roger Brown,Robert Pearce. Владелец: SIEMENS AG. Дата публикации: 2013-10-02.

Process and apparatus for measurement of a curvature of an annular plate in a pipe

Номер патента: AU2539388A. Автор: Audun Haugs. Владелец: Michelsens Chr Inst. Дата публикации: 1989-05-02.

Measurement of a small most dense constituent layer in a stratified mixture

Номер патента: EP0200303A1. Автор: Robert Aaron Levine,Stephen Clark Wardlaw. Владелец: Wardlaw Stephen Clark. Дата публикации: 1986-11-05.

MEASUREMENT CHAMBER FOR THE MEASUREMENT OF CERTAIN PROPERTIES OF PARTICLES IN SUSPENSION IN A LIQUID

Номер патента: BE764638A. Автор: . Владелец: Max Planck Gesellschaft. Дата публикации: 1971-08-16.

Method and device for the measurement of the phase shift of the waves in a ring interferometer

Номер патента: EP0030891B1. Автор: Claude Puech,Herve Arditty,Michel Papuchon. Владелец: Thomson CSF SA. Дата публикации: 1984-01-11.

METHOD FOR PROCESSING MEASUREMENTS OF AT LEAST ONE ELECTRONIC SENSOR PLACED IN A HANDHELD DEVICE

Номер патента: US20180164101A1. Автор: Villien Christophe. Владелец: . Дата публикации: 2018-06-14.

Noncontact measuring device

Номер патента: US09571796B2. Автор: Zahid F. Mian,Ryk E. SPOOR,Ronald W. Gamache. Владелец: International Electronic Machines Corp. Дата публикации: 2017-02-14.

Noncontact measuring device

Номер патента: EP2976596A1. Автор: Zahid F. Mian,Ryk E. SPOOR,Ronald W. Gamache. Владелец: International Electronic Machines Corp. Дата публикации: 2016-01-27.

Noncontact Measuring Device

Номер патента: US20170154414A1. Автор: Zahid F. Mian,Ryk E. SPOOR,Ronald W. Gamache. Владелец: International Electronic Machines Corp. Дата публикации: 2017-06-01.

Hall-effect device mounting for brushless motor commutation

Номер патента: US20020118014A1. Автор: Daniel Crawford,David Skrzela. Владелец: Delphi Technologies Inc. Дата публикации: 2002-08-29.

Noncontact measuring device

Номер патента: US09922416B2. Автор: Zahid F. Mian,Ryk E. SPOOR,Ronald W. Gamache. Владелец: International Electronic Machines Corp. Дата публикации: 2018-03-20.

Instrument for noncontact measurement of physical property

Номер патента: CA2407511C. Автор: Sadao Omata. Владелец: SCHOOL JURIDICAL PERSON NIHON UNIVERSITY. Дата публикации: 2010-04-13.

Sensor system having independent hall effect sensor

Номер патента: US09644935B2. Автор: Lei Wang,Mark E. Lacroix,Alfred J. Santos. Владелец: Timken Co. Дата публикации: 2017-05-09.

Hall effect transmitting compass

Номер патента: GB2151790A. Автор: Vincent Philip May. Владелец: TIMELOCK Ltd. Дата публикации: 1985-07-24.

Apparatus and method for detecting a wafer in a cassette

Номер патента: US11923223B2. Автор: Yoshinobu Saito,Jonghyun RYU. Владелец: Disco Corp. Дата публикации: 2024-03-05.

Process for minimizing chipping when separating mems dies on a wafer

Номер патента: EP2567401A1. Автор: Javed Hussain,Roger Horton. Владелец: S3C Inc. Дата публикации: 2013-03-13.

Wafer defect inspection apparatus and method for inspecting a wafer defect

Номер патента: US09786045B2. Автор: Masashi Hayashi. Владелец: Sumco Corp. Дата публикации: 2017-10-10.

A method for making a wafer-pair having sealed chambers

Номер патента: EP1070353A1. Автор: Jeffrey A. Ridley,R. Andrew Wood,Robert E. Higashi. Владелец: Honeywell Inc. Дата публикации: 2001-01-24.

System for directly measuring the depth of a high aspect ratio etched feature on a wafer

Номер патента: US20140110582A1. Автор: David L. Grant,David S. Marx. Владелец: Rudolph Technologies Inc. Дата публикации: 2014-04-24.

System for directly measuring the depth of a high aspect ratio etched feature on a wafer

Номер патента: US09587932B2. Автор: David L. Grant,David S. Marx. Владелец: Rudolph Technologies Inc. Дата публикации: 2017-03-07.

Method for non-invasive optical measurement of flowing blood properties

Номер патента: RU2703894C2. Автор: Вера ГЕРМАНН. Владелец: Нирлус Энджиниринг Аг. Дата публикации: 2019-10-22.

Control of stray radiation in a CVD chamber

Номер патента: US09976909B2. Автор: Jing Zhou,Daewon Kwon,Gurary Tas. Владелец: Veeco Instruments Inc. Дата публикации: 2018-05-22.

Temperature sensor calibration of an entire wafer in a liquid bath

Номер патента: US09927308B1. Автор: Dewight Lee Warren,Chad David Erickson,Benjamin Alvin Draper. Владелец: Maxim Integrated Products Inc. Дата публикации: 2018-03-27.

Selection of regions of interest for measurement of misregistration and amelioration thereof

Номер патента: WO2020263461A1. Автор: Roie VOLKOVICH,Moran Zaberchik. Владелец: KLA Corporation. Дата публикации: 2020-12-30.

Optical measurement of planarized features

Номер патента: US20030063272A1. Автор: Gangadhara Mathad,Syed Zaidi. Владелец: Infineon Technologies North America Corp. Дата публикации: 2003-04-03.

Device and process for analysing gas effects in samples

Номер патента: US20230408474A1. Автор: Thomas Haller,Simon WOYKE. Владелец: Eurac Research. Дата публикации: 2023-12-21.

Apparatus for inspection of a wafer

Номер патента: US7327450B2. Автор: Albert Kreh,Henning Backhauss. Владелец: VISTEC SEMICONDUCTOR SYSTEMS GMBH. Дата публикации: 2008-02-05.

Device and method to provide planarity of a wafer during growth

Номер патента: EP3931369A1. Автор: Roger Nilsson,Richard Spengler. Владелец: EPILUVAC AB. Дата публикации: 2022-01-05.

Device and method to provide planarity of a wafer during growth

Номер патента: WO2020209780A1. Автор: Roger Nilsson,Richard Spengler. Владелец: EPILUVAC AB. Дата публикации: 2020-10-15.

Optical inspection of a wafer

Номер патента: US20240202903A1. Автор: Jiun-Rong Pai,Cheng-Lung Wu,Cheng-Kang Hu,Chih-Lieh CHEN. Владелец: Taiwan Samiconductor Manufacturing Company Ltd. Дата публикации: 2024-06-20.

Method for producing a wafer connection

Номер патента: US12030773B2. Автор: Thomas Friedrich,Peter Schmollngruber,Friedjof Heuck,Franziska Rohlfing,Volkmar Senz,Jochen Tomaschko. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2024-07-09.

Inspection for multiple process steps in a single inspection process

Номер патента: US10712289B2. Автор: Raghav Babulnath,Oksen Toros Baris. Владелец: KLA Tencor Corp. Дата публикации: 2020-07-14.

A method of making a wafer-pair having sealed chambers

Номер патента: CA2326677C. Автор: Jeffrey A. Ridley,R. Andrew Wood,Robert E. Higashi. Владелец: Honeywell International Inc. Дата публикации: 2008-06-10.

Hall-effect-based angular orientation sensor and corresponding methods and devices

Номер патента: EP2893298A1. Автор: Pavel Kejik,Serge Reymond. Владелец: Sensima Tech SA. Дата публикации: 2015-07-15.

Method for aligning to a pattern on a wafer

Номер патента: US20230288346A1. Автор: Yuan-Chi Pai,Wen Yi Tan,Maohua Ren,Dian Han Liu. Владелец: United Semiconductor Xiamen Co Ltd. Дата публикации: 2023-09-14.

System and method for inspecting a wafer

Номер патента: US09863889B2. Автор: Ajharali Amanullah,Han Cheng Ge. Владелец: Semiconductor Tech and Instruments Pte Ltd. Дата публикации: 2018-01-09.

Detecting defects on a wafer using defect-specific information

Номер патента: US09721337B2. Автор: Lisheng Gao,Kenong Wu,Meng-Che Wu. Владелец: KLA Tencor Corp. Дата публикации: 2017-08-01.

XRF measurement apparatus for detecting contaminations on the bevel of a wafer

Номер патента: US09541511B2. Автор: Assunta Vigliante. Владелец: Bruker AXS GmbH. Дата публикации: 2017-01-10.

System and method of monitoring wear in a bearing

Номер патента: CA2865134C. Автор: Davis J. Hunter. Владелец: BELL HELICOPTER TEXTRON INC. Дата публикации: 2016-08-30.

Three-Dimensional Mapping of a Wafer

Номер патента: US20180245910A1. Автор: Tal Marciano,Naomi ITTAH,Vincent Sebastian Immer. Владелец: KLA Tencor Corp. Дата публикации: 2018-08-30.

Apparatus for detecting a pre-aligning element at a wafer

Номер патента: US09476701B2. Автор: Thomas Zell,Horst Kittner. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-10-25.

Method and apparatus for detecting substrate temperature in a track lithography tool

Номер патента: US7500781B1. Автор: Harald Herchen. Владелец: Screen Semiconductor Solutions Co Ltd. Дата публикации: 2009-03-10.

Systems and techniques for optical measurement of thin films

Номер патента: US20240055282A1. Автор: Liu Yang,Shantinath Ghongadi,Mengping LI,Andrew James PFAU. Владелец: Lam Research Corp. Дата публикации: 2024-02-15.

Wafer clamp and a method of clamping a wafer

Номер патента: US20200020557A1. Автор: Jui Tang Chang,Fang Wan Lu. Владелец: Himax Technologies Ltd. Дата публикации: 2020-01-16.

A method and a system for characterising structures etched in a substrate

Номер патента: WO2023222393A1. Автор: Wolfgang Alexander IFF. Владелец: Unity Semiconductor. Дата публикации: 2023-11-23.

Detection of a position of a wafer within a transfer robot vacuum chamber

Номер патента: US20240063038A1. Автор: Slava Superfine,Yaniv Malachy,Dany Trabelsi. Владелец: Tower Semiconductor Ltd. Дата публикации: 2024-02-22.

Overlay and semiconductor process control using a wafer geometry metric

Номер патента: US09354526B2. Автор: Jaydeep K. Sinha,Pradeep Vukkadala,Sathish Veeraraghavan. Владелец: KLA Tencor Corp. Дата публикации: 2016-05-31.

Optical inspection of a wafer

Номер патента: US11954841B2. Автор: Jiun-Rong Pai,Cheng-Lung Wu,Cheng-Kang Hu,Chih-Lieh CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-09.

Method of inspecting a wafer and apparatus for performing the same

Номер патента: US20230104399A1. Автор: Youngkyu Park,Kijoo Hong,Juntaek OH,Jinwoo Ahn,Eunsoo Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-04-06.

Method of inspecting a wafer and apparatus for performing the same

Номер патента: US12111270B2. Автор: Youngkyu Park,Kijoo Hong,Juntaek OH,Jinwoo Ahn,Eunsoo Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-10-08.

Detecting defects on a wafer using defect-specific and multi-channel information

Номер патента: US09552636B2. Автор: David W. Shortt,Lisheng Gao,Kenong Wu,Grace Hsiu-Ling Chen. Владелец: KLA Tencor Corp. Дата публикации: 2017-01-24.

Method for manufacturing a wafer having a microdefect-free layer of a precisely predetermined depth

Номер патента: US5961713A. Автор: Witawat Wijaranakula. Владелец: SEH America Inc. Дата публикации: 1999-10-05.

Creating a library for measuring a damaged structure formed on a wafer using optical metrology

Номер патента: WO2007123698A3. Автор: Merritt Funk,Radha Sundararajan,Kevin Lally. Владелец: Kevin Lally. Дата публикации: 2008-04-17.

Method of detecting an edge bead removal line on a wafer

Номер патента: US20060238751A1. Автор: Michael Heiden. Владелец: VISTEC SEMICONDUCTOR SYSTEMS GMBH. Дата публикации: 2006-10-26.

Method and apparatus for accurate measurement of a torsional angle

Номер патента: WO1987007010A1. Автор: Kurt-Ove Stenberg. Владелец: Eloptricon Ab. Дата публикации: 1987-11-19.

Apparatus for analyzing metal contamination of a wafer and a method thereof

Номер патента: US20240282566A1. Автор: Woo Young Park. Владелец: SK Siltron Co Ltd. Дата публикации: 2024-08-22.

Hall-effect based linear motor controller

Номер патента: US09784594B2. Автор: Paul David,Shaun D. Milano. Владелец: Allegro Microsystems Inc. Дата публикации: 2017-10-10.

Optical apparatus for measuring profiles of a wafer

Номер патента: US5995226A. Автор: Nobuaki Iguchi,Kohzo Abe. Владелец: Super Silicon Crystal Research Institute Corp. Дата публикации: 1999-11-30.

Method of and device for determining the warpage of a wafer

Номер патента: WO2001016559A1. Автор: Joachim Schober. Владелец: KONINKLIJKE PHILIPS ELECTRONICS N.V.. Дата публикации: 2001-03-08.

Systems and methods for inspecting a wafer with increased sensitivity

Номер патента: WO2007087101A2. Автор: Christian Wolters,Kurt L. Haller. Владелец: Shortt, David. Дата публикации: 2007-08-02.

Method of and device for determining the warpage of a wafer

Номер патента: EP1125096A1. Автор: Joachim Schober. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2001-08-22.

A method for focusing an electron beam on a wafer having a transparent substrate

Номер патента: EP4285114A1. Автор: Arie Bader,Tamir Nuna. Владелец: Applied Materials Israel Ltd. Дата публикации: 2023-12-06.

Device and method for the multisensory measurement of adsorption and desorption of compounds in a fluid

Номер патента: US20240230611A1. Автор: Yanis Caritu,Van Tri NGUYEN. Владелец: Aryballe SA. Дата публикации: 2024-07-11.

Measurement of gaseous compounds using spectroscopy

Номер патента: EP2895842A1. Автор: Juha Toivonen,Tapio Sorvajãrvi. Владелец: Valmet Technologies Oy. Дата публикации: 2015-07-22.

A method and an apparatus for the measurement of the volume of rock chambers

Номер патента: WO1985003567A1. Автор: Ha^okan CARLSSON. Владелец: Carlsson Haokan. Дата публикации: 1985-08-15.

In-situ measurement of water of hydration in polyelectrolyte membrane (pem) of fuel cell

Номер патента: EP1706912A1. Автор: Gerald Schultz. Владелец: General Electric Co. Дата публикации: 2006-10-04.

Downhole measurment of substances in earth formations

Номер патента: EP2082266A2. Автор: Albert Ballard Andrews,Kenneth E. Stephenson,Jeffrey A. Tarvin. Владелец: Prad Research and Development Ltd. Дата публикации: 2009-07-29.

Assay system for simultaneous detection and measurement of multiple modified cellular proteins

Номер патента: EP1366364A1. Автор: YONG Song,Quan Nguyen. Владелец: Bio Rad Laboratories Inc. Дата публикации: 2003-12-03.

Device, system and method for localization of a target in a scene

Номер патента: US11762085B2. Автор: Christian Waldschmidt,Maximilian STEINER. Владелец: Sony Corp. Дата публикации: 2023-09-19.

Method, devices and computer program for mobile device positioning in a wireless network

Номер патента: EP3741164A1. Автор: Mario Costa,Petteri KELA. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2020-11-25.

Method for quantitative estimation of fouling of the spacers plates in a steam generator

Номер патента: US20170003215A1. Автор: Nicolas Paul,Bruno Renard. Владелец: Electricite de France SA. Дата публикации: 2017-01-05.

Flow cell and system for simultaneous measurement of absorbance and emission in a sample

Номер патента: US20190128797A1. Автор: David Coombs,August Coombs. Владелец: Biocomp Instruments Inc. Дата публикации: 2019-05-02.

Assay system for simultaneous detection and measurement of multiple modified cellular proteins

Номер патента: WO2002071067A9. Автор: YONG Song,Quan Nguyen. Владелец: Bio Rad Laboratories. Дата публикации: 2004-01-29.

Test strip fixation device for optical measurements of an analyte

Номер патента: ZA202213492B. Автор: Bernd Limburg,Max Berg,Fredrik Hailer,Christian Melchinger. Владелец: Hoffmann La Roche. Дата публикации: 2023-08-30.

Apparatus and method for analyzing particles suspended in a liquid

Номер патента: AU7856491A. Автор: Max Spinell. Владелец: Biometic ApS. Дата публикации: 1991-11-27.

A method and apparatus for measurement of individual components of a multiphase fluid

Номер патента: EP3052905A1. Автор: Arnstein Wee,Kenneth Gundersen. Владелец: FMC Kongsberg Subsea AS. Дата публикации: 2016-08-10.

Method and assembly for testing stress levels in a cross section of a pneumatic tire

Номер патента: US20030159502A1. Автор: Pierre Augier. Владелец: Individual. Дата публикации: 2003-08-28.

Apparatus for high speed image capture during measurement of capillary pressure in a porous sample

Номер патента: US20240331143A1. Автор: Tom Hicks,Phillip Naegele,William J. Willems. Владелец: Ametek Inc. Дата публикации: 2024-10-03.

Method of detecting interference in a satellite radio-navigation signal

Номер патента: US09798013B2. Автор: Marc Revol,David Pietin. Владелец: Thales SA. Дата публикации: 2017-10-24.

Method and apparatus for wet gas flow measurements and measurement of gas properties

Номер патента: US09759592B2. Автор: Arnstein Wee,Ingve Morten Skjaeldal. Владелец: FMC Kongsberg Subsea AS. Дата публикации: 2017-09-12.

Method and apparatus for 3D measurement of the skin surface and near-surface skin layers

Номер патента: US09687154B2. Автор: Mark Weber,Marcus Grigat,Juergen VALENTIN. Владелец: MIKROSKIN GMBH. Дата публикации: 2017-06-27.

Method and system of acoustic wave measurement of axial velocity distribution and flow rate

Номер патента: US20200141776A1. Автор: Yanqin LI,Shuaijie SUN. Владелец: Individual. Дата публикации: 2020-05-07.

Method and device for the early detection of the development of damage in a bearing

Номер патента: US09605710B2. Автор: Hans Tischmacher,Jörg HASSEL,Carsten Probol. Владелец: SIEMENS AG. Дата публикации: 2017-03-28.

Method of fluorescent measurement of samples, and devices therefrom

Номер патента: US09523640B2. Автор: Bala S. Manian. Владелец: Reametrix Inc. Дата публикации: 2016-12-20.

Side looking hall-effect vehicle speed sensor with an alignment positioning system

Номер патента: US6133729A. Автор: Eugene P. Mierzwinski. Владелец: Arthur Allen Manufacturing Co. Дата публикации: 2000-10-17.

Apparatus for depositing particles onto a wafer

Номер патента: US5746832A. Автор: Seung-ki Chae,Jong-Soo Kim,Byung-seol Ahn,Sang-kyu Hahm. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1998-05-05.

Side looking hall-effect vehicle speed sensor

Номер патента: CA2259772C. Автор: Eugene P. Mierzwinski. Владелец: Arthur Allen Manufacturing Co. Дата публикации: 2002-11-12.

Systems configured to inspect a wafer

Номер патента: WO2009023154A2. Автор: Mehdi Vaez-Iravani,Stephen Biellak,Azmi Kadkly. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2009-02-19.

Temperature measurement of optical elements in an optical apparatus

Номер патента: US20240201029A1. Автор: Joost André KLUGKIST,Koen Martin Willem Jan BOS,Anirudh ANAND. Владелец: ASML Netherlands BV. Дата публикации: 2024-06-20.

Method of Locating Points of Interest in a Geographic Area

Номер патента: US20210334326A1. Автор: Jean-Michel Renders,Sruthi Viswanathan,Bernard OMIDVAR-TEHRANI. Владелец: Naver Corp. Дата публикации: 2021-10-28.

VEHICULAR GEOSPATIAL DATA BASED MEASUREMENT OF RISK ASSOCIATED WITH A SECURITY INTEREST IN A LOAN/LEASE PORTFOLIO

Номер патента: US20130159214A1. Автор: Boling Brian,Beerle Tom,Schantz Curtis. Владелец: . Дата публикации: 2013-06-20.

System and method for scheduling the movement of wafers in a wafer-processing tool

Номер патента: US20030120371A1. Автор: Tatsuya Ogi,Kentaro Joma. Владелец: Individual. Дата публикации: 2003-06-26.

System and method for scheduling the movement of wafers in a wafer-processing tool

Номер патента: US20020160621A1. Автор: Tatsuya Ogi,Kentaro Joma. Владелец: Tokyo Electron Ltd. Дата публикации: 2002-10-31.

System and method for scheduling the movement of wafers in a wafer-processing tool

Номер патента: WO2002088859A2. Автор: Tatsuya Ogi,Kentaro Joma. Владелец: Tokyo Electron, Ltd.. Дата публикации: 2002-11-07.

System for the flux free processing of a plurality of solder balls on a wafer

Номер патента: EP4099366A2. Автор: Jian Zhang. Владелец: Boston Process Technologies Inc. Дата публикации: 2022-12-07.

Method for producing a wafer equipped with transparent plates

Номер патента: US09663351B2. Автор: Simon Armbruster,Stefan Pinter,Benjamin Steuer,Jochen Tomaschko,Dietmar Haberer. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2017-05-30.

Method for determining misalignment between a reticle and a wafer

Номер патента: WO2001038939A2. Автор: Pierre Leroux. Владелец: Philips Semiconductors, Inc.. Дата публикации: 2001-05-31.

Forming multiple aerial images in a single lithography exposure pass

Номер патента: US20200301286A1. Автор: Gregory Allen Rechtsteiner,Joshua Jon Thornes,Willard Earl Conley. Владелец: Cymer LLC. Дата публикации: 2020-09-24.

Method for determining misalignment between a reticle and a wafer

Номер патента: EP1145079A2. Автор: Pierre Leroux. Владелец: Philips Semiconductors Inc. Дата публикации: 2001-10-17.

Forming multiple aerial images in a single lithography exposure pass

Номер патента: US20240310736A1. Автор: Gregory Allen Rechtsteiner,Joshua Jon Thornes,Willard Earl Conley. Владелец: Cymer LLC. Дата публикации: 2024-09-19.

Apparatus for extreme UV lithography comprising a wafer chamber and gas curtain

Номер патента: EP1127294B1. Автор: Michael P. Kanouff,Avijit K. Ray-Chaudhuri. Владелец: EUV LLC. Дата публикации: 2006-09-20.

Method for determining misalignment between a reticle and a wafer

Номер патента: EP1145079A3. Автор: Pierre Leroux. Владелец: Philips Semiconductors Inc. Дата публикации: 2002-04-10.

Exposure control apparatus in a lithography system and method thereof

Номер патента: US20020089652A1. Автор: Jong-Kill Lim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-07-11.

Method for determining rotational error portion of total misalignment error in a stepper

Номер патента: US20040138842A1. Автор: Pierre Leroux. Владелец: Individual. Дата публикации: 2004-07-15.

Method and apparatus for detection of failures in a wafer using transforms and cluster signature analysis

Номер патента: US20070160281A1. Автор: Rafik Marutyan. Владелец: HPL Technologies Inc. Дата публикации: 2007-07-12.

Method for exposing a wafer

Номер патента: US09978562B2. Автор: Marco Jan-Jaco Wieland,Teunis Van De Peut. Владелец: Mapper Lithopraphy IP BV. Дата публикации: 2018-05-22.

Method for examining structures on a wafer

Номер патента: US20020090747A1. Автор: Frank Richter,Guenter Gerstmeier,Valentin Rosskopf. Владелец: Individual. Дата публикации: 2002-07-11.

Device for exposing the peripheral area of a wafer

Номер патента: US6052173A. Автор: Shinetsu Miura,Yoshiki Mimura. Владелец: Ushio Denki KK. Дата публикации: 2000-04-18.

Inspecting a wafer using image and design information

Номер патента: US10042974B2. Автор: Menachem Regensburger,Yuri Postolov. Владелец: CAMTEK LTD. Дата публикации: 2018-08-07.

Method and Apparatus for Drying a Wafer

Номер патента: US20140190634A1. Автор: Hung Chang HSIEH,Wei-Chieh Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-07-10.

Method of producing a wafer scale package

Номер патента: WO2008116335A2. Автор: Hartmut Rudmann,Markus Rossi,Stephan Heimgartner. Владелец: HEPTAGON OY. Дата публикации: 2008-10-02.

Centralized change of parameters of hall and car equipment

Номер патента: CA2082453C. Автор: Etienne Burton,Heikki Leppänen,Kimmo Selin,Aki Tamminen. Владелец: Kone Elevator GmbH. Дата публикации: 1998-09-15.

Method for examining a wafer with regard to a contamination limit and EUV projection exposure system

Номер патента: US7955767B2. Автор: Stefan Schmidt,Andreas Dorsel. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2011-06-07.

Method of Dicing a Wafer

Номер патента: US20160379884A1. Автор: Michael Roesner,Gudrun Stranzl,Rudolf Rothmaler,Joerg Ortner. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-12-29.

System for finding the orientation of a wafer

Номер патента: US5825913A. Автор: David J. Michael,David J. Wilson,Fariborz Rostami,James Harald Clark. Владелец: Cognex Corp. Дата публикации: 1998-10-20.

Creating a dense defect probability map for use in a computational guided inspection machine learning model

Номер патента: WO2024099710A1. Автор: Fuming Wang. Владелец: ASML Netherlands B.V.. Дата публикации: 2024-05-16.

Method of and apparatus for coating a wafer with a minimal layer of photoresist

Номер патента: US20020031604A1. Автор: Moon-woo Kim,Byung-joo Youn. Владелец: Individual. Дата публикации: 2002-03-14.

Method for exposing a layout comprising multiple layers on a wafer

Номер патента: US6635395B2. Автор: Peter Hahmann,Eckart Bergmann. Владелец: Vistec Electron Beam GmbH. Дата публикации: 2003-10-21.

Method of dicing a wafer

Номер патента: US09704748B2. Автор: Michael Roesner,Gudrun Stranzl,Rudolf Rothmaler,Joerg Ortner. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-07-11.

Edge rinse mechanism for removing a peripheral portion of a resist film formed on a wafer

Номер патента: US5993547A. Автор: Hiroshi Sato. Владелец: NEC Corp. Дата публикации: 1999-11-30.

Method and apparatus for resolving conflicts in a substrate processing system

Номер патента: US20030154001A1. Автор: Hilario Oh. Владелец: ASML US Inc. Дата публикации: 2003-08-14.

Method for creating a pattern on a wafer using a single photomask

Номер патента: WO2004077163A3. Автор: Jan Willem Gemmink,Patrice Declementi. Владелец: Patrice Declementi. Дата публикации: 2005-01-13.

Forming multiple aerial images in a single lithography exposure pass

Номер патента: US12001144B2. Автор: Gregory Allen Rechtsteiner,Joshua Jon Thornes,Willard Earl Conley. Владелец: Cymer LLC. Дата публикации: 2024-06-04.

System and method for mitigating overlay distortion patterns caused by a wafer bonding tool

Номер патента: WO2023009350A1. Автор: Mark D. Smith,Franz Zach,Roel Gronheid. Владелец: KLA Corporation. Дата публикации: 2023-02-02.

Method and apparatus for scanning exposure having thickness measurements of a film surface

Номер патента: US5744814A. Автор: Takayuki Uchiyama. Владелец: NEC Corp. Дата публикации: 1998-04-28.

Method forming focus/exposure matrix on a wafer using overlapped exposures

Номер патента: US5876883A. Автор: Pierre Leroux. Владелец: VLSI Technology Inc. Дата публикации: 1999-03-02.

Automated assistance in a semiconductor manufacturing environment

Номер патента: WO2021262689A1. Автор: Arya Priya BHATTACHERJEE,Akshay OBERAL. Владелец: Lavorro, Inc.. Дата публикации: 2021-12-30.

Method and apparatus for calibrating a wafer transport robot

Номер патента: WO2012000663A1. Автор: Andreas Hartmann,Ottmar Graf,Daniel Knöpfle. Владелец: Centrotherm Thermal Solutions GmbH & Co. KG. Дата публикации: 2012-01-05.

Automated assistance in a semiconductor manufacturing environment

Номер патента: EP4168868A1. Автор: Arya Priya BHATTACHERJEE,Akshay OBERAL. Владелец: Lavorro Inc. Дата публикации: 2023-04-26.

Automated assistance in a semiconductor manufacturing environment

Номер патента: US12020961B2. Автор: Arya Priya BHATTACHERJEE,Akshay Oberoi. Владелец: Lavorro Inc. Дата публикации: 2024-06-25.

Methods and systems for detecting defects in a reticle design pattern

Номер патента: US8213704B2. Автор: Ingrid B. Peterson,Ed Yum. Владелец: KLA Tencor Corp. Дата публикации: 2012-07-03.

Optimum layout of dies on a wafer

Номер патента: US20210103223A1. Автор: Seng Jian TEE,Seok Chin PHANG. Владелец: Systems on Silicon Manufacturing Co Pte Ltd. Дата публикации: 2021-04-08.

Vision system and method for calibrating a wafer carrying robot

Номер патента: EP1606837A1. Автор: Michael Rice,Iraj Sadighi,Jeff Hudgens,Gary Wyka. Владелец: Applied Materials Inc. Дата публикации: 2005-12-21.

Method and apparatus for calibrating a wafer transport robot

Номер патента: EP2589073A1. Автор: Andreas Hartmann,Ottmar Graf,Daniel Knöpfle. Владелец: Centrotherm Thermal Solutions GmbH and Co KG. Дата публикации: 2013-05-08.

Correcting 3D Effects In Phase Shifting Masks Using Sub-Resolution Features

Номер патента: US20070160917A1. Автор: Armen Kroyan,Vishnu Kamat. Владелец: Synopsys Inc. Дата публикации: 2007-07-12.

Hall effect sensor grid array guidance system

Номер патента: US09670690B2. Автор: Troy Allen Stephens. Владелец: PARK PLUS Inc. Дата публикации: 2017-06-06.

Predicting pattern critical dimensions in a lithographic exposure process

Номер патента: US09529253B2. Автор: Jacek K. TYMINSKI,Raluca Popescu. Владелец: Nikon Precision Inc. Дата публикации: 2016-12-27.

Robot pre-positioning in a wafer processing system

Номер патента: US6865437B1. Автор: Hilario Oh,Dikran Babikian. Владелец: Asml Holding Nv. Дата публикации: 2005-03-08.

Robot pre-positioning in a wafer processing system

Номер патента: AU5636600A. Автор: Hilario Oh,Dikran S. Babikian. Владелец: ASML US Inc. Дата публикации: 2001-01-09.

Method for exposing a layout comprising multiple layers on a wafer

Номер патента: US20020039828A1. Автор: Peter Hahmann,Eckart Bergmann. Владелец: Vistec Electron Beam GmbH. Дата публикации: 2002-04-04.

Metric for meeting commencement in a voice conferencing system

Номер патента: EP2868072A1. Автор: Michael Eckert,Glenn N. Dickins,Jean-Francois Catz. Владелец: Dolby Laboratories Licensing Corp. Дата публикации: 2015-05-06.

Safety monitoring mechanism of a wafer fabrication platform

Номер патента: US20060232432A1. Автор: Yu-Hung Huang. Владелец: Welltech Semiconductor Inc. Дата публикации: 2006-10-19.

Defect detection for semiconductor structures on a wafer

Номер патента: US20230260105A1. Автор: Thomas Korb,Jens Timo NEUMANN,Philipp Huethwohl. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2023-08-17.

Device and method for enhancing tracking of objects in a scene captured in a video sequence

Номер патента: EP4428819A1. Автор: Anton ÖHRN,Markus Skans,Niclas Danielsson. Владелец: AXIS AB. Дата публикации: 2024-09-11.

Spacer displacement device for a wafer illumination unit and wafer illumination unit

Номер патента: US09958795B2. Автор: Matthias Conradi,Janusz Schulz. Владелец: Suess Microtec Lithography GmbH. Дата публикации: 2018-05-01.

Three terminal SOT memory cell with anomalous Hall effect

Номер патента: US09830966B2. Автор: Neil Smith,Goran Mihajlovic. Владелец: Western Digital Technologies Inc. Дата публикации: 2017-11-28.

Lithography system and method for processing a target, such as a wafer

Номер патента: US09760028B2. Автор: Niels VERGEER. Владелец: Mapper Lithopraphy IP BV. Дата публикации: 2017-09-12.

Method and system of classifying defects on a wafer

Номер патента: US09436988B2. Автор: Wei Fang,Jack Jau,Zhaoli Zhang. Владелец: Hermes Microvision Inc. Дата публикации: 2016-09-06.

Control and 3-dimensional simulation model of temperature variations in a rapid thermal processing machine

Номер патента: US5654904A. Автор: Randhir P. S. Thakur. Владелец: Micron Technology Inc. Дата публикации: 1997-08-05.

Phase shift photomask and method for improving printability of a structure on a wafer

Номер патента: WO2005050310A3. Автор: Kent Nakagawa. Владелец: Kent Nakagawa. Дата публикации: 2006-02-23.

Generating a Wafer Inspection Process Using Bit Failures and Virtual Inspection

Номер патента: US20160163606A1. Автор: George Simon,Poh Boon YONG,Yuezhong DU. Владелец: KLA Tencor Corp. Дата публикации: 2016-06-09.

Method and apparatus for telemetry of system on a wafer

Номер патента: WO2024072934A1. Автор: Benjamin Floering,Kamran HASAN,Adam NASR. Владелец: Tesla, Inc.. Дата публикации: 2024-04-04.

Environment exchange control for material on a wafer surface

Номер патента: EP1116074A1. Автор: Reese Reynolds,Tom Zhong,Emir Gurer,Ed C. Lee,John W. Lewellen,Kevin Golden,Scott C. Wackerman. Владелец: ASML US Inc. Дата публикации: 2001-07-18.

System and method for state estimation in a noisy machine-learning environment

Номер патента: US20230385606A1. Автор: John P. Volpi,Randal Allen,Samuel Vasta. Владелец: Incucomm Inc. Дата публикации: 2023-11-30.

Simultaneous measurement of commuting operators

Номер патента: EP4014176A1. Автор: Thomas Parks,Daochen WANG,Earl Campbell,Ophelia CRAWFORD,Steve BRIERLEY. Владелец: River Lane Research Ltd. Дата публикации: 2022-06-22.

Simultaneous measurement of commuting operators

Номер патента: WO2021028680A1. Автор: Thomas Parks,Daochen WANG,Earl Campbell,Ophelia CRAWFORD,Steve BRIERLEY. Владелец: River Lane Research Ltd. Дата публикации: 2021-02-18.

Search in a data marketplace

Номер патента: US20240202203A1. Автор: Orestis KOSTAKIS,Timur Misirpashaev. Владелец: Snowflake Inc. Дата публикации: 2024-06-20.

Measurement of visibility of overlay content

Номер патента: EP3387560A1. Автор: Justin LEWIS,Robert Durbin. Владелец: Google LLC. Дата публикации: 2018-10-17.

Traversal based mixture of moist granular material in a bulk store

Номер патента: US20240286827A1. Автор: Chad E. Johnson,Benjamin H. Johnson,Zane ZENTS. Владелец: Grain Weevil Corp. Дата публикации: 2024-08-29.

Method for monitoring occupancy in a work area

Номер патента: US20210274134A1. Автор: Kelby GREEN,Dan Ryan. Владелец: VergeSense Inc. Дата публикации: 2021-09-02.

Method and device for video-based observation and measurement of the lateral environment of a vehicle

Номер патента: US7365831B2. Автор: Uwe Franke,Thomas Hans Braeunl. Владелец: DAIMLER AG. Дата публикации: 2008-04-29.

Method for monitoring occupancy in a work area

Номер патента: US20240257525A1. Автор: Kelby GREEN,Dan Ryan. Владелец: VergeSense Inc. Дата публикации: 2024-08-01.

Assisting failure diagnosis in a system

Номер патента: US09959153B2. Автор: Richard Lee Bovey. Владелец: BAE SYSTEMS plc. Дата публикации: 2018-05-01.

Locating a workpiece using a measurement of a workpiece feature

Номер патента: US09880544B2. Автор: Philip L. Freeman,Jerald A. Hull. Владелец: Boeing Co. Дата публикации: 2018-01-30.

Fast OLAP for real user measurement of website performance

Номер патента: US09772923B2. Автор: Philip Santosh Tellis,Vernon Archie Brewer, III. Владелец: Soasta Inc. Дата публикации: 2017-09-26.

Thickness measurement of substrate using color metrology

Номер патента: US12148148B2. Автор: Dominic J. Benvegnu. Владелец: Applied Materials Inc. Дата публикации: 2024-11-19.

Detection of anomalies in measurement of level of hypnosis

Номер патента: US09398863B2. Автор: Hanna E. Viertio-Oja. Владелец: General Electric Co. Дата публикации: 2016-07-26.

Method of dispensing fluid onto a wafer

Номер патента: US5429912A. Автор: Soon E. Neoh. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 1995-07-04.

Support member for supporting a wafer during a heat treatment

Номер патента: US20240103442A1. Автор: Sylvain Jeanneret. Владелец: PATEK PHILIPPE SA GENEVE. Дата публикации: 2024-03-28.

System and method for mitigating overlay distortion patterns caused by a wafer bonding tool

Номер патента: US11782411B2. Автор: Mark D. Smith,Franz Zach,Roel Gronheid. Владелец: KLA Corp. Дата публикации: 2023-10-10.

Environment exchange control for material on a wafer surface

Номер патента: US20030190427A1. Автор: Reese Reynolds,Tom Zhong,Emir Gurer,Ed Lee,John Lewellen,Kevin Golden,Scott Wackerman. Владелец: Asml Holding Nv. Дата публикации: 2003-10-09.

System and method for mitigating overlay distortion patterns caused by a wafer bonding tool

Номер патента: EP4324025A1. Автор: Mark D. Smith,Franz Zach,Roel Gronheid. Владелец: KLA Corp. Дата публикации: 2024-02-21.

System and method for mitigating overlay distortion patterns caused by a wafer bonding tool

Номер патента: US20240053721A1. Автор: Mark D. Smith,Franz Zach,Roel Gronheid. Владелец: KLA Corp. Дата публикации: 2024-02-15.

Electrochromic lens comprising a wafer having a perimeter shoulder

Номер патента: EP4162315A2. Автор: Mathieu Meynen,Marc Reignault,Sunil Bhangale,Sira UHALTE NOGUES. Владелец: Essilor International SAS. Дата публикации: 2023-04-12.

Monitoring data flow in a data digest machine-learning system

Номер патента: US20220222572A1. Автор: Bernard Burg,John Ronald FRY,Ardaman SINGH. Владелец: Pelion Technology Inc. Дата публикации: 2022-07-14.

Methods and Systems for Optimizing Culture Conditions in a Culture Process

Номер патента: US20240209306A1. Автор: Brian Lee,Shannon Hall,Charles EMRICH,Ouwei WANG. Владелец: Pow Genetic Solutions Inc. Дата публикации: 2024-06-27.

Multi-destination traffic handling optimizations in a network device

Номер патента: US12101260B1. Автор: Puneet Agarwal,William Brad MATTHEWS,Bruce Hui Kwan,Ajit Kumar Jain. Владелец: Innovium Inc. Дата публикации: 2024-09-24.

Apparatus and method for measurement of propagation time of a data stream in a transport network

Номер патента: US09525482B1. Автор: Richard Tse. Владелец: Microsemi Storage Solutions US Inc. Дата публикации: 2016-12-20.

Hall effect electronic ignition controller with programmed dwell and automatic shut-down timer circuits

Номер патента: US4128091A. Автор: Isadore Balan,Joseph J. Kopera. Владелец: Chrysler Corp. Дата публикации: 1978-12-05.

Method and apparatus for computing measures of echo

Номер патента: WO1998027668A1. Автор: Rafi Rabipour,Majid Foodeei,Dominic Ho. Владелец: Northern Telecom Limited. Дата публикации: 1998-06-25.

System, apparatus, and method of conducting measurements of a borehole

Номер патента: CA2527605C. Автор: Brian Clark,Reza Taherian,Ruben Martinez,Jan Smits. Владелец: Schlumberger Canada Ltd. Дата публикации: 2008-09-16.

Device for the permanent measurement of the temperature of a molten mass in a furnace

Номер патента: FR1225259A. Автор: . Владелец: Allmanna Svenska Elektriska AB. Дата публикации: 1960-06-30.

Device for in-vivo measurement of the concentration of a substance contained in a body fluid

Номер патента: US20030050542A1. Автор: Ulrich Haueter,Bruno Reihl. Владелец: Individual. Дата публикации: 2003-03-13.

DEVICE FOR AUTOMATIC MEASUREMENT OF ARTERIAL PRESSURE BY AUTONOMOUS MONITORING, COMPUTERIZED, IN A TRUE AMBULATORY

Номер патента: FR3029098A1. Автор: Raymond Wegmann. Владелец: Individual. Дата публикации: 2016-06-03.

Systems and methods for determining field orientation of magnetic components in a ropeless elevator system

Номер патента: US09926172B2. Автор: Richard N. Fargo. Владелец: Otis Elevator Co. Дата публикации: 2018-03-27.

Multiphase brushless DC motor using two Hall-effect generators

Номер патента: US4164691A. Автор: Kinzo Wada. Владелец: Victor Company of Japan Ltd. Дата публикации: 1979-08-14.

Method for aligning wafers in a cassette

Номер патента: US20010038791A1. Автор: Vijai Sinha. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2001-11-08.

Accurate positioning of a wafer

Номер патента: EP1084001A1. Автор: Michael R. Vogtmann,Terry L. Lentz. Владелец: Strasbaugh Inc. Дата публикации: 2001-03-21.

Hall-effect device with current and hall-voltage connections

Номер патента: US5646527A. Автор: Ramesh G. Mani,Klaus Von Klitzing. Владелец: Individual. Дата публикации: 1997-07-08.

Virtual hall-effect signal generating for a brushless sensorless electrical rotary machine

Номер патента: US5532561A. Автор: Hao Huang. Владелец: SL Montevideo Technology Inc. Дата публикации: 1996-07-02.

Apparatus for sensing the presence of a wafer

Номер патента: EP1115643A1. Автор: Bill Kalenian,Terry L. Lentz. Владелец: Strasbaugh Inc. Дата публикации: 2001-07-18.

Apparatus for sensing the presence of a wafer

Номер патента: WO2000006352A1. Автор: Bill Kalenian,Terry L. Lentz. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2000-02-10.

Apparatus for sensing the presence of a wafer

Номер патента: EP1115643A4. Автор: Bill Kalenian,Terry L Lentz. Владелец: Lam Research Corp. Дата публикации: 2006-10-04.

Detecting wafer status in a wafer chuck assembly

Номер патента: US12027409B2. Автор: Aaron Louis LaBrie,Claudiu Valentin Puha. Владелец: Lam Research Corp. Дата публикации: 2024-07-02.

Decoupling composite capacitor in a semiconductor wafer

Номер патента: US8883605B2. Автор: WEI Xia,Xiangdong Chen. Владелец: Broadcom Corp. Дата публикации: 2014-11-11.

Decoupling Composite Capacitor in a Semiconductor Wafer

Номер патента: US20130309833A1. Автор: WEI Xia,Xiangdong Chen. Владелец: Broadcom Corp. Дата публикации: 2013-11-21.

Detecting wafer status in a wafer chuck assembly

Номер патента: US20240312827A1. Автор: Aaron Louis LaBrie,Claudiu Valentin Puha. Владелец: Lam Research Corp. Дата публикации: 2024-09-19.

Apparatus and method for mounting a wafer in a polishing machine

Номер патента: US20020068512A1. Автор: Hsien-Shu Tsai,Yi-Sen Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2002-06-06.

Method and apparatus for removing die from a wafer and conveying die to a pickup location

Номер патента: EP1064152A1. Автор: Peter Davis,Dean Tarrant. Владелец: Hover-Davis Inc. Дата публикации: 2001-01-03.

Method of dicing a wafer and semiconductor chip

Номер патента: US09911655B2. Автор: Markus Brunnbauer,Gunther Mackh,Bernhard Drummer,Korbinian Kaspar. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-03-06.

Tray for a wafer with tape frame

Номер патента: US09666468B2. Автор: Masayuki Nishijima. Владелец: Achilles Corp. Дата публикации: 2017-05-30.

Method of dicing a wafer and semiconductor chip

Номер патента: US09570352B2. Автор: Markus Brunnbauer,Gunther Mackh,Bernhard Drummer,Korbinian Kaspar. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-02-14.

Method and apparatus for storing and transporting semiconductor wafers in a vacuum pod

Номер патента: US09564350B1. Автор: William Fosnight,Stephanie Waite. Владелец: Globalfoundries Inc. Дата публикации: 2017-02-07.

Method and apparatus for monitoring a polishing condition of a surface of a wafer in a polishing process

Номер патента: US20040002289A1. Автор: Karl Mautz. Владелец: Individual. Дата публикации: 2004-01-01.

Use of vacuum chucks to hold a wafer or wafer sub-stack

Номер патента: WO2014007758A1. Автор: Hartmut Rudmann,Stephan Heimgartner,John A. Vidallon. Владелец: HEPTAGON MICRO OPTICS PTE. LTD.. Дата публикации: 2014-01-09.

Carrier ring used in a deposition chamber

Номер патента: US20220056582A1. Автор: Min-Fu Lee. Владелец: United Semiconductor Xiamen Co Ltd. Дата публикации: 2022-02-24.

Method and apparatus for sensing a wafer in a carrier

Номер патента: WO2003021641A2. Автор: John D. Herb,Stephen C. Schultz,Ned W. Teeny. Владелец: Speedfam-Ipec Corporation. Дата публикации: 2003-03-13.

Method of Arranging Dies in a Wafer for Easy Inkless Partial Wafer Process

Номер патента: US20090191689A1. Автор: Balamurugan Subramanian. Владелец: Texas Instruments Inc. Дата публикации: 2009-07-30.

Wafer boats for supporting semiconductor wafers in a furnace

Номер патента: US20240304482A1. Автор: Sumeet S. Bhagavat,Qingmin Liu. Владелец: GlobalWafers Co Ltd. Дата публикации: 2024-09-12.

Use of vacuum chucks to hold a wafer or wafer sub-stack

Номер патента: US09793152B2. Автор: Hartmut Rudmann,Stephan Heimgartner,John A. Vidallon. Владелец: Heptagon Micro Optics Pte Ltd. Дата публикации: 2017-10-17.

Process for the treatment of semiconductor wafers in a fluid

Номер патента: AU7887598A. Автор: Robert Roger Matthews. Владелец: Legacy Systems Inc. Дата публикации: 1998-10-01.

Method for optimizing protection circuits of electronic device chips in a wafer

Номер патента: US20240250084A1. Автор: Jheng-Sheng You,Weixing DU. Владелец: Innoscience Suzhou Semiconductor Co Ltd. Дата публикации: 2024-07-25.

Wafer boats for supporting semiconductor wafers in a furnace

Номер патента: US12046495B2. Автор: Sumeet S. Bhagavat,Qingmin Liu. Владелец: GlobalWafers Co Ltd. Дата публикации: 2024-07-23.

Apparatus and method for holding a wafer

Номер патента: US20130100573A1. Автор: Emily Shu. Владелец: Semiconductor Manufacturing International Corp. Дата публикации: 2013-04-25.

Apparatus and method for holding a wafer

Номер патента: US8929051B2. Автор: Emily Shu. Владелец: Semiconductor Manufacturing International Corp. Дата публикации: 2015-01-06.

System and Method for Particle Abatement in a Wafer Processing Tool

Номер патента: US20210402445A1. Автор: Eryn Smith. Владелец: Individual. Дата публикации: 2021-12-30.

Single wafer cleaning method to reduce particle defects on a wafer surface

Номер патента: US7341065B2. Автор: Steven Verhaverbeke,Christopher Laurent Beaudry. Владелец: Applied Materials Inc. Дата публикации: 2008-03-11.

System and method for particle abatement in a wafer processing tool

Номер патента: US11772136B2. Автор: Eryn Smith. Владелец: Individual. Дата публикации: 2023-10-03.

Use of vacuum chucks to hold a wafer or wafer sub-stack

Номер патента: US09899251B2. Автор: Hartmut Rudmann,Stephan Heimgartner,John A. Vidallon. Владелец: Heptagon Micro Optics Pte Ltd. Дата публикации: 2018-02-20.

Methods for etching through-wafer vias in a wafer

Номер патента: US09711364B2. Автор: Elena Becerra Woodard,Daniel Kwadwo Amponsah Berkoh,Dean G. Scott. Владелец: Skyworks Solutions Inc. Дата публикации: 2017-07-18.

Wafer holding device for etching process and method for controlling etch rate of a wafer

Номер патента: US20080179285A1. Автор: HAO LI,Hongtao Ma,Hongxin Fang,XiaoFeng Qiao. Владелец: SAE Magnetics HK Ltd. Дата публикации: 2008-07-31.

Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system

Номер патента: EP1075707A1. Автор: Michael N. Sugarman,Allen Flanigan. Владелец: Applied Materials Inc. Дата публикации: 2001-02-14.

Method of forming a via in a semiconductor device

Номер патента: US8685854B2. Автор: Kazuhito Ichinose,Tatsunori Murata,Kotaro Kihara. Владелец: Renesas Electronics Corp. Дата публикации: 2014-04-01.

Auto-calibration to a station of a process module that spins a wafer

Номер патента: US20230395410A1. Автор: Peter Thaulad,Paul Konkola,Richard Blank,Jacob L. Hiester. Владелец: Lam Research Corp. Дата публикации: 2023-12-07.

Device for cleaning a wafer of abrasive agent suspension remaining after polishing with brushes and DI water

Номер патента: US20020038486A1. Автор: Rudiger Hunger. Владелец: Individual. Дата публикации: 2002-04-04.

Processing a wafer for an electronic circuit

Номер патента: EP2695184A1. Автор: Peter WILSHAW,Kanad Mallik,Doug JORDAN. Владелец: Oxford University Innovation Ltd. Дата публикации: 2014-02-12.

Method of manufacturing a wafer assembly with junction-isolated vias

Номер патента: EP1856727B1. Автор: Leslie B. Wilner. Владелец: Meggitt San Juan Capistrano Inc. Дата публикации: 2011-11-23.

System and method for high throughput defect inspection in a charged particle system

Номер патента: EP4118675A1. Автор: Long Ma,Zhonghua Dong,Te-Yu Chen. Владелец: ASML Netherlands BV. Дата публикации: 2023-01-18.

A method of improving adhesion of a cap layer to a porous material layer on a wafer

Номер патента: WO2001078127A2. Автор: Rao V. Annapragada. Владелец: Philips Semiconductors, Inc.. Дата публикации: 2001-10-18.

A method of improving adhesion of a cap layer to a porous material layer on a wafer

Номер патента: EP1275140A2. Автор: Rao V. Annapragada. Владелец: Philips Semiconductors Inc. Дата публикации: 2003-01-15.

Closed-loop control of wafer polishing in a chemical mechanical polishing system

Номер патента: US20050020185A1. Автор: Manoocher Birang,Steven Zuniga. Владелец: Applied Materials Inc. Дата публикации: 2005-01-27.

Isolation in a semiconductor device

Номер патента: US20220131002A1. Автор: Takashi Noma,Kazuo Okada,Yusheng Lin,Hideaki Yoshimi,Shunsuke Yasuda. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2022-04-28.

Device and Motor for Removing a Framed Wafer from a Wafer Tray

Номер патента: US20210320028A1. Автор: Josef Ammerl,Michael Froeschl. Владелец: MueTec Automatisierte Mikroskopie und Messtechnik GmbH. Дата публикации: 2021-10-14.

Modular frame for a wafer fabrication system

Номер патента: US20040033125A1. Автор: Rafael Gomez,Jonathan Borkowski,Abdul Ghafar,Kay Coghlan,Andres Cannavo,Rodney Ow. Владелец: Brooks Automation Inc. Дата публикации: 2004-02-19.

Reducing variations in transistor characteristics across a wafer using lamp annealing

Номер патента: GB2363253A. Автор: Jun Mihira. Владелец: NEC Corp. Дата публикации: 2001-12-12.

Method and apparatus for cassette integrity testing using a wafer sorter

Номер патента: US20070118300A1. Автор: Richard Guldi,Chris Atkinson,Kelly Mollenkopf. Владелец: Texas Instruments Inc. Дата публикации: 2007-05-24.

Systems and methods for providing wafer access in a wafer processing system

Номер патента: EP2939256A1. Автор: Stojan Kanev,Frank Fehrmann,Botho Hirschfeld. Владелец: CASCADE MICROTECH INC. Дата публикации: 2015-11-04.

Heater assembly for heating a wafer

Номер патента: US20030047555A1. Автор: Jae-Han Park,Hyung-sik Hong,Gyeong-Su Keum,Eun-Seok Song,Chung-Hun Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2003-03-13.

Brush for cleaning a wafer

Номер патента: US20240148133A1. Автор: Sungyong PARK,Songyun Kang,Jeongmin Na. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-05-09.

Accurate positioning of a wafer

Номер патента: EP1084001A4. Автор: Michael R Vogtmann,Terry L Lentz. Владелец: Strasbaugh Inc. Дата публикации: 2001-04-18.

Method and apparatus for positoning a wafer chuck

Номер патента: WO2003017339A2. Автор: Bernardo Donoso. Владелец: Applied Materials, Inc.. Дата публикации: 2003-02-27.

Apparatus for transferring a wafer

Номер патента: US20040129760A1. Автор: Charlie Chen,Chih-Hsien Cheng,Chi-Meng Shen,Yao-Chi Fei. Владелец: D-TEK SEMICON TECHNOLOGY CO Ltd. Дата публикации: 2004-07-08.

Polishing head of a chemical and mechanical polishing apparatus for polishing a wafer

Номер патента: US6517421B2. Автор: Choul-Gue Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2003-02-11.

Method and apparatus for positoning a wafer chuck

Номер патента: WO2003017339A3. Автор: Bernardo Donoso. Владелец: Applied Materials Inc. Дата публикации: 2003-11-27.

Suppression of NiSi2 formation in a nickel salicide process using a pre-silicide nitrogen plasma

Номер патента: US20040144639A1. Автор: Thai-Cheng Chua,Mei-Ling Chiang. Владелец: Applied Materials Inc. Дата публикации: 2004-07-29.

Method for the production of a wafer with a carrier unit

Номер патента: US09754810B2. Автор: Lukas Lichtensteiger. Владелец: SILTECTRA GmbH. Дата публикации: 2017-09-05.

Method of flattening a wafer

Номер патента: US09613796B2. Автор: Chih-Yueh Li,Tai-Heng Yu. Владелец: United Microelectronics Corp. Дата публикации: 2017-04-04.

Method of etching a wafer

Номер патента: US9150408B2. Автор: Li Chen,Mitul Dalal. Владелец: Analog Devices Inc. Дата публикации: 2015-10-06.

Apparatus for rotating a wafer

Номер патента: US4535721A. Автор: John Yakura. Владелец: California Linear Circuits Inc. Дата публикации: 1985-08-20.

Method of fabrication of ai/ge bonding in a wafer packaging environment

Номер патента: EP3208231A1. Автор: Steven Nasiri,Anthony Flannery. Владелец: InvenSense Inc. Дата публикации: 2017-08-23.

Wafer holding apparatus for holding a wafer

Номер патента: CA2109240C. Автор: Minoru Ueda. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 1997-11-18.

Detecting wafer status in a wafer chuck assembly

Номер патента: US20210183684A1. Автор: Aaron Louis LaBrie,Claudiu Valentin Puha. Владелец: Lam Research Corp. Дата публикации: 2021-06-17.

A wafer transfer system and method of using the same

Номер патента: WO1998001378A1. Автор: Masato Toshima. Владелец: Gamma Precision Technology, Inc.. Дата публикации: 1998-01-15.

Method of arranging dies in a wafer for easy inkless partial wafer process

Номер патента: WO2008045826A2. Автор: Balamuragun Subramanian. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2008-04-17.

Method of processing a wafer

Номер патента: US20230298881A1. Автор: Takashi Okamura,Shigenori Harada. Владелец: Disco Corp. Дата публикации: 2023-09-21.

Preparation method for protecting the back surface of a wafer and back surface protected wafer

Номер патента: US20040241461A1. Автор: Thibaut Maurice,Beryl Blondeau. Владелец: Soitec SA. Дата публикации: 2004-12-02.

Wafer holder and temperature conditioning arrangement and method of manufacturing a wafer

Номер патента: US09793144B2. Автор: Rogier Lodder,Bart Scholte Von Mast,Juergen Kielwein. Владелец: EVATEC AG. Дата публикации: 2017-10-17.

Capacitively coupled gyrator based on the hall effect

Номер патента: US09712129B2. Автор: David Divincenzo,Giovanni VIOLA. Владелец: FORSCHUNGSZENTRUM JUELICH GMBH. Дата публикации: 2017-07-18.

Method of dividing a wafer and method of manufacturing a semiconductor device

Номер патента: US5888883A. Автор: Shigeo Sasaki,Shinya Takyu,Keisuke Tokubuchi,Koichi Yazima. Владелец: Toshiba Corp. Дата публикации: 1999-03-30.

Method and apparatus for preventing contamination in a hot plate oven

Номер патента: US6297480B1. Автор: Liang Huang Liu,Shu Shing Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2001-10-02.

Method of manufacturing a device in a silicon wafer

Номер патента: CA1149968A. Автор: Herbert A. Waggener,Richard H. Heeren. Владелец: Teletype Corp. Дата публикации: 1983-07-12.

Method and structure for polishing a wafer during manufacture of integrated circuits

Номер патента: WO1997037813A1. Автор: Michael A. Leach. Владелец: Leach Michael A. Дата публикации: 1997-10-16.

Integrated circuit semiconductor device formed on a wafer

Номер патента: US4721995A. Автор: Tetsu Tanizawa. Владелец: Fujitsu Ltd. Дата публикации: 1988-01-26.

Method of lapping a wafer

Номер патента: GB2308010A. Автор: Tomotake Morita. Владелец: NEC Corp. Дата публикации: 1997-06-11.

Method and apparatus for sensing a wafer in a carrier

Номер патента: US20030045205A1. Автор: Stephen Schultz,John Herb,Ned Teeny. Владелец: Individual. Дата публикации: 2003-03-06.

Interwafer connection structure for coupling wafers in a wafer stack

Номер патента: US11901338B2. Автор: Myongseob Kim,Henley Liu,Cheang Whang CHANG. Владелец: Xilinx Inc. Дата публикации: 2024-02-13.

Carrier ring used in a deposition chamber

Номер патента: US11795544B2. Автор: Min-Fu Lee. Владелец: United Semiconductor Xiamen Co Ltd. Дата публикации: 2023-10-24.

Wafer treating device and sealing ring for a wafer treating device.

Номер патента: NL2014625A. Автор: Braun Michael,Albert Dieter. Владелец: SUSS MICROTEC LITHOGRAPHY GMBH. Дата публикации: 2016-10-14.

Use of vacuum chucks to hold a wafer or wafer sub-stack

Номер патента: US20180005864A1. Автор: Hartmut Rudmann,Stephan Heimgartner,John A. Vidallon. Владелец: Heptagon Micro Optics Pte Ltd. Дата публикации: 2018-01-04.

Process and apparatus for the treatment of semiconductor wafers in a fluid

Номер патента: AU7332994A. Автор: Robert Roger Matthews. Владелец: Legacy Systems Inc. Дата публикации: 1995-02-13.

Method of arranging dies in a wafer for easy inkless partial wafer process

Номер патента: WO2008045826A3. Автор: Balamuragun Subramanian. Владелец: Balamuragun Subramanian. Дата публикации: 2008-08-07.

Dicing a wafer

Номер патента: US20190385911A1. Автор: Jerry Gomez Cayabyab,Jeniffer Otero Aspuria,Alvin Lopez Andaya,Julian Carlo Concepc Barbadillo. Владелец: Texas Instruments Inc. Дата публикации: 2019-12-19.

System and methods for a radiant heat cap in a semiconductor wafer reactor

Номер патента: WO2022147235A1. Автор: Chieh Hu,Chun-Chin Tu,Lunghsing Hsu. Владелец: GlobalWafers Co., Ltd.. Дата публикации: 2022-07-07.

System and methods for a radiant heat cap in a semiconductor wafer reactor

Номер патента: EP4271865A1. Автор: Chieh Hu,Chun-Chin Tu,Lunghsing Hsu. Владелец: GlobalWafers Co Ltd. Дата публикации: 2023-11-08.

A method for bonding a chip to a wafer

Номер патента: US20170084570A1. Автор: Sunil Wickramanayaka,Ling Xie,Jerry Jie Li Aw. Владелец: Agency for Science Technology and Research Singapore. Дата публикации: 2017-03-23.

Method of forming a stacked-die integrated circuit chip package on a wafer level

Номер патента: MY134235A. Автор: M Lam Ken. Владелец: Atmel Corp. Дата публикации: 2007-11-30.

Method of forming a stacked-die integrated circuit chip package on a wafer level

Номер патента: WO2001067511A2. Автор: Ken M. Lam. Владелец: ATMEL CORPORATION. Дата публикации: 2001-09-13.

Adhesive composition for a wafer processing film

Номер патента: US20130295747A1. Автор: Jang-Soon Kim. Владелец: LG HAUSYS LTD. Дата публикации: 2013-11-07.

Measuring alignment between a wafer chuck and polishing/plating receptacle

Номер патента: WO2004053942A3. Автор: HUI Wang,Voha Nuch. Владелец: Voha Nuch. Дата публикации: 2006-12-07.

Method for processing a wafer and wafer

Номер патента: US20240139902A1. Автор: Yasuki Yoshida,Ryo TAGA. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-05-02.

Method for cutting a wafer

Номер патента: US20040139601A1. Автор: Simon Shiau. Владелец: Kingpak Technology Inc. Дата публикации: 2004-07-22.

Apparatus for processing a wafer-shaped article

Номер патента: US20240304489A1. Автор: Thomas Passegger,Michael Brugger,Burkhart SCHIER,Wolfgang KRAUTZER. Владелец: LAM RESEARCH AG. Дата публикации: 2024-09-12.

Method for identifying a wafer serial number

Номер патента: US8498471B2. Автор: Chien-Ming Li,Wei-Chin Chen. Владелец: Inotera Memories Inc. Дата публикации: 2013-07-30.

Method for mounting a wafer to a submount

Номер патента: US5300175A. Автор: Michael P. Norman,Lawrence R. Gardner,Robert W. Griffith, Jr.. Владелец: Motorola Inc. Дата публикации: 1994-04-05.

A wafer polishing method

Номер патента: GB2335874A. Автор: Mikio Nakamura,Takahiro Kida. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 1999-10-06.

Via formed in a wafer using a front-side and a back-side process

Номер патента: US20240038695A1. Автор: Ankur Aggarwal,Jeremy Matthew Plunkett. Владелец: Celestial AI Inc. Дата публикации: 2024-02-01.

Method for fault processing of hall position sensor in brushless direct current motor

Номер патента: US20230327522A1. Автор: Xudong Zhao,Sang Wang,Zhengrui Zhang. Владелец: CRM ICBG Wuxi Co Ltd. Дата публикации: 2023-10-12.

Wafer biasing in a plasma chamber

Номер патента: US20240048056A1. Автор: Kenneth Miller,Ilia Slobodov,Timothy Ziemba,James Prager,John Carscadden. Владелец: Eagle Harbor Technologies Inc. Дата публикации: 2024-02-08.

Wafer biasing in a plasma chamber

Номер патента: US11824454B2. Автор: Kenneth Miller,Ilia Slobodov,Timothy Ziemba,James Prager,John Carscadden. Владелец: Eagle Harbor Technologies Inc. Дата публикации: 2023-11-21.

Wafer configured to recondition a support surface of a wafer holding stage

Номер патента: US11955368B2. Автор: Thomas Hantschel. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-04-09.

Device for changing the temperature of a wafer

Номер патента: EP4388580A1. Автор: Robert John Wilby,Gregor Robert ELLIOTT,Sam OWENS,Abraham MOOSAVI. Владелец: Metryx Ltd. Дата публикации: 2024-06-26.

Combination of a substrate and a wafer

Номер патента: US20120216959A1. Автор: Erich Thallner. Владелец: Individual. Дата публикации: 2012-08-30.

Combination of a substrate and a wafer

Номер патента: US20120247640A1. Автор: Erich Thallner. Владелец: Individual. Дата публикации: 2012-10-04.

Moving module of a wafer ion-implanting machine

Номер патента: US20100218720A1. Автор: Ting-Wei Lin. Владелец: Individual. Дата публикации: 2010-09-02.

Moving module of a wafer ion-implanting machine

Номер патента: US7956333B2. Автор: Ting-Wei Lin. Владелец: Inotera Memories Inc. Дата публикации: 2011-06-07.

Device for changing the temperature of a wafer

Номер патента: US20240347352A1. Автор: Robert John Wilby,Gregor Robert ELLIOTT,Sam OWENS,Abraham MOOSAVI. Владелец: Metryx Ltd. Дата публикации: 2024-10-17.

Wafer arrangement and method for processing a wafer

Номер патента: US09966293B2. Автор: Francisco Javier Santos Rodriguez,Gerald Lackner,Josef Unterweger. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-05-08.

Manufacture of solar concentrator modules using a wafer precursor

Номер патента: US09960305B2. Автор: Randall B. Olsen. Владелец: US Department of Navy. Дата публикации: 2018-05-01.

Method of processing a wafer and wafer processing system

Номер патента: US09935010B2. Автор: Hitoshi Hoshino,Karl Priewasser. Владелец: Disco Corp. Дата публикации: 2018-04-03.

Chuck for transporting a wafer carrier

Номер патента: US4936734A. Автор: Atsushi Osada. Владелец: Tokyo Electron Yamanashi Ltd. Дата публикации: 1990-06-26.

Cleaning method and solution for cleaning a wafer in a single wafer process

Номер патента: US20060264343A1. Автор: Steven Verhaverbeke,J. Truman. Владелец: Individual. Дата публикации: 2006-11-23.

Apparatus for gate valve movement in a minimum-space wet process environment

Номер патента: US8083207B1. Автор: Alan M. Schoepp,Jacob Wylie,Gregory A. Clemmer. Владелец: Lam Research Corp. Дата публикации: 2011-12-27.

Method for forming a redistribution layer in a wafer structure

Номер патента: US20060057772A1. Автор: Min-Lung Huang. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2006-03-16.

Apparatus and method for self-aligning a cover ring in a sputter chamber

Номер патента: US20030075433A1. Автор: Chung-En Kao,Min-Te Lai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2003-04-24.

Carrier ring used in a deposition chamber

Номер патента: US20210123135A1. Автор: Min-Fu Lee. Владелец: United Semiconductor Xiamen Co Ltd. Дата публикации: 2021-04-29.

Apparatus for processing a wafer, and method of controlling such an apparatus

Номер патента: WO2020160976A1. Автор: Stefan Koch,Martin KLEINDIENST. Владелец: LAM RESEARCH AG. Дата публикации: 2020-08-13.

Method and system of monitoring and controlling deformation of a wafer substrate

Номер патента: US10431436B2. Автор: Huma Ashraf,Roland MUMFORD,Kevin RIDDELL,Grant Baldwin. Владелец: SPTS Technologies Ltd. Дата публикации: 2019-10-01.

Method of processing a wafer

Номер патента: US20200176313A1. Автор: Hidekazu Iida,Yoshiteru Nishida,Youngsuk Kim,Kenta Chito. Владелец: Disco Corp. Дата публикации: 2020-06-04.

Method for dicing a wafer

Номер патента: US20070224780A1. Автор: Fu Tang Chu,Chi Yuam Chung. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2007-09-27.

Method for cutting a wafer using a protection sheet

Номер патента: US20060189100A1. Автор: Masaharu Ishizuka. Владелец: TDK Corp. Дата публикации: 2006-08-24.

Changing local compressibility of a wafer support member

Номер патента: WO2002015237B1. Автор: Diane B Scott. Владелец: Rodel Inc. Дата публикации: 2002-06-27.

Changing local compressibility of a wafer support member

Номер патента: WO2002015237A2. Автор: Diane B. Scott. Владелец: Rodel Holdings, Inc.. Дата публикации: 2002-02-21.

Method of separating chips from a wafer

Номер патента: US09978643B2. Автор: Laurence Singleton,Frank Kriebel,Carsten Nieland. Владелец: Smartrac Technology Ltd. Дата публикации: 2018-05-22.

Apparatus and method for removing particles present on a wafer using photoelectrons and an electric field

Номер патента: US09796001B2. Автор: Jae Hee SIM,Si Hyun Kim. Владелец: SK hynix Inc. Дата публикации: 2017-10-24.

Separating a wafer of light emitting devices

Номер патента: US09722138B2. Автор: Frank Lili WEI,Rao S. Peddada. Владелец: Koninklijke Philips NV. Дата публикации: 2017-08-01.

Method for processing a wafer and method for dicing a wafer

Номер патента: US09553021B2. Автор: Markus Menath. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-01-24.

Method of isolating bad pixels on a wafer

Номер патента: US09530820B1. Автор: Chi Yi Chen,Sheri Douglas,Jon Ellsworth,Aristo Yulius,Gerrit Meddeler. Владелец: Teledyne Scientific and Imaging LLC. Дата публикации: 2016-12-27.

Apparatus for detecting proper positioning of objects in a holder

Номер патента: US5466945A. Автор: Christopher G. Brickell,Kenneth A. Langland. Владелец: Eaton Corp. Дата публикации: 1995-11-14.

Method of reducing particle density in a cool down chamber

Номер патента: US20030013312A1. Автор: Anzhong Chang,Xiaoxiong Yuan,Ken Lai,Hougong Wang,Be Vo. Владелец: Individual. Дата публикации: 2003-01-16.

Method of dividing an adhesive film bonded to a wafer

Номер патента: US20070249145A1. Автор: Masaru Nakamura. Владелец: Disco Corp. Дата публикации: 2007-10-25.

A wafer carrier having a low tolerance build-up

Номер патента: WO2000003416A2. Автор: Gregory W. Bores,Michael C. Zabka. Владелец: Fluoroware, Inc.. Дата публикации: 2000-01-20.

Hall effect seat switch

Номер патента: US20020175015A1. Автор: Arthur Harvey,Jan Michaud. Владелец: Delta Systems Inc. Дата публикации: 2002-11-28.

Noncontact method of adhering a wafer to a wafer tape

Номер патента: US6007654A. Автор: Robert G. McKenna. Владелец: Texas Instruments Inc. Дата публикации: 1999-12-28.

Scribing a wafer of semiconductor devices

Номер патента: US20160268473A1. Автор: Rajat Sharma,Frank Lili WEI,S. Rao Peddada,Enrico Casaje. Владелец: Koninklijke Philips NV. Дата публикации: 2016-09-15.

Processing Assembly and Method for Processing a Wafer in Such a Processing Assembly

Номер патента: US20090004384A1. Автор: Antonius M.C.P.L. Van De Kerkhof. Владелец: NXP BV. Дата публикации: 2009-01-01.

Separating a wafer of light emitting devices

Номер патента: US20190305176A1. Автор: Frank Lili WEI,Rao S. Peddada. Владелец: LUMILEDS LLC. Дата публикации: 2019-10-03.

Substrate handling device for a wafer

Номер патента: MY197493A. Автор: GLEISSNER Andreas,Herbert Oetzlinger,Manuel Eibl. Владелец: Semsysco GmbH. Дата публикации: 2023-06-19.

Scribing a wafer of semiconductor devices

Номер патента: EP3063786A1. Автор: Rajat Sharma,Frank Lili WEI,S. Rao Peddada,Enrico Casaje. Владелец: Koninklijke Philips NV. Дата публикации: 2016-09-07.

Heat treatment apparatus using a lamp for rapidly and uniformly heating a wafer

Номер патента: US20060102612A1. Автор: Masahiro Shimizu. Владелец: Tokyo Electron Ltd. Дата публикации: 2006-05-18.

Thermal-aided inspection by advanced charge controller module in a charged particle system

Номер патента: US11728131B2. Автор: Jian Zhang,Jun Jiang,Ning Ye,Yixiang Wang. Владелец: ASML Netherlands BV. Дата публикации: 2023-08-15.

Automatic machine for processing articles and having a position detecting device with hall-effect sensors

Номер патента: EP1502858B1. Автор: Mario Spatafora,Bruno Tommasini. Владелец: GD SpA. Дата публикации: 2006-09-27.

A wafer carrier having a low tolerance build-up

Номер патента: WO2000003416A3. Автор: Gregory W Bores,Michael C Zabka. Владелец: Michael C Zabka. Дата публикации: 2000-06-29.

A wafer carrier having a low tolerance build-up

Номер патента: WO2000003416A9. Автор: Gregory W Bores,Michael C Zabka. Владелец: Michael C Zabka. Дата публикации: 2000-12-07.

Thermal-aided inspection by advanced charge controller module in a charged particle system

Номер патента: US12125669B2. Автор: Jian Zhang,Jun Jiang,Ning Ye,Yixiang Wang. Владелец: ASML Netherlands BV. Дата публикации: 2024-10-22.

Systems and methods for uniform gas flow in a deposition chamber

Номер патента: US09852905B2. Автор: Su-Jen Sung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-12-26.

Separating a wafer of light emitting devices

Номер патента: US09773941B2. Автор: Satyanarayana Rao Peddada,Frank Lili WEI. Владелец: Koninklijke Philips NV. Дата публикации: 2017-09-26.

Integrated circuits on a wafer and methods for manufacturing integrated circuits

Номер патента: US09620456B2. Автор: Heimo Scheucher. Владелец: NXP BV. Дата публикации: 2017-04-11.

Method of thinning a wafer to provide a raised peripheral edge

Номер патента: US09378985B2. Автор: Belgacem Haba,Ilyas Mohammed. Владелец: Invensas LLC. Дата публикации: 2016-06-28.

Engine on the hall effect

Номер патента: RU2619389C2. Автор: Ванесса Маржори ВИАЛ,Жоэль МУАЁН. Владелец: Снекма. Дата публикации: 2017-05-15.

Apparatus and method for blocking the deposition of oxide on a wafer

Номер патента: US6120607A. Автор: Kunal N. Taravade. Владелец: LSI Logic Corp. Дата публикации: 2000-09-19.

Automatic wafer mapping in a wet environment on a wafer cleaner

Номер патента: WO2000030160A9. Автор: Robert F Allen,Jack F Nimtz,Ricardo T Jordan. Владелец: Ricardo T Jordan. Дата публикации: 2001-01-04.

Wafer arrangement and method for processing a wafer

Номер патента: US10777444B2. Автор: Francisco Javier Santos Rodriguez,Gerald Lackner,Josef Unterweger. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2020-09-15.

Separating a wafer of light emitting devices

Номер патента: US20170330999A1. Автор: Frank Lili WEI,Rao S. Peddada. Владелец: LUMILEDS LLC. Дата публикации: 2017-11-16.

Method And Apparatus for Uniformly Implanting A Wafer With An Ion Beam

Номер патента: US20110037000A1. Автор: Cheng-Hui Shen,Don Berrian. Владелец: Advanced Ion Beam Technology Inc. Дата публикации: 2011-02-17.

Wafer arrangement and method for processing a wafer

Номер патента: US20180261487A1. Автор: Francisco Javier Santos Rodriguez,Gerald Lackner,Josef Unterweger. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-09-13.

Method of processing a wafer

Номер патента: US11935738B2. Автор: Takashi Okamura,Shigenori Harada. Владелец: Disco Corp. Дата публикации: 2024-03-19.

Method for filling a wafer via with solder

Номер патента: US20180301377A9. Автор: Jeong Han Kim,Chang Woo Lee,Jun Ki Kim,Sehoon Yoo,Young Ki Ko. Владелец: Korea Institute of Industrial Technology KITECH. Дата публикации: 2018-10-18.

Method of manufacturing a wafer

Номер патента: US20070000435A1. Автор: Konstantin Bourdelle,Ian Cayrefourcq,Mark Kennard. Владелец: Soitec SA. Дата публикации: 2007-01-04.

Method of manufacturing a wafer

Номер патента: US7407548B2. Автор: Konstantin Bourdelle,Ian Cayrefourcq,Mark Kennard. Владелец: Soitec SA. Дата публикации: 2008-08-05.

Laser scribe structures for a wafer

Номер патента: US09728509B1. Автор: Anthony K. Stamper,Edward C. Cooney, III,Laurie M. Krywanczyk. Владелец: Globalfoundries Inc. Дата публикации: 2017-08-08.

Apparatus for filling a wafer via with solder

Номер патента: US09603254B2. Автор: Jeong Han Kim,Chang Woo Lee,Jun Ki Kim,Sehoon Yoo,Young Ki Ko. Владелец: Korea Institute of Industrial Technology KITECH. Дата публикации: 2017-03-21.

Boiler and method for controlling combustion in a boiler

Номер патента: RU2723265C1. Автор: Хиук ЧОЙ. Владелец: Киунгдонг Навиен Ко., Лтд.. Дата публикации: 2020-06-09.

System and method for measuring refraction error of eye based on subjective measurement of distance

Номер патента: RU2706372C9. Автор: Офер ЛАЙМОН. Владелец: 6 ОВЕР 6 ВИЖН Лтд. Дата публикации: 2020-08-12.

Method of singulation of dies from a wafer

Номер патента: US20240105514A1. Автор: Kan Wae Lam,Randolph Estal Flauta,Wai Hung William Hor. Владелец: Nexperia BV. Дата публикации: 2024-03-28.

Accommodating device for accommodation and mounting of a wafer

Номер патента: EP2923376A1. Автор: Richard Dalton Peters,Travis Acra,Spencer Hochstetler. Владелец: EV GROUP Inc. Дата публикации: 2015-09-30.

Handling device for handling of a wafer

Номер патента: US20130119688A1. Автор: Thomas Wagenleitner,Martin Schmidbauer,Ingo Brandstätter. Владелец: EV Group GmbH. Дата публикации: 2013-05-16.

Method for processing a wafer, and layer arrangement

Номер патента: US20140061873A1. Автор: Joachim Hirschler,Gudrun Stranzl. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2014-03-06.

Stacked wafer structure and method for stacking a wafer

Номер патента: US20130285215A1. Автор: Yu-Lin Yen,Hsi-Chien Lin,Yeh-Shih Ho. Владелец: XinTec Inc. Дата публикации: 2013-10-31.

Cleaning method and a cleaning device for cleaning an edge portion and back face of a wafer

Номер патента: US20060272676A1. Автор: Kazuhiko Takase,Masao Iwase. Владелец: Individual. Дата публикации: 2006-12-07.

Manufacturing method for a wafer lens module and the structure thereof

Номер патента: US20100073781A1. Автор: Cheng-Te Tseng,Meng-Hsin Kuo,Tzu-Kan Chen,Chia-Hsi Tsai. Владелец: Lite On Technology Corp. Дата публикации: 2010-03-25.

Method of separating semiconductor dies from a wafer

Номер патента: US20040129451A1. Автор: Kurt Wachtler. Владелец: Individual. Дата публикации: 2004-07-08.

Support device for a wafer shipping container

Номер патента: US20010042697A1. Автор: Toshitsugu Yajima,Hiroyuki Funami. Владелец: Shin Etsu Polymer Co Ltd. Дата публикации: 2001-11-22.

Method of forming a stacked-die integrated circuit chip package on a wafer level

Номер патента: WO2001067511A3. Автор: Ken M Lam. Владелец: Atmel Corp. Дата публикации: 2002-02-07.

Method and apparatus for marking an identification mark on a wafer

Номер патента: US20020092836A1. Автор: Kyoung-Shin Park,Sil-Gun Jeong,Hi-Youn Cho,Byeong-Cheol Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-07-18.

Wafer support member and method of manufacturing a wafer support member

Номер патента: US20240145282A1. Автор: Paul Gwin,Peter Davison. Владелец: Intel Corp. Дата публикации: 2024-05-02.

Wafer arrangement and method for processing a wafer

Номер патента: US20160086838A1. Автор: Francisco Javier Santos Rodriguez,Gerald Lackner,Josef Unterweger. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-03-24.

Apparatus for processing a wafer-shaped article

Номер патента: WO2023174750A1. Автор: Christian Fischer,Michael Brugger,Stefan Egger,Michael PUGGL,Clara Theresia KOHLWEISS. Владелец: LAM RESEARCH AG. Дата публикации: 2023-09-21.

Apparatus for processing a wafer-shaped article

Номер патента: EP4295388A1. Автор: Thomas Passegger,Michael Brugger,Burkhart SCHIER,Wolfgang KRAUTZER. Владелец: LAM RESEARCH AG. Дата публикации: 2023-12-27.

Apparatus for processing a wafer-shaped article

Номер патента: WO2022175391A1. Автор: Thomas Passegger,Michael Brugger,Burkhart SCHIER,Wolfgang KRAUTZER. Владелец: LAM RESEARCH AG. Дата публикации: 2022-08-25.

Method of forming an integrated circuit package at a wafer level

Номер патента: EP1279193A2. Автор: Ken M. Lam. Владелец: Atmel Corp. Дата публикации: 2003-01-29.

Method of forming an integrated circuit package at a wafer level

Номер патента: WO2001082361A2. Автор: Ken M. Lam. Владелец: ATMEL CORPORATION. Дата публикации: 2001-11-01.

Method for fabricating electrical bonding pads on a wafer

Номер патента: WO2009027132A1. Автор: Romain Coffy,Jacky Seiller,Gil Provent. Владелец: STMicroelectronics (Grenoble) SAS. Дата публикации: 2009-03-05.

Hall effect sensor with enhanced sensitivity and method for producing the same

Номер патента: US20190097126A1. Автор: Eng Huat Toh,Shyue Seng Tan. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2019-03-28.

Device for holding a wafer-shaped article

Номер патента: US20240290649A1. Автор: Michael Brugger. Владелец: Individual. Дата публикации: 2024-08-29.

Use of protective caps as masks at a wafer scale

Номер патента: EP1356511B1. Автор: Kia Silverbrook. Владелец: SILVERBROOK RESEARCH PTY LTD. Дата публикации: 2009-01-07.

Retaining ring of a wafer carrier

Номер патента: US20020155797A1. Автор: Chao-Yuan Huang,Chia-Ming Kuo. Владелец: Individual. Дата публикации: 2002-10-24.

Method of manufacturing a wafer

Номер патента: US20050188915A1. Автор: Kennard Mark,Konstantin Bourdelle,Ian Cayrefourcq. Владелец: Soitec SA. Дата публикации: 2005-09-01.

Method of separating a wafer of semiconductor devices

Номер патента: US09608016B2. Автор: Stefano Schiaffino,Grigoriy Basin,Jipu Lei,Alexander H. Nickel. Владелец: Koninklijke Philips NV. Дата публикации: 2017-03-28.

Method for heating exhaust gas in a substrate reactor

Номер патента: US6153260A. Автор: David K. Carlson,Paul B. Comita,Rekha Ranganathan,Norma B. Riley,Doria W. Fan. Владелец: Applied Materials Inc. Дата публикации: 2000-11-28.

System and method for measuring refraction error of eye based on subjective measurement of distance

Номер патента: RU2706372C1. Автор: Офер ЛАЙМОН. Владелец: 6 ОВЕР 6 ВИЖН Лтд. Дата публикации: 2019-11-18.

Method for drying a wafer and apparatus for performing the same

Номер патента: US20030233764A1. Автор: Yong-Sun Ko,Kwang-Wook Lee,In-seak Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2003-12-25.

Software to determine the position of the center of a wafer

Номер патента: US5706201A. Автор: J. Randolph Andrews. Владелец: Fortrend Engr Corp. Дата публикации: 1998-01-06.

Method of transferring a wafer

Номер патента: US20110076129A1. Автор: Kuo-Wei Yang,Hui-Shen Shih,Chih-Jen Mao,Cho-Long Lin. Владелец: United Microelectronics Corp. Дата публикации: 2011-03-31.

Method for making elastic bumps from a wafer mold having grooves

Номер патента: US6068801A. Автор: Hjalmar Hesselbom,Peter Bodo. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2000-05-30.

Apparatus for processing a wafer-shaped article

Номер патента: US20230298928A1. Автор: Michael Brugger,Michael Duller,Burkhart SCHIER. Владелец: LAM RESEARCH AG. Дата публикации: 2023-09-21.

Protective coating on trench features of a wafer and method of fabrication thereof

Номер патента: EP3478630A1. Автор: Mikko Va Suvanto. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2019-05-08.

Apparatus for processing a wafer

Номер патента: WO2021170373A1. Автор: Michael Brugger,Michael PUGGL,Christian Putzi. Владелец: LAM RESEARCH AG. Дата публикации: 2021-09-02.

A wafer boat and a method for forming layer on a plurality of substrates

Номер патента: EP4318557A1. Автор: Didem Ernur,Bert Jongbloed,Dieter Pierreux. Владелец: ASM IP Holding BV. Дата публикации: 2024-02-07.

Method of reducing leakage current in a MOS transistor

Номер патента: US20020155668A1. Автор: Chien-Hsing Lin. Владелец: United Microelectronics Corp. Дата публикации: 2002-10-24.

Grinding tool for trapezoid grinding of a wafer

Номер патента: SG187057A1. Автор: Guoqiang David Zhang,Roland Vandamme,Peter D Albrecht. Владелец: Memc Electronic Materials. Дата публикации: 2013-02-28.

Separating a wafer of light emitting devices

Номер патента: US20160260865A1. Автор: Frank Lili WEI,Rao S. Peddada. Владелец: Koninklijke Philips NV. Дата публикации: 2016-09-08.

Integrated circuit package formed at a wafer level

Номер патента: MY135942A. Автор: Ken M Lam. Владелец: Atmel Corp. Дата публикации: 2008-07-31.

Integrated circuit package formed at a wafer level

Номер патента: EP1238427A2. Автор: Ken M. Lam. Владелец: Atmel Corp. Дата публикации: 2002-09-11.

Integrated circuit package formed at a wafer level

Номер патента: WO2001045167A2. Автор: Ken M. Lam. Владелец: ATMEL CORPORATION. Дата публикации: 2001-06-21.

Plasma ignition validation in a plasma-assisted wafer process background

Номер патента: US20230402270A1. Автор: BeomGyu Heo. Владелец: ASM IP Holding BV. Дата публикации: 2023-12-14.

Apparatus for processing a wafer, and method of controlling such an apparatus

Номер патента: EP3915141A1. Автор: Daniel Brien,Alois Goller,Matija PONIKVAR,Pradeep THIRUGNANAM. Владелец: LAM RESEARCH AG. Дата публикации: 2021-12-01.

Apparatus for processing a wafer

Номер патента: US20230360952A1. Автор: Michael Brugger,Michael PUGGL,Christian Putzi. Владелец: LAM RESEARCH AG. Дата публикации: 2023-11-09.

Apparatus for processing a wafer

Номер патента: EP4111493A1. Автор: Michael Brugger,Michael PUGGL,Christian Putzi. Владелец: LAM RESEARCH AG. Дата публикации: 2023-01-04.

Method for Increasing Pattern Density on a Wafer

Номер патента: US20210035815A1. Автор: Anton J. deVilliers,Daniel Fulford,Sanjana Das. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-02-04.

Apparatus and method for holding a wafer

Номер патента: US8917489B2. Автор: Emily Shu. Владелец: Semiconductor Manufacturing International Corp. Дата публикации: 2014-12-23.

Grinding tool for trapezoid grinding of a wafer

Номер патента: EP2598286A2. Автор: Peter D. Albrecht,Guoqiang David Zhang,Roland Vandamme. Владелец: SunEdison Inc. Дата публикации: 2013-06-05.

Apparatus and method for holding a wafer

Номер патента: US20130100572A1. Автор: Emily Shu. Владелец: Semiconductor Manufacturing International Corp. Дата публикации: 2013-04-25.

Method for printing solder onto a wafer and system thereof

Номер патента: US20220216170A1. Автор: Boon Seng Teoh. Владелец: Inari Technology Sdn Bhd. Дата публикации: 2022-07-07.

Method of thermally treating a wafer and method of fabricating a semiconductor device using the same

Номер патента: US20060154427A1. Автор: Jun-Seuck Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-07-13.

Reducing phase imbalance in a polyphase electrical system

Номер патента: WO2024056999A1. Автор: Seif Aleslam Ibrahim Mahmoud AHMED,Finn Edward CORMACK,Christopher Douglas HORNE. Владелец: Myenergi Ltd. Дата публикации: 2024-03-21.

Determining a flow in a wastewater system

Номер патента: EP1558897A1. Автор: Marinus Kristian Nielsen,Mette LINDSTRÖM. Владелец: Waste Water Control ApS. Дата публикации: 2005-08-03.

Distribution of dynamic accuracy information in a network of ieee 1588 clocks

Номер патента: EP3202062A1. Автор: Peter Roberts,Kin Yee Wong,Ian Leighton. Владелец: Alcatel Lucent SAS. Дата публикации: 2017-08-09.

Method and apparatus for fast detection of locations of path rays in a rake receiver

Номер патента: EP1260032A1. Автор: Roozbeh Atarius,Erik DAHLBÄCK. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2002-11-27.

Arrangement and method in a base station

Номер патента: WO1996042143A1. Автор: Ingemar Sohlman,Tommy Stenvi. Владелец: Telefonaktiebolaget lM Ericsson (publ). Дата публикации: 1996-12-27.

Dynamic configuration of routing paths in a data network

Номер патента: EP3384641A1. Автор: Giovanni Caire,Danilo Gotta,Carlo Cinato. Владелец: Telecom Italia SpA. Дата публикации: 2018-10-10.

A method for the estimation of the disturbances in a speed observer of an induction motor

Номер патента: WO2009154491A1. Автор: Zbigniew Krzeminski. Владелец: Politechnika Gdanska. Дата публикации: 2009-12-23.

Exhaustive measurement of delays of out of order network packets

Номер патента: EP4218165A1. Автор: Zion Gal,Rami Zecharia. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-08-02.

Method and system for managing wireless connectivity in a communication system

Номер патента: US09986475B2. Автор: Tony Wong,Thomas Keathley,Zahi Kapeluto. Владелец: Interwise Ltd. Дата публикации: 2018-05-29.

Method and system for managing wireless connectivity in a communication system

Номер патента: US09763148B2. Автор: Tony Wong,Thomas Keathley,Zahi Kapeluto. Владелец: Interwise Ltd. Дата публикации: 2017-09-12.

User equipment and method in a communications network

Номер патента: US09756566B2. Автор: Muhammad Kazmi,Thomas Chapman. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2017-09-05.

Systems and methods for wheel slip control in a vehicle

Номер патента: US09499142B2. Автор: John P. Joyce,Thomas Svensson. Владелец: FORD GLOBAL TECHNOLOGIES LLC. Дата публикации: 2016-11-22.

Apparatus and method for testing network cabling in a communication network

Номер патента: US12107751B2. Автор: Wayne S. Hoofnagle,J. David Schell,Jamie M. Martin. Владелец: Fluke Corp. Дата публикации: 2024-10-01.

Performing measurements in a digital cellular wireless telecommunication network

Номер патента: US09451486B2. Автор: Edgar Kuehn,André Fonseca Dos Santos. Владелец: Alcatel Lucent SAS. Дата публикации: 2016-09-20.

Method for indirect measurement of the phase delay of a RF-PWM modulator

Номер патента: US09426005B1. Автор: David Seebacher,Kostas Galanopoulos. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-08-23.

Priority method of identification and measurement of cells

Номер патента: RU2649309C1. Автор: Жуй ХУАН,Ян ТАН,Кэнди ИУ. Владелец: ИНТЕЛ АйПи КОРПОРЕЙШН. Дата публикации: 2018-04-02.

Method and device for removing a thin film from a wafer backside surface

Номер патента: US5605602A. Автор: Damon K. DeBusk. Владелец: Advanced Micro Devices Inc. Дата публикации: 1997-02-25.

Method for cutting a wafer hard to cut

Номер патента: CA2105236C. Автор: Takao Nakamura. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 1997-04-22.

A wafer-type tumbler cylinder and key

Номер патента: EP1995401A1. Автор: Cheng-Ju Yang. Владелец: Individual. Дата публикации: 2008-11-26.

Protective coating on trench features of a wafer and method of fabrication thereof

Номер патента: WO2018002010A1. Автор: Mikko Va Suvanto. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2018-01-04.

Laser beam processing method for a wafer

Номер патента: US20120309168A1. Автор: Tomohiro Endo. Владелец: Disco Corp. Дата публикации: 2012-12-06.

Method of aligning structures on opposite sides of a wafer

Номер патента: EP1285879A3. Автор: Luc Ouellet. Владелец: Dalsa Semiconductor Inc. Дата публикации: 2005-02-09.

Grinding tool for trapezoid grinding of a wafer

Номер патента: WO2012014137A3. Автор: Peter D. Albrecht,Guoqiang David Zhang,Roland Vandamme. Владелец: MEMC ELECTRONIC MATERIALS, INC.. Дата публикации: 2012-03-22.

Separating a wafer of light emitting devices

Номер патента: EP3063794A1. Автор: Frank Lili WEI,Rao S. Peddada. Владелец: Koninklijke Philips NV. Дата публикации: 2016-09-07.

Apparatus and method for treating a wafer of semiconductor material

Номер патента: WO1994001597A1. Автор: Guy Jean Jacques Brasseur. Владелец: Cobrain N.V.. Дата публикации: 1994-01-20.

Method and carrier element for producing a wafer layer

Номер патента: US20220406590A1. Автор: Stefan Reber,Kai Schillinger,Nena MILENKOVIC. Владелец: NexWafe GmbH. Дата публикации: 2022-12-22.

Cooling and power delivery for a wafer level computing board

Номер патента: US20170178986A1. Автор: Arvind Kumar,Kamal K. Sikka,Babar A. Khan. Владелец: International Business Machines Corp. Дата публикации: 2017-06-22.

Method for construction, strengthening and homogenization of a wafer

Номер патента: US20120058713A1. Автор: Shura LEE. Владелец: Individual. Дата публикации: 2012-03-08.

Apparatus for processing a wafer, and method of controlling such an apparatus

Номер патента: WO2020151932A1. Автор: Daniel Brien,Alois Goller,Matija PONIKVAR,Pradeep THIRUGNANAM. Владелец: LAM RESEARCH AG. Дата публикации: 2020-07-30.

Apparatus for processing a wafer, and method of controlling such an apparatus

Номер патента: EP3921863A1. Автор: Stefan Koch,Martin KLEINDIENST. Владелец: LAM RESEARCH AG. Дата публикации: 2021-12-15.

Systems and methods for radio channel parameter optimization in a wireless network

Номер патента: US12035289B2. Автор: Rakesh Bakshi. Владелец: VERIZON PATENT AND LICENSING INC. Дата публикации: 2024-07-09.

Inhibiting the champagne effect in hydrostatically compensated caes systems

Номер патента: CA3216425A1. Автор: David Brown,Davin YOUNG,Craig Branch. Владелец: Hydrostor Inc. Дата публикации: 2022-11-03.

Inhibiting the champagne effect in hydrostatically compensated caes systems

Номер патента: AU2022265742A1. Автор: David Brown,Davin YOUNG,Craig Branch. Владелец: Hydrostor Inc. Дата публикации: 2023-11-30.

Measurement of lte cell attach delay

Номер патента: US20120184264A1. Автор: Brendan Hassett. Владелец: Individual. Дата публикации: 2012-07-19.

Measurement of the concentration of a paramagnetic gas in a gaseous mixture

Номер патента: CA703203A. Автор: L. Waters James. Владелец: Mine Safety Appliances Co. Дата публикации: 1965-02-02.

APPARATUS FOR THE MEASUREMENT OF A CONCENTRATION OF A CHARGED SPECIES IN A SAMPLE

Номер патента: US20120024706A1. Автор: . Владелец: . Дата публикации: 2012-02-02.

MEASUREMENT OF INSULATION RESISTANCE OF CONFIGURABLE PHOTOVOLTAIC PANELS IN A PHOTOVOLTAIC ARRAY

Номер патента: US20120223734A1. Автор: . Владелец: . Дата публикации: 2012-09-06.

DEVICE AND METHOD FOR STRIPPING A WAFER FROM A CARRIER

Номер патента: US20120000613A1. Автор: Thallner Erich. Владелец: . Дата публикации: 2012-01-05.

APPARATUS FOR CALIBRATED NON-INVASIVE MEASUREMENT OF ELECTRICAL CURRENT

Номер патента: US20120001617A1. Автор: Reynolds Brett S.. Владелец: . Дата публикации: 2012-01-05.

ARRANGEMENT FOR SENSING WEIGHT OF AN OCCUPYING ITEM IN A VEHICULAR SEAT

Номер патента: US20120001463A1. Автор: Breed David S.,Johnson Wendell C.,DuVall Wilbur E.. Владелец: . Дата публикации: 2012-01-05.

Macrophage-Enhanced MRI (MEMRI) in a Single Imaging Session

Номер патента: US20120003160A1. Автор: Wolf Gerald L.,Lewis Jerome M.. Владелец: AMAG PHARMACEUTICALS, INC.. Дата публикации: 2012-01-05.

METHODS FOR ASSESSING RISK OF ALZHEIMER'S DISEASE IN A PATIENT

Номер патента: US20120003649A1. Автор: Atwood Craig S.. Владелец: WISCONSIN ALUMNI RESEARCH FOUNDATION. Дата публикации: 2012-01-05.

DIRECT IDENTIFICATION AND MEASUREMENT OF RELATIVE POPULATIONS OF MICROORGANISMS WITH DIRECT DNA SEQUENCING AND PROBABILISTIC METHODS

Номер патента: US20120004111A1. Автор: . Владелец: CosmosID Inc.. Дата публикации: 2012-01-05.

TECHNIQUES FOR COMPENSATING MOVEMENT OF A TREATMENT TARGET IN A PATIENT

Номер патента: US20120004518A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHODS AND SYSTEMS FOR TREATMENT OF TISSUE IN A BODY LUMEN

Номер патента: US20120004656A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEAMLESS FRACTURE IN A PRODUCTION PIPELINE

Номер патента: US20120001909A1. Автор: Garg Akash,Maxwell Kyle,Lipton David. Владелец: DreamWorks Animation LLC. Дата публикации: 2012-01-05.

Method of objective measurement of emotional attitudes

Номер патента: RU2594977C2. Автор: Петр Всеводолович Янышин. Владелец: Яньшин Петр Всеволодович. Дата публикации: 2016-08-20.

METHOD AND DEVICE FOR ACTIVELY SUPPRESSING PRESSURE OSCILLATIONS IN A HYDRAULIC SYSTEM

Номер патента: US20120000543A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Measuring Mechanism in a Bore Hole of a Pointed Cutting Element

Номер патента: US20120000707A1. Автор: Morris Thomas,Hall David R.,Crockett Ronald B.. Владелец: . Дата публикации: 2012-01-05.

Method for Modifying the Concentration of Reactants in a Microfluidic Device

Номер патента: US20120000778A1. Автор: PARK CHARLES,KAZAKOVA IRINA. Владелец: Caliper Life Sciences, Inc.. Дата публикации: 2012-01-05.

Apparatus, System, and Method for Increasing Measurement Accuracy in a Particle Imaging Device

Номер патента: US20120002194A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method for Determining an Analyte in a Sample

Номер патента: US20120002207A1. Автор: Lagae Liesbet,De Vlaminck Iwijn,Van Dorpe Pol. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR COMMUNICATING IN A MIMO NETWORK

Номер патента: US20120002612A1. Автор: Baker Matthew Peter John,Moulsley Timothy James,Tesanovic Milos. Владелец: . Дата публикации: 2012-01-05.

Process for Finger Insertion and Removal in a Rake Receiver and Receiver for Carrying Out The Process

Номер патента: US20120002769A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ASSEMBLY AND METHOD FOR DETECTING AND MEASURING THE FOULING RATEOF FLOW HOLES IN A SECONDARY CIRCUIT OF A PRESSURIZED WATER NUCLEAR REACTOR

Номер патента: US20120002775A1. Автор: . Владелец: AREVA NP. Дата публикации: 2012-01-05.

Improvements relating to the Measurement of the Magnetic Properties of Iron and Steel.

Номер патента: GB190011101A. Автор: Frederick William Le Tall. Владелец: Individual. Дата публикации: 1901-05-18.

Improved Apparatus for the Reproduction and Measurement of Human Foot.

Номер патента: GB190728656A. Автор: Franciszek Zielinski. Владелец: Individual. Дата публикации: 1908-09-03.

Improved Tool for the Measurement of Exact Dimensions.

Номер патента: GB190827250A. Автор: Robert Conrad. Владелец: Individual. Дата публикации: 1909-12-15.