Retaining ring of a wafer carrier

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Wafer carrier for cmp system

Номер патента: WO2002026444A1. Автор: Yehiel Gotkis. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2002-04-04.

A wafer carrier assembly for chem-mech polishing

Номер патента: MY115584A. Автор: Thomas R Fisher,Carol E Gustafson,Michael F Lofaro. Владелец: Ibm. Дата публикации: 2003-07-31.

Method of lapping a wafer

Номер патента: GB2308010A. Автор: Tomotake Morita. Владелец: NEC Corp. Дата публикации: 1997-06-11.

Wafer carrier with groove for decoupling retainer ring from wafer

Номер патента: EP1294537A1. Автор: Yehiel Gotkis,Aleksander A. Owczarz. Владелец: Lam Research Corp. Дата публикации: 2003-03-26.

Wafer carrier for semiconductor wafer polishing machine

Номер патента: WO1999004930A1. Автор: John Natalicio. Владелец: Speedfam-Ipec Corporation. Дата публикации: 1999-02-04.

Wafer carrier for semiconductor wafer polishing machine

Номер патента: MY116260A. Автор: Natalicio John. Владелец: Speedfam IPEC Corp. Дата публикации: 2003-12-31.

Retaining ring for wafer carriers

Номер патента: US20050164617A1. Автор: Larry Spiegel. Владелец: Strasbaugh Inc. Дата публикации: 2005-07-28.

Improved retaining ring for wafer carriers

Номер патента: EP1678745A2. Автор: Larry A. Spiegel. Владелец: Strasbaugh Inc. Дата публикации: 2006-07-12.

Improved retaining ring for wafer carriers

Номер патента: EP1678745A4. Автор: Larry A Spiegel. Владелец: Strasbaugh Inc. Дата публикации: 2009-11-04.

Retaining ring for wafer carriers

Номер патента: US20050075062A1. Автор: Larry Spiegel. Владелец: Strasbaugh Inc. Дата публикации: 2005-04-07.

Improved retaining ring for wafer carriers

Номер патента: WO2005036605A2. Автор: Larry A. Spiegel. Владелец: STRASBAUGH. Дата публикации: 2005-04-21.

Retaining ring for use in chemical mechanical polishing and CMP apparatus having the same

Номер патента: US11717933B2. Автор: Yong-Seok Ro. Владелец: Xia Tai Xin Semiconductor Qing Dao Ltd. Дата публикации: 2023-08-08.

CMP head structure with retaining ring

Номер патента: US09511474B2. Автор: Wei Lu,Alex See,Benfu Lin. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2016-12-06.

Retainer ring of cmp machine

Номер патента: WO2009048234A3. Автор: Sang-Man Cho. Владелец: Sam Cheon Co Ltd. Дата публикации: 2009-07-02.

CMP head structure with retaining ring

Номер патента: US09511470B2. Автор: Lei Wang,Wei Lu,Alex See,Benfu Lin,Xuesong Rao. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2016-12-06.

Retaining ring design

Номер патента: US20190099857A1. Автор: Huanbo Zhang,Brian J. Brown,Steven M. Zuniga,Andrew Nagengast,Jeonghoon Oh,Charles C. Garretson,Eric Lau,Edwin C. Suarez. Владелец: Applied Materials Inc. Дата публикации: 2019-04-04.

Retainer ring, polish apparatus, and polish method

Номер патента: US20150183082A1. Автор: Dai Fukushima,Takashi Watanabe,Jun Takayasu. Владелец: Toshiba Corp. Дата публикации: 2015-07-02.

Retainer ring, polish apparatus, and polish method

Номер патента: US09539696B2. Автор: Dai Fukushima,Takashi Watanabe,Jun Takayasu. Владелец: Toshiba Corp. Дата публикации: 2017-01-10.

Retaining ring with trigger for chemical mechanical polishing apparatus

Номер патента: US20050014452A1. Автор: Peter Khuu. Владелец: Khuus Inc. Дата публикации: 2005-01-20.

Corrosion resistant retaining rings

Номер патента: US09744640B2. Автор: Yongqi Hu,Simon Yavelberg,Gangadhar SHEELAVANT. Владелец: Applied Materials Inc. Дата публикации: 2017-08-29.

Retaining ring for holding semiconductor wafers in a chemical mechanical polishing apparatus

Номер патента: US20040261945A1. Автор: Wilfried Ensinger. Владелец: Ensinger Kunststofftechnologie GbR. Дата публикации: 2004-12-30.

Retainer ring for chemical-mechanical polishing device

Номер патента: US20160158910A1. Автор: Jae-Bok Lee,Jun-Je LEE. Владелец: Will Be S and T Co Ltd. Дата публикации: 2016-06-09.

Polishing head of a chemical and mechanical polishing apparatus for polishing a wafer

Номер патента: US6517421B2. Автор: Choul-Gue Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2003-02-11.

Retainer ring for chemical-mechanical polishing device

Номер патента: US09827647B2. Автор: Jae-Bok Lee,Jun-Je LEE. Владелец: Will Be S and T Co Ltd. Дата публикации: 2017-11-28.

Retainer ring, chemical mechanical polishing apparatus, and substrate polishing method

Номер патента: US20240091902A1. Автор: Younghun Kim,Sangyun Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-03-21.

Substrate retaining ring

Номер патента: US6116992A. Автор: John Prince. Владелец: Applied Materials Inc. Дата публикации: 2000-09-12.

Retaining ring for chemical mechanical polishing

Номер патента: US8556684B2. Автор: William B. Sather,Adam W. Manzonie. Владелец: SPM Technology Inc. Дата публикации: 2013-10-15.

Dual loading retaining ring

Номер патента: WO2022177726A1. Автор: Steven M. Zuniga,Andrew Nagengast. Владелец: Applied Materials, Inc.. Дата публикации: 2022-08-25.

Dual loading retaining ring

Номер патента: EP4294599A1. Автор: Steven M. Zuniga,Andrew Nagengast. Владелец: Applied Materials Inc. Дата публикации: 2023-12-27.

Interlocked stepped retaining ring

Номер патента: WO2023003625A1. Автор: Basavaraja Shankarappa KENGUNTI,Garrett Ho Yee Sin,Thomas Lawrence Terry. Владелец: Applied Materials, Inc.. Дата публикации: 2023-01-26.

Retaining ring with selected stiffness and thickness

Номер патента: US09492905B2. Автор: HUNG Chih Chen,Samuel Chu-Chiang Hsu,Gautam Shashank Dandavate. Владелец: Applied Materials Inc. Дата публикации: 2016-11-15.

Retainer ring used in chemical mechanical polishing apparatus

Номер патента: KR102510720B1. Автор: 송성훈,송종석. Владелец: 피코맥스(주). Дата публикации: 2023-03-16.

Retainer ring used in polishing apparatus

Номер патента: KR20230038451A. Автор: 송성훈,송종석. Владелец: 피코맥스(주). Дата публикации: 2023-03-20.

Retaining ring for use in chemical mechanical polishing

Номер патента: US6821192B1. Автор: Timothy J. Donohue. Владелец: Applied Materials Inc. Дата публикации: 2004-11-23.

Top ring of polishing apparatus and polishing apparatus

Номер патента: US20240316722A1. Автор: Makoto Kashiwagi. Владелец: Ebara Corp. Дата публикации: 2024-09-26.

Wafer carrier structure for chemical-mechanical polisher

Номер патента: US20020173253A1. Автор: Chi-Feng Cheng. Владелец: Macronix International Co Ltd. Дата публикации: 2002-11-21.

Apparatus and method for mounting a wafer in a polishing machine

Номер патента: US20020068512A1. Автор: Hsien-Shu Tsai,Yi-Sen Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2002-06-06.

Detecting the presence of a workpiece relative to a carrier head

Номер патента: WO2009088609A1. Автор: Toru Akasako. Владелец: NOVELLUS SYSTEMS, INC.. Дата публикации: 2009-07-16.

Method of transferring a wafer

Номер патента: US20110076129A1. Автор: Kuo-Wei Yang,Hui-Shen Shih,Chih-Jen Mao,Cho-Long Lin. Владелец: United Microelectronics Corp. Дата публикации: 2011-03-31.

Method for the local polishing of a semiconductor wafer

Номер патента: US09533394B2. Автор: Juergen Schwandner. Владелец: SILTRONIC AG. Дата публикации: 2017-01-03.

Method and apparatus for monitoring a polishing condition of a surface of a wafer in a polishing process

Номер патента: US20040002289A1. Автор: Karl Mautz. Владелец: Individual. Дата публикации: 2004-01-01.

Method and structure for polishing a wafer during manufacture of integrated circuits

Номер патента: WO1997037813A1. Автор: Michael A. Leach. Владелец: Leach Michael A. Дата публикации: 1997-10-16.

Wafer carrier assembly

Номер патента: US20200118842A1. Автор: Hsun-Chung KUANG,Ming-Tung Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-16.

Carrier head having abrasive structure on retainer ring

Номер патента: US12011803B2. Автор: Chang-Sheng Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-18.

Carrier head having abrasive structure on retainer ring

Номер патента: US09604340B2. Автор: Chang-Sheng Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-03-28.

Polishing apparatus, polishing head, and retainer ring

Номер патента: US20160368115A1. Автор: Hozumi Yasuda,Osamu Nabeya,Makoto Fukushima. Владелец: Ebara Corp. Дата публикации: 2016-12-22.

Coated retaining ring

Номер патента: US09731399B2. Автор: Simon Yavelberg,Jeonghoon Oh,Daniel L. Martin. Владелец: Applied Materials Inc. Дата публикации: 2017-08-15.

Method for processing a wafer and wafer

Номер патента: US20240139902A1. Автор: Yasuki Yoshida,Ryo TAGA. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-05-02.

Changing local compressibility of a wafer support member

Номер патента: WO2002015237B1. Автор: Diane B Scott. Владелец: Rodel Inc. Дата публикации: 2002-06-27.

Changing local compressibility of a wafer support member

Номер патента: WO2002015237A2. Автор: Diane B. Scott. Владелец: Rodel Holdings, Inc.. Дата публикации: 2002-02-21.

Stepped retaining ring

Номер патента: US09694470B2. Автор: Steven M. Zuniga,Shaun Van Der Veen. Владелец: Applied Materials Inc. Дата публикации: 2017-07-04.

Stepped retaining ring

Номер патента: US11958164B2. Автор: Steven M. Zuniga,Shaun Van Der Veen. Владелец: Applied Materials Inc. Дата публикации: 2024-04-16.

Measuring alignment between a wafer chuck and polishing/plating receptacle

Номер патента: WO2004053942A3. Автор: HUI Wang,Voha Nuch. Владелец: Voha Nuch. Дата публикации: 2006-12-07.

Method and apparatus for sensing a wafer in a carrier

Номер патента: WO2003021641A2. Автор: John D. Herb,Stephen C. Schultz,Ned W. Teeny. Владелец: Speedfam-Ipec Corporation. Дата публикации: 2003-03-13.

Grinding tool for trapezoid grinding of a wafer

Номер патента: SG187057A1. Автор: Guoqiang David Zhang,Roland Vandamme,Peter D Albrecht. Владелец: Memc Electronic Materials. Дата публикации: 2013-02-28.

Grinding tool for trapezoid grinding of a wafer

Номер патента: WO2012014137A3. Автор: Peter D. Albrecht,Guoqiang David Zhang,Roland Vandamme. Владелец: MEMC ELECTRONIC MATERIALS, INC.. Дата публикации: 2012-03-22.

Grinding tool for trapezoid grinding of a wafer

Номер патента: EP2598286A2. Автор: Peter D. Albrecht,Guoqiang David Zhang,Roland Vandamme. Владелец: SunEdison Inc. Дата публикации: 2013-06-05.

Method and apparatus for sensing a wafer in a carrier

Номер патента: US20030045205A1. Автор: Stephen Schultz,John Herb,Ned Teeny. Владелец: Individual. Дата публикации: 2003-03-06.

A wafer polishing method

Номер патента: GB2335874A. Автор: Mikio Nakamura,Takahiro Kida. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 1999-10-06.

Method and apparatus for creating a groove in a collector ring of an electrical device

Номер патента: US20020177389A1. Автор: David Cutsforth. Владелец: Cutsforth Products Inc. Дата публикации: 2002-11-28.

Method of working hub ring of wheel bearing assembly

Номер патента: US20020023350A1. Автор: Ito Eiji,Yutaka Yamauchi,Nobuhiko Tsuji,Keiichi Sugino. Владелец: NTN Corp. Дата публикации: 2002-02-28.

Apparatus for sensing the presence of a wafer

Номер патента: EP1115643A1. Автор: Bill Kalenian,Terry L. Lentz. Владелец: Strasbaugh Inc. Дата публикации: 2001-07-18.

Apparatus for sensing the presence of a wafer

Номер патента: WO2000006352A1. Автор: Bill Kalenian,Terry L. Lentz. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2000-02-10.

Apparatus for sensing the presence of a wafer

Номер патента: EP1115643A4. Автор: Bill Kalenian,Terry L Lentz. Владелец: Lam Research Corp. Дата публикации: 2006-10-04.

Accurate positioning of a wafer

Номер патента: EP1084001A1. Автор: Michael R. Vogtmann,Terry L. Lentz. Владелец: Strasbaugh Inc. Дата публикации: 2001-03-21.

Accurate positioning of a wafer

Номер патента: EP1084001A4. Автор: Michael R Vogtmann,Terry L Lentz. Владелец: Strasbaugh Inc. Дата публикации: 2001-04-18.

Combination of a cap for a container and a neck of the container

Номер патента: EP4234432A2. Автор: Alessandro Falzoni,Vittorio Bassi. Владелец: Sacmi Imola Sc. Дата публикации: 2023-08-30.

Cap for a container, and a combination of a cap and of a container neck

Номер патента: US11738911B2. Автор: Alessandro Falzoni. Владелец: Sacmi Imola Sc. Дата публикации: 2023-08-29.

Retaining ring for mouthpieces attached to diving apparatus

Номер патента: US20150059737A1. Автор: Philippe Frasca,Zachary Alexander Hollis,Michael John Gunning. Владелец: American Underwater Products Inc. Дата публикации: 2015-03-05.

Method and tool for installation of retainer ring

Номер патента: US20160263735A1. Автор: Jose Abel Treviño Cotilla,Juan Felipe Grimaldo Perez,Jose Ricardo Ramirez Gordillo. Владелец: Caterpillar Inc. Дата публикации: 2016-09-15.

Method and tool for installation of retainer ring

Номер патента: US09908225B2. Автор: Jose Abel Treviño Cotilla,Juan Felipe Grimaldo Perez,Jose Ricardo Ramirez Gordillo. Владелец: Caterpillar Inc. Дата публикации: 2018-03-06.

Retaining ring removing tool

Номер патента: RU2375167C2. Автор: Клайв Грэм ЭНДЖЕЛ. Владелец: Инбев С.А.. Дата публикации: 2009-12-10.

Wheel, wheel cover and retaining ring assembly

Номер патента: US3601449A. Автор: Herbert Buerger. Владелец: Del Krome Corp. Дата публикации: 1971-08-24.

Method for mapping wafers in a wafer carrier

Номер патента: US11996308B2. Автор: Lee-Chuan Tseng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-28.

Method for mapping wafers in a wafer carrier

Номер патента: US20240266196A1. Автор: Lee-Chuan Tseng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-08.

Device for installing retaining ring on shaft

Номер патента: US20220168857A1. Автор: Guanjun Jin,Yongtao Yang. Владелец: Hengdian Group Innuovo Electric Co Ltd. Дата публикации: 2022-06-02.

Device for installing retaining ring on shaft

Номер патента: US12064841B2. Автор: Guanjun Jin,Yongtao Yang. Владелец: Hengdian Group Innuovo Electric Co Ltd. Дата публикации: 2024-08-20.

Wire retaining ring for a welding system

Номер патента: US09873587B2. Автор: Paul A. Weissbrod,Herbert Matthews, III. Владелец: Lincoln Global Inc. Дата публикации: 2018-01-23.

Tank assembly with retaining ring

Номер патента: US09694673B2. Автор: David Grant,Carson Beauchaine,Jonathan P. Sussman. Владелец: Nissan North America Inc. Дата публикации: 2017-07-04.

Method of applying retainer rings from interconnected retainer ring supply

Номер патента: US3846900A. Автор: D Weglage. Владелец: Becker W. Дата публикации: 1974-11-12.

Shaft retaining ring and image forming apparatus

Номер патента: US20200217369A1. Автор: Yoshimasu Yamaguchi,Hiroshi Hiura. Владелец: Canon Inc. Дата публикации: 2020-07-09.

Combination of a cap for a container and a neck of the container

Номер патента: EP4234432A3. Автор: Alessandro Falzoni,Vittorio Bassi. Владелец: Sacmi Imola Sc. Дата публикации: 2023-10-18.

Improvements in or relating to devices for dispensing open retaining rings and applying them to grooves in work pieces

Номер патента: GB879895A. Автор: . Владелец: Individual. Дата публикации: 1961-10-11.

A cap for a container, and a combination of a cap and of a container neck

Номер патента: EP3976487A1. Автор: Alessandro Falzoni. Владелец: Sacmi Imola Sc. Дата публикации: 2022-04-06.

Double-headed retaining ring pliers

Номер патента: US20240326208A1. Автор: Feng Xue. Владелец: Jiangsu Sainty Sumex Tools Corp Ltd. Дата публикации: 2024-10-03.

Combination of a cap for a container and a neck of the container

Номер патента: ZA202102054B. Автор: Falzoni Alessandro,BASSI VITTORIO. Владелец: Sacmi. Дата публикации: 2023-10-25.

Method and means for securing the tool of a press to its holder

Номер патента: GB847403A. Автор: Peter Singleton. Владелец: Loewy Engineering Co Ltd. Дата публикации: 1960-09-07.

Pliers-operated retaining-ring dispensers

Номер патента: US3623635A. Автор: Hans Erdmann. Владелец: Waldes Kohinoor Inc. Дата публикации: 1971-11-30.

Coupling retaining ring.

Номер патента: GB2346097A. Автор: Roger L Deyoung. Владелец: Campbell Hausfeld Scott Fetzer Co. Дата публикации: 2000-08-02.

Locking retainer ring for a shaft assembly

Номер патента: EP4022193A1. Автор: Benson Hall,Jr. Mitchell G. Pansano,Steuart Turner. Владелец: Laitram LLC. Дата публикации: 2022-07-06.

Retaining ring installation tool

Номер патента: US20020078547A1. Автор: Jonathan Cotter. Владелец: Diebolt International Inc. Дата публикации: 2002-06-27.

Spray gun having indexing air cap with quick release retaining ring

Номер патента: US20030052190A1. Автор: Mark Ulrich,Michael Reget,John Sweazey. Владелец: GRACO MINNESOTA INC. Дата публикации: 2003-03-20.

Retaining ring removal and installation tool

Номер патента: US5301407A. Автор: David D. Koenig. Владелец: Masco Building Products Corp. Дата публикации: 1994-04-12.

Locking configuration for a wheel rim flange retaining ring

Номер патента: US4552194A. Автор: Richard P. Brown,Harold E. Correll. Владелец: Goodyear Aerospace Corp. Дата публикации: 1985-11-12.

Retaining ring anti-migration system and method

Номер патента: CA3054949C. Автор: Joshua Wayne Webster,Wesley John Nowitzki,Jason Eugene HILL. Владелец: Halliburton Energy Services Inc. Дата публикации: 2022-02-22.

Retaining ring for a centrifuge

Номер патента: US20240009684A1. Автор: Benoit Jean LIMON. Владелец: Thermo Electron SAS. Дата публикации: 2024-01-11.

Retaining ring retention system and method

Номер патента: US09933005B2. Автор: Michael E. Kahl. Владелец: VOLVO LASTVAGNAR AB. Дата публикации: 2018-04-03.

Apparatus for compressing a retainer ring to assembly size and retainer ring compressed thereby

Номер патента: US4454642A. Автор: Deryll Liechty. Владелец: Ex-Cell-O Corp. Дата публикации: 1984-06-19.

Retaining Ring

Номер патента: US20190154171A1. Автор: Jody Massey. Владелец: Individual. Дата публикации: 2019-05-23.

Beveled retaining ring and method for constructing the same

Номер патента: US4184242A. Автор: John A. Petrie. Владелец: Individual. Дата публикации: 1980-01-22.

Improved dauber with locked in retaining ring

Номер патента: CA1086262A. Автор: John R. Baginski,William H. Goodpastor. Владелец: Procter and Gamble Co. Дата публикации: 1980-09-23.

Socket retaining ring

Номер патента: US4266453A. Автор: D. Gray Farley. Владелец: Individual. Дата публикации: 1981-05-12.

Wafer carrier, wafer access device and wafer carrier and access assembly having the same

Номер патента: US11817342B2. Автор: Shih Feng PAN. Владелец: Dly Technologies Inc. Дата публикации: 2023-11-14.

Wire retaining ring for endless bulk mig wire boxes

Номер патента: WO2013076553A1. Автор: Herbert H. MATHEWS, III. Владелец: LINCOLN GLOBAL, INC.. Дата публикации: 2013-05-30.

Wafer carrier, wafer access device and wafer carrier and access assembly having the same

Номер патента: US20230128509A1. Автор: Shih Feng PAN. Владелец: Dly Technologies Inc. Дата публикации: 2023-04-27.

Method of processing a wafer

Номер патента: US20230298881A1. Автор: Takashi Okamura,Shigenori Harada. Владелец: Disco Corp. Дата публикации: 2023-09-21.

Closure with retaining ring and tamper evidence band

Номер патента: EP4334220A1. Автор: Stephan Scherer,Jaroslav HABICH,Thomas ARA. Владелец: Husky Injection Molding Systems Luxembourg IP Development SARL. Дата публикации: 2024-03-13.

Closure with retaining ring and tamper evidence band

Номер патента: CA3215770A1. Автор: Stephan Scherer,Jaroslav HABICH,Thomas ARA. Владелец: HUSKY INJECTION MOLDING SYSTEMS LTD. Дата публикации: 2022-11-10.

Closure with retaining ring and tamper evidence band

Номер патента: US20240208701A1. Автор: Stephan Scherer,Jaroslav HABICH,Thomas ARA. Владелец: HUSKY INJECTION MOLDING SYSTEMS LTD. Дата публикации: 2024-06-27.

Process for minimizing chipping when separating mems dies on a wafer

Номер патента: EP2567401A1. Автор: Javed Hussain,Roger Horton. Владелец: S3C Inc. Дата публикации: 2013-03-13.

Mechanism for valve gated injection molding with resilient retaining ring

Номер патента: CA1252971A. Автор: Robert M. Butcher. Владелец: Mold Masters 2007 Ltd. Дата публикации: 1989-04-25.

Air conditioning compressor retaining ring remover tool

Номер патента: US4563804A. Автор: Thomas W. Alesi. Владелец: DRAF IND Inc. Дата публикации: 1986-01-14.

Retaining Ring

Номер патента: US20220154754A1. Автор: Stephan HOELKE,Jan Steiger. Владелец: Seeger Orbis GmbH. Дата публикации: 2022-05-19.

Single wafer cleaning method to reduce particle defects on a wafer surface

Номер патента: US7341065B2. Автор: Steven Verhaverbeke,Christopher Laurent Beaudry. Владелец: Applied Materials Inc. Дата публикации: 2008-03-11.

Retainer Ring for Wire Package

Номер патента: AU2004201850A1. Автор: Christopher Hsu,Elliot K. Stava,David J. Barton. Владелец: Lincoln Global Inc. Дата публикации: 2005-02-17.

Locking retainer ring for a shaft assembly

Номер патента: WO2021091712A1. Автор: Benson Hall,Jr. Mitchell G. Pansano,Steuart Turner. Владелец: LAITRAM, L.L.C.. Дата публикации: 2021-05-14.

Method of separating chips from a wafer

Номер патента: US09978643B2. Автор: Laurence Singleton,Frank Kriebel,Carsten Nieland. Владелец: Smartrac Technology Ltd. Дата публикации: 2018-05-22.

Method for producing a wafer equipped with transparent plates

Номер патента: US09663351B2. Автор: Simon Armbruster,Stefan Pinter,Benjamin Steuer,Jochen Tomaschko,Dietmar Haberer. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2017-05-30.

Retainer ring for a wire package and method of making the same

Номер патента: CA2533095A1. Автор: David J. Barton,Michael A. Carroscia. Владелец: Lincoln Global Inc. Дата публикации: 2007-03-08.

Reinforced plastic retainer rings for sheet metal forming

Номер патента: CA1279229C. Автор: William A. Martell. Владелец: Motors Liquidation Co. Дата публикации: 1991-01-22.

Retaining Ring

Номер патента: US20210116054A1. Автор: Jody Massey. Владелец: Individual. Дата публикации: 2021-04-22.

Support unit for an idling element such as the nondriven wheel of a motor vehicle

Номер патента: US5215387A. Автор: Paolo Bertetti,Angelo Vignotto. Владелец: SKF Industrie SpA. Дата публикации: 1993-06-01.

Filter cartridge assembly with brine seal and retaining ring

Номер патента: CA2483008C. Автор: Robert R. Gundrum,Jeffrey J. Julich. Владелец: Culligan International Co. Дата публикации: 2008-04-08.

Fuel cap assembly for a fuel tank of a vehicle

Номер патента: US20030024931A1. Автор: Yeon-Noh Bae. Владелец: Hyundai Motor Co. Дата публикации: 2003-02-06.

Blind retaining ring for valve piston

Номер патента: US20170114905A1. Автор: Donald E. Army,Robert Defelice,Josh Kamp. Владелец: Hamilton Sundstrand Corp. Дата публикации: 2017-04-27.

Retaining ring plier systems, methods, and apparatus

Номер патента: US20240227141A1. Автор: Rudolf Maksymilian Klossok. Владелец: Tiger Tool International Inc. Дата публикации: 2024-07-11.

Retaining ring plier systems, methods, and apparatus

Номер патента: WO2024145722A1. Автор: Rudolf Maksymilian Klossok. Владелец: Tiger Tool International Incorporated. Дата публикации: 2024-07-11.

Blind retaining ring for valve piston

Номер патента: US09611941B1. Автор: Donald E. Army,Robert Defelice,Josh Kamp. Владелец: Hamilton Sundstrand Corp. Дата публикации: 2017-04-04.

Retainer ring for welding wire

Номер патента: EP4201572A1. Автор: Seong Hun Kim,Ho Kyu Kang,Chang Uk SONG,Kyo Hun KIM,Hwi Chul PARK. Владелец: Kiswel Ltd. Дата публикации: 2023-06-28.

Retainer ring for welding wire

Номер патента: US20230271267A1. Автор: Seong Hun Kim,Ho Kyu Kang,Chang Uk SONG,Kyo Hun KIM,Hwi Chul PARK. Владелец: Kiswel Ltd. Дата публикации: 2023-08-31.

Design to manage static charge and discharge of wafers and wafer carrier rings

Номер патента: WO2015175661A1. Автор: Daniel Greenberg,John Mazzocco. Владелец: Applied Materials, Inc.. Дата публикации: 2015-11-19.

Air bag cushion rivetless retainer ring, axial pin method

Номер патента: US5443284A. Автор: Gary V. Adams,John E. Allard,Paul E. Strahl. Владелец: Morton International LLC. Дата публикации: 1995-08-22.

Use of protective caps as masks at a wafer scale

Номер патента: EP1356511B1. Автор: Kia Silverbrook. Владелец: SILVERBROOK RESEARCH PTY LTD. Дата публикации: 2009-01-07.

Vehicle wheel with segmental rim parts and retaining ring

Номер патента: US4470637A. Автор: Hans Kopp,Max Widmer. Владелец: Georg Fischer AG. Дата публикации: 1984-09-11.

Semiconductor wafer carrier having the same composition as the wafers

Номер патента: US6056123A. Автор: George E. Niemirowski,Adam F. Niemirowski. Владелец: Novus Corp. Дата публикации: 2000-05-02.

Method and apparatus for calibrating a wafer transport robot

Номер патента: WO2012000663A1. Автор: Andreas Hartmann,Ottmar Graf,Daniel Knöpfle. Владелец: Centrotherm Thermal Solutions GmbH & Co. KG. Дата публикации: 2012-01-05.

Method and apparatus for calibrating a wafer transport robot

Номер патента: EP2589073A1. Автор: Andreas Hartmann,Ottmar Graf,Daniel Knöpfle. Владелец: Centrotherm Thermal Solutions GmbH and Co KG. Дата публикации: 2013-05-08.

Device and Motor for Removing a Framed Wafer from a Wafer Tray

Номер патента: US20210320028A1. Автор: Josef Ammerl,Michael Froeschl. Владелец: MueTec Automatisierte Mikroskopie und Messtechnik GmbH. Дата публикации: 2021-10-14.

Pin lock for adjustable link of a three-point hitch

Номер патента: US4418935A. Автор: John W. O'connor. Владелец: Allis Chalmers Corp. Дата публикации: 1983-12-06.

Device for maintaining in position the piston of a fastener tool

Номер патента: CA1047702A. Автор: Jean Ollivier,Marc Combette. Владелец: Societe de Prospection et dInventions Techniques SPIT SAS. Дата публикации: 1979-02-06.

Retaining ring removal tool

Номер патента: WO2013180928A1. Автор: Christopher M. Juh,Thomas A. MARIANO,Ronnie J.S. VEZZETTI. Владелец: UNITED TECHNOLOGIES CORPORATION. Дата публикации: 2013-12-05.

Wafer carrier door with form fitting mechanism cover

Номер патента: MY130809A. Автор: Eggum Shawn. Владелец: Entegris Inc Star. Дата публикации: 2007-07-31.

Slidable switching mechanism for convertible retaining ring pliers

Номер патента: CA2613033C. Автор: James Murphy,Larry Gerbert,Bruce Engel. Владелец: A&E Inc. Дата публикации: 2011-12-20.

Quick reverse retaining ring pliers

Номер патента: US20210339368A1. Автор: Chungeng Chen. Владелец: JS Products Inc. Дата публикации: 2021-11-04.

Method of processing a wafer

Номер патента: US11935738B2. Автор: Takashi Okamura,Shigenori Harada. Владелец: Disco Corp. Дата публикации: 2024-03-19.

Method and apparatus for removing particles from the surface of a semiconductor wafer

Номер патента: WO2023101693A1. Автор: Kurt A. Schroder,David Alex ROSE. Владелец: NCC NANO, LLC. Дата публикации: 2023-06-08.

Apparatus and method for detecting a wafer in a cassette

Номер патента: US11923223B2. Автор: Yoshinobu Saito,Jonghyun RYU. Владелец: Disco Corp. Дата публикации: 2024-03-05.

Method for exposing a layout comprising multiple layers on a wafer

Номер патента: US6635395B2. Автор: Peter Hahmann,Eckart Bergmann. Владелец: Vistec Electron Beam GmbH. Дата публикации: 2003-10-21.

Use of vacuum chucks to hold a wafer or wafer sub-stack

Номер патента: WO2014007758A1. Автор: Hartmut Rudmann,Stephan Heimgartner,John A. Vidallon. Владелец: HEPTAGON MICRO OPTICS PTE. LTD.. Дата публикации: 2014-01-09.

Use of vacuum chucks to hold a wafer or wafer sub-stack

Номер патента: US09899251B2. Автор: Hartmut Rudmann,Stephan Heimgartner,John A. Vidallon. Владелец: Heptagon Micro Optics Pte Ltd. Дата публикации: 2018-02-20.

Use of vacuum chucks to hold a wafer or wafer sub-stack

Номер патента: US09793152B2. Автор: Hartmut Rudmann,Stephan Heimgartner,John A. Vidallon. Владелец: Heptagon Micro Optics Pte Ltd. Дата публикации: 2017-10-17.

Method and apparatus for removing particles from the surface of a semiconductor wafer

Номер патента: US11769660B2. Автор: Kurt A. Schroder,David Alex ROSE. Владелец: Pulseforge Inc. Дата публикации: 2023-09-26.

Method for producing a wafer connection

Номер патента: US12030773B2. Автор: Thomas Friedrich,Peter Schmollngruber,Friedjof Heuck,Franziska Rohlfing,Volkmar Senz,Jochen Tomaschko. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2024-07-09.

System and Method for Particle Abatement in a Wafer Processing Tool

Номер патента: US20210402445A1. Автор: Eryn Smith. Владелец: Individual. Дата публикации: 2021-12-30.

System and method for particle abatement in a wafer processing tool

Номер патента: US11772136B2. Автор: Eryn Smith. Владелец: Individual. Дата публикации: 2023-10-03.

Method of processing a wafer and wafer processing system

Номер патента: US09935010B2. Автор: Hitoshi Hoshino,Karl Priewasser. Владелец: Disco Corp. Дата публикации: 2018-04-03.

Method for mounting a wafer to a submount

Номер патента: US5300175A. Автор: Michael P. Norman,Lawrence R. Gardner,Robert W. Griffith, Jr.. Владелец: Motorola Inc. Дата публикации: 1994-04-05.

Protective coating on trench features of a wafer and method of fabrication thereof

Номер патента: EP3478630A1. Автор: Mikko Va Suvanto. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2019-05-08.

Protective coating on trench features of a wafer and method of fabrication thereof

Номер патента: WO2018002010A1. Автор: Mikko Va Suvanto. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2018-01-04.

Wafer configured to recondition a support surface of a wafer holding stage

Номер патента: US11955368B2. Автор: Thomas Hantschel. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-04-09.

Method for printing solder onto a wafer and system thereof

Номер патента: US20220216170A1. Автор: Boon Seng Teoh. Владелец: Inari Technology Sdn Bhd. Дата публикации: 2022-07-07.

Method of aligning structures on opposite sides of a wafer

Номер патента: EP1285879A3. Автор: Luc Ouellet. Владелец: Dalsa Semiconductor Inc. Дата публикации: 2005-02-09.

Apparatus for processing a wafer, and method of controlling such an apparatus

Номер патента: WO2020160976A1. Автор: Stefan Koch,Martin KLEINDIENST. Владелец: LAM RESEARCH AG. Дата публикации: 2020-08-13.

Manufacturing method of a tray, a socket for inspection, and a semiconductor device

Номер патента: US20050202597A1. Автор: Noriyuki Takahashi. Владелец: Renesas Technology Corp. Дата публикации: 2005-09-15.

Method of dividing an adhesive film bonded to a wafer

Номер патента: US20070249145A1. Автор: Masaru Nakamura. Владелец: Disco Corp. Дата публикации: 2007-10-25.

A method for making a wafer-pair having sealed chambers

Номер патента: EP1070353A1. Автор: Jeffrey A. Ridley,R. Andrew Wood,Robert E. Higashi. Владелец: Honeywell Inc. Дата публикации: 2001-01-24.

Apparatus and method for removing particles present on a wafer using photoelectrons and an electric field

Номер патента: US09796001B2. Автор: Jae Hee SIM,Si Hyun Kim. Владелец: SK hynix Inc. Дата публикации: 2017-10-24.

Separating a wafer of light emitting devices

Номер патента: US09722138B2. Автор: Frank Lili WEI,Rao S. Peddada. Владелец: Koninklijke Philips NV. Дата публикации: 2017-08-01.

Noncontact method of adhering a wafer to a wafer tape

Номер патента: US6007654A. Автор: Robert G. McKenna. Владелец: Texas Instruments Inc. Дата публикации: 1999-12-28.

Thinning of a si wafer for mems-sensors applications

Номер патента: CA2610693C. Автор: Jari Mäkinen,Vesa-Pekka Lempinen,Markku Tilli. Владелец: Okmetic Oy. Дата публикации: 2015-07-14.

Method and Apparatus for Drying a Wafer

Номер патента: US20140190634A1. Автор: Hung Chang HSIEH,Wei-Chieh Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-07-10.

Separating a wafer of light emitting devices

Номер патента: US20190305176A1. Автор: Frank Lili WEI,Rao S. Peddada. Владелец: LUMILEDS LLC. Дата публикации: 2019-10-03.

Vision system and method for calibrating a wafer carrying robot

Номер патента: EP1606837A1. Автор: Michael Rice,Iraj Sadighi,Jeff Hudgens,Gary Wyka. Владелец: Applied Materials Inc. Дата публикации: 2005-12-21.

Method of etching a wafer

Номер патента: US9150408B2. Автор: Li Chen,Mitul Dalal. Владелец: Analog Devices Inc. Дата публикации: 2015-10-06.

Wafer holding apparatus for holding a wafer

Номер патента: CA2109240C. Автор: Minoru Ueda. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 1997-11-18.

Separating a wafer of light emitting devices

Номер патента: US20170330999A1. Автор: Frank Lili WEI,Rao S. Peddada. Владелец: LUMILEDS LLC. Дата публикации: 2017-11-16.

Method of replacing an existing contact of a wafer probing assembly

Номер патента: US09429638B2. Автор: Kenneth R. Smith. Владелец: CASCADE MICROTECH INC. Дата публикации: 2016-08-30.

Stacked wafer structure and method for stacking a wafer

Номер патента: US20130285215A1. Автор: Yu-Lin Yen,Hsi-Chien Lin,Yeh-Shih Ho. Владелец: XinTec Inc. Дата публикации: 2013-10-31.

Use of vacuum chucks to hold a wafer or wafer sub-stack

Номер патента: US20180005864A1. Автор: Hartmut Rudmann,Stephan Heimgartner,John A. Vidallon. Владелец: Heptagon Micro Optics Pte Ltd. Дата публикации: 2018-01-04.

Method for exposing a layout comprising multiple layers on a wafer

Номер патента: US20020039828A1. Автор: Peter Hahmann,Eckart Bergmann. Владелец: Vistec Electron Beam GmbH. Дата публикации: 2002-04-04.

Method for filling a wafer via with solder

Номер патента: US20180301377A9. Автор: Jeong Han Kim,Chang Woo Lee,Jun Ki Kim,Sehoon Yoo,Young Ki Ko. Владелец: Korea Institute of Industrial Technology KITECH. Дата публикации: 2018-10-18.

Method for exposing a wafer

Номер патента: US09978562B2. Автор: Marco Jan-Jaco Wieland,Teunis Van De Peut. Владелец: Mapper Lithopraphy IP BV. Дата публикации: 2018-05-22.

Manufacturing method for a wafer lens module and the structure thereof

Номер патента: US20100073781A1. Автор: Cheng-Te Tseng,Meng-Hsin Kuo,Tzu-Kan Chen,Chia-Hsi Tsai. Владелец: Lite On Technology Corp. Дата публикации: 2010-03-25.

Method and apparatus for marking an identification mark on a wafer

Номер патента: US20020092836A1. Автор: Kyoung-Shin Park,Sil-Gun Jeong,Hi-Youn Cho,Byeong-Cheol Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-07-18.

Centrifugal wafer carrier cleaning apparatus

Номер патента: AU4527993A. Автор: Aleksander Owczarz,Raymon F Thompson. Владелец: Semitool Inc. Дата публикации: 1994-01-04.

Method for making elastic bumps from a wafer mold having grooves

Номер патента: US6068801A. Автор: Hjalmar Hesselbom,Peter Bodo. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2000-05-30.

Separating a wafer of light emitting devices

Номер патента: US20160260865A1. Автор: Frank Lili WEI,Rao S. Peddada. Владелец: Koninklijke Philips NV. Дата публикации: 2016-09-08.

Method of manufacturing a flange for holding an outer ring of a rolling bearing

Номер патента: US20240307949A1. Автор: Louis Redoutey,Vincent Pallanchard,Romain THOMAS. Владелец: Ntn Europe. Дата публикации: 2024-09-19.

Graphite wafer carrier for LED epitaxial wafer processes

Номер патента: US09725824B2. Автор: Qi Nan,Lei Pan,Hsiang-Pin Hsieh. Владелец: Xiamen Sanan Optoelectronics Technology Co Ltd. Дата публикации: 2017-08-08.

Stocker and method for dispatching wafer carrier in stocker

Номер патента: US09576834B2. Автор: Wei-Cheng Wang,Bing-Yuan Cheng,Feng-Ning Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-21.

Method for cutting a wafer hard to cut

Номер патента: CA2105236C. Автор: Takao Nakamura. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 1997-04-22.

A method of making a wafer-pair having sealed chambers

Номер патента: CA2326677C. Автор: Jeffrey A. Ridley,R. Andrew Wood,Robert E. Higashi. Владелец: Honeywell International Inc. Дата публикации: 2008-06-10.

Separating a wafer of light emitting devices

Номер патента: EP3063794A1. Автор: Frank Lili WEI,Rao S. Peddada. Владелец: Koninklijke Philips NV. Дата публикации: 2016-09-07.

Apparatus for processing a wafer, and method of controlling such an apparatus

Номер патента: EP3921863A1. Автор: Stefan Koch,Martin KLEINDIENST. Владелец: LAM RESEARCH AG. Дата публикации: 2021-12-15.

Part recycle device of a document file

Номер патента: US20030133742A1. Автор: Zhi-Yuan Zheng. Владелец: Individual. Дата публикации: 2003-07-17.

Equipment and method for forming a front toothing on an inner ring of a wheel hub

Номер патента: US20230019199A1. Автор: Alessandro Ferrero,Giorgio Missiaggia,Fabio Bogliacino. Владелец: SKF AB. Дата публикации: 2023-01-19.

Assembly procedure of a bearing unit—HUB flange

Номер патента: US09903417B2. Автор: Laura Sguotti,Andrea Serafini,Flavio Franza. Владелец: SKF AB. Дата публикации: 2018-02-27.

Method for replacing an outer annular ring of a fluid swivel and a fluid swivel

Номер патента: US09822911B2. Автор: Cyril Evrard,Christian Randrianarivony. Владелец: Single Buoy Moorings Inc. Дата публикации: 2017-11-21.

Image printing apparatus with processor and print head circuitry positioned on a wafer substrate

Номер патента: NZ531182A. Автор: Kia Silverbrook. Владелец: Silverbrook Res Pty Ltd. Дата публикации: 2005-07-29.

Apparatus for processing a wafer-shaped article

Номер патента: US20230411177A1. Автор: Karl-Heinz Hohenwarter,Bhaskar BANDARAPU,Christian Putzi. Владелец: LAM RESEARCH AG. Дата публикации: 2023-12-21.

Apparatus for processing a wafer-shaped article

Номер патента: EP4233092A1. Автор: Karl-Heinz Hohenwarter,Bhaskar BANDARAPU,Christian Putzi. Владелец: LAM RESEARCH AG. Дата публикации: 2023-08-30.

Brush for cleaning a wafer

Номер патента: US20240148133A1. Автор: Sungyong PARK,Songyun Kang,Jeongmin Na. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-05-09.

Low weight flanged inner ring of a wheel hub unit

Номер патента: US20240316988A1. Автор: Marco Gemello,Thomas Niestroj,Marco Romanetto,Santosh Ashok Ghatti. Владелец: SKF AB. Дата публикации: 2024-09-26.

Method for depositing liquid onto the locking ring of a container

Номер патента: US09649657B2. Автор: Eric Martin,Boris Chauvet,Frederic Mertz. Владелец: Saint Gobain Emballage SA. Дата публикации: 2017-05-16.

Crushing ring of a crushing roll

Номер патента: US09468928B2. Автор: Jochen Emmerich,Thomas Woestmann. Владелец: Hazemag and EPR GmbH. Дата публикации: 2016-10-18.

Substrate handling device for a wafer

Номер патента: MY197493A. Автор: GLEISSNER Andreas,Herbert Oetzlinger,Manuel Eibl. Владелец: Semsysco GmbH. Дата публикации: 2023-06-19.

A unitary roof ring of a vehicle framework

Номер патента: WO2024121149A1. Автор: Javier VALENCIA CARRIO,Dean Gary BARTOLOMUCCI,Matthew Scott FISTLER. Владелец: AUTOTECH ENGINEERING S.L.. Дата публикации: 2024-06-13.

Forming Structure for Internal Snap Ring of Pulp Molded Cup Lid

Номер патента: US20240253868A1. Автор: Rihua RAO,Tzung Shen Lai,Zhen Jian Li. Владелец: Foshan City Meiwanbang Technology Co Ltd. Дата публикации: 2024-08-01.

End retainer ring assembly for rotary electrical devices

Номер патента: US5068564A. Автор: Joseph J. Frank. Владелец: General Electric Co. Дата публикации: 1991-11-26.

Hose coupling with retainer ring

Номер патента: US20020135181A1. Автор: Paul Thomas. Владелец: Individual. Дата публикации: 2002-09-26.

ATTACHING NEEDLE SUPPORTS, FOR EXAMPLE BARS ON RETAINING RINGS, FOR EXAMPLE OF A ROUND COMB FROM COMBING MACHINES

Номер патента: BE745539A. Автор: . Владелец: Staedtler & Uhl. Дата публикации: 1970-07-16.

Retaining ring online inspection apparatus and method

Номер патента: US09506839B2. Автор: Peter Jon Clayton,Albert C. Sismour, Jr.. Владелец: Siemens Energy Inc. Дата публикации: 2016-11-29.

Calibration pod for robotic wafer carrier handling and calibration performed using same

Номер патента: US12068184B2. Автор: Tzu-Chin Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Calibration pod for robotic wafer carrier handling and calibration performed using same

Номер патента: US20240363379A1. Автор: Tzu-Chin Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Wafer holder and temperature conditioning arrangement and method of manufacturing a wafer

Номер патента: US09793144B2. Автор: Rogier Lodder,Bart Scholte Von Mast,Juergen Kielwein. Владелец: EVATEC AG. Дата публикации: 2017-10-17.

Method for the arrangement of a retaining ring on a reflector

Номер патента: US09581305B2. Автор: Waldemar PETKER. Владелец: Hella KGaA Huek and Co. Дата публикации: 2017-02-28.

Self-contained metrology wafer carrier systems

Номер патента: US09911634B2. Автор: William J. Fosnight,Stephanie Waite,Abner Bello,Thomas BEEG. Владелец: Globalfoundries Inc. Дата публикации: 2018-03-06.

Moving module of a wafer ion-implanting machine

Номер патента: US20100218720A1. Автор: Ting-Wei Lin. Владелец: Individual. Дата публикации: 2010-09-02.

Moving module of a wafer ion-implanting machine

Номер патента: US7956333B2. Автор: Ting-Wei Lin. Владелец: Inotera Memories Inc. Дата публикации: 2011-06-07.

Wafer carrier with adjustable alignment devices and deposition equipment using the same

Номер патента: US20240060182A1. Автор: Jing-Cheng Lin,Yu-Te Shen. Владелец: Sky Tech Inc. Дата публикации: 2024-02-22.

A combination of a bearing and an annular seal

Номер патента: GB2203502A. Автор: Edmund Sander,Peter Schmehr. Владелец: SKF Gleitlager GmbH. Дата публикации: 1988-10-19.

Blade retaining ring for an internal shroud of an axial-flow turbomachine compressor

Номер патента: US09995159B2. Автор: Damien Verhelst. Владелец: SAFRAN AERO BOOSTERS SA. Дата публикации: 2018-06-12.

Bearing assembly with a retaining ring and method thereof

Номер патента: US09518608B2. Автор: Matthew Evans,Kate Higdon. Владелец: Schaeffler Technologies AG and Co KG. Дата публикации: 2016-12-13.

Wafer carrier track

Номер патента: WO2011116013A1. Автор: Gang He,Andreas G. Hegedus,Gregg Higashi,Khurshed Sorabji,Roger Hamamjy. Владелец: Alta Devices, Inc.. Дата публикации: 2011-09-22.

Retaining ring configuration system

Номер патента: US20130049522A1. Автор: Yu Wang,John Matthew Sassatelli,John Thomas Sterle,Jordan Christopher Baker. Владелец: General Electric Co. Дата публикации: 2013-02-28.

Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum

Номер патента: US09543180B2. Автор: Tatsuo Kamiya. Владелец: ASM IP Holding BV. Дата публикации: 2017-01-10.

Retaining ring

Номер патента: RU2485364C2. Автор: Геран СУНДХОЛЬМ. Владелец: Марикап Ой. Дата публикации: 2013-06-20.

Wafer carrier and metal organic chemical vapor deposition apparatus

Номер патента: US20220064791A1. Автор: Yen-Lin LAI,Jyun-De Wu,Shen-Jie Wang,Chien-Chih Yen. Владелец: PlayNitride Display Co Ltd. Дата публикации: 2022-03-03.

Wafer carrier measuring apparatus

Номер патента: US20240186163A1. Автор: Kuo-Hua Lee,Ming-Chien Chiu,Chia-Ho CHUANG,En-Nien Shen,Jyun-Ming Lyu,Xin-Yuan Huang. Владелец: Gudeng Precision Industrial Co Ltd. Дата публикации: 2024-06-06.

Retaining ring for pipe joint devices

Номер патента: US09857006B2. Автор: David B. Crompton,Libardo Ochoa Dias,Herbert J. Bouchard. Владелец: Quick Fitting Inc. Дата публикации: 2018-01-02.

Transport lock, in particular for the piston of a clutch release bearing

Номер патента: US09670970B2. Автор: Daniel Bosnjak. Владелец: Schaeffler Technologies AG and Co KG. Дата публикации: 2017-06-06.

High temperature and high pressure seal retainer ring

Номер патента: WO1993003298A1. Автор: Richard John Ditlinger. Владелец: Allied-Signal Inc.. Дата публикации: 1993-02-18.

A wafer carrier having a low tolerance build-up

Номер патента: WO2000003416A2. Автор: Gregory W. Bores,Michael C. Zabka. Владелец: Fluoroware, Inc.. Дата публикации: 2000-01-20.

A wafer carrier having a low tolerance build-up

Номер патента: WO2000003416A3. Автор: Gregory W Bores,Michael C Zabka. Владелец: Michael C Zabka. Дата публикации: 2000-06-29.

A wafer carrier having a low tolerance build-up

Номер патента: WO2000003416A9. Автор: Gregory W Bores,Michael C Zabka. Владелец: Michael C Zabka. Дата публикации: 2000-12-07.

Method for the arrangement of a retaining ring on a reflector

Номер патента: US20140313759A1. Автор: Waldemar PETKER. Владелец: Hella KGaA Huek and Co. Дата публикации: 2014-10-23.

Snap on retaining ring with enhanced tab thickness

Номер патента: US20060216133A1. Автор: Luke Haylock,Frank Cosenza. Владелец: Individual. Дата публикации: 2006-09-28.

Snap on retaining ring with enhanced tab thickness

Номер патента: WO2006102479A3. Автор: Frank J Cosenza,Luke L Haylock. Владелец: Luke L Haylock. Дата публикации: 2007-01-11.

Snap on retaining ring with enhanced tab thickness

Номер патента: WO2006102479A2. Автор: Frank J. Cosenza,Luke L. Haylock. Владелец: ALCOA GLOBAL FASTENERS, INC.. Дата публикации: 2006-09-28.

Wafer carrier

Номер патента: US09691668B2. Автор: CHI Shen,Chung-Ying Chang,Yun-Ming Lo,Ying-Chan Tseng. Владелец: Epistar Corp. Дата публикации: 2017-06-27.

Wafer carrier having cavity

Номер патента: US09583373B2. Автор: Pil-Kyu Kang,Ho-Jin Lee,Byung Lyul Park,Gilheyun CHOI,Kyu-Ha Lee,Taeyeong Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-02-28.

Retaining ring arrangement for a rotary assembly

Номер патента: CA2750203C. Автор: Bruno Chatelois,David F. GLASSPOOLE. Владелец: Pratt and Whitney Canada Corp. Дата публикации: 2018-03-27.

Wafer carrier with sloped edge

Номер патента: WO2011109348A2. Автор: William E. Quinn,Bojan Mitrovic,Joshua Mangum. Владелец: VEECO INSTRUMENTS INC.. Дата публикации: 2011-09-09.

Gas filling device of wafer carrier with function of monitoring gas property at gas discharge end

Номер патента: US20140041754A1. Автор: Chuan-Hua Chou. Владелец: SANTA PHOENIX Tech Inc. Дата публикации: 2014-02-13.

Retainer assembly with dished retaining ring

Номер патента: US5201233A. Автор: Timothy J. Skinner,George T. Bennett. Владелец: Motors Liquidation Co. Дата публикации: 1993-04-13.

Female part of a fluid plug connection

Номер патента: AU2003277924A1. Автор: Harald Hagen. Владелец: VOSS Automotive GmbH. Дата публикации: 2004-05-04.

Wafer carrier for metal organic chemical vapor deposition

Номер патента: EP3907308A1. Автор: Yuxi Wan,Zetao PENG. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2021-11-10.

Retaining Ring Terminal

Номер патента: US20200243990A1. Автор: Jesse King. Владелец: Few Automotive Glass Applications Inc. Дата публикации: 2020-07-30.

Internal retaining ring for a rotating assembly in a gas turbine engine

Номер патента: EP4083450A1. Автор: Pawel RAK. Владелец: Pratt and Whitney Canada Corp. Дата публикации: 2022-11-02.

Floating scroll seal with retaining ring

Номер патента: US20130251575A1. Автор: Ronald J. Duppert,Johnathan P. Roof. Владелец: Bitzer Kuehlmaschinenbau GmbH and Co KG. Дата публикации: 2013-09-26.

Floating scroll seal with retaining ring

Номер патента: EP2839161A1. Автор: Ronald J. Duppert,Johnathan P. Roof. Владелец: Bitzer Kuehlmaschinenbau GmbH and Co KG. Дата публикации: 2015-02-25.

Floating scroll seal with retaining ring

Номер патента: WO2013142418A1. Автор: Ronald J. Duppert,Johnathan P. Roof. Владелец: BITZER KUHLMASCHINENBAU GMBH. Дата публикации: 2013-09-26.

Internal retaining ring for a rotating assembly in a gas turbine engine

Номер патента: CA3157070A1. Автор: Pawel RAK. Владелец: Pratt and Whitney Canada Corp. Дата публикации: 2022-10-29.

Retaining ring for pressure vessel connection

Номер патента: US09689518B2. Автор: Jason GAYER. Владелец: Individual. Дата публикации: 2017-06-27.

Quick-action connection for retaining an insertion part in an orifice of a carrier

Номер патента: US4822228A. Автор: Ernst Senft,Herbert Klemmer,Nikolaus Schefscik. Владелец: Daimler Benz AG. Дата публикации: 1989-04-18.

Combination pipe fitting and retainer ring

Номер патента: US4073514A. Автор: Harold T. Pate. Владелец: Indian Head Inc. Дата публикации: 1978-02-14.

Sealing Apparatus with Interlocking Air Inflation Device for Wafer Carrier

Номер патента: US20110174389A1. Автор: Pao-Yi Lu,Chih-Ching Chiu. Владелец: Gudeng Precision Industrial Co Ltd. Дата публикации: 2011-07-21.

Wafer carrier

Номер патента: US20060017907A1. Автор: Chen-Hsiung Yang. Владелец: Individual. Дата публикации: 2006-01-26.

Wafer carrier with moisture scavenging

Номер патента: WO2024182383A1. Автор: Vinod Purayath,Kenta Ohama,Benjamin MESSMORE,Italo SILVA,Heather Scaglione,II John D. SUTER. Владелец: Avient Corporation. Дата публикации: 2024-09-06.

Wafer carrier with active oxygen scavenging

Номер патента: WO2024182377A1. Автор: Vinod Purayath,Benjamin MESSMORE,Italo SILVA,Heather Scaglione,II John D. SUTER. Владелец: Avient Corporation. Дата публикации: 2024-09-06.

Adjustable retaining ring for bore

Номер патента: GB2607027A9. Автор: Montgomery Conor. Владелец: Urban Cottage Ind Ltd. Дата публикации: 2022-12-21.

Rolling cutter with retaining ring

Номер патента: US09976356B2. Автор: CHEN CHEN,Youhe Zhang,Jibin Shi,Yuri Y. Burhan. Владелец: Smith International Inc. Дата публикации: 2018-05-22.

Rolling cutter with close loop retaining ring

Номер патента: US09605486B2. Автор: CHEN CHEN,Youhe Zhang,Jibin Shi,Yuri Burhan. Владелец: Smith International Inc. Дата публикации: 2017-03-28.

Dual retaining ring device for facilitating the assembly of universal joints

Номер патента: US3783638A. Автор: E Doran,W Berliner. Владелец: Waldes Kohinoor Inc. Дата публикации: 1974-01-08.

Wafer carrier

Номер патента: US20240249969A1. Автор: Chih-Yuan Chuang,Jia-Zhe Liu,Jui-Pin Chen. Владелец: GlobalWafers Co Ltd. Дата публикации: 2024-07-25.

Apparatus and method for automated wafer carrier handling

Номер патента: US11915958B2. Автор: Jiun-Rong Pai,Cheng-Lung Wu,Cheng-Kang Hu,Ren-Hau WU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-27.

Roller bearing assembly with retainer ring

Номер патента: US20180209481A1. Автор: Scott ABRAHAMSON. Владелец: Koyo Bearings North America LLC. Дата публикации: 2018-07-26.

Roller bearing assembly with retainer ring

Номер патента: EP3175133A1. Автор: Scott ABRAHAMSON. Владелец: Koyo Bearings North America LLC. Дата публикации: 2017-06-07.

Free wheel retaining ring

Номер патента: US20010019003A1. Автор: Karlheinz Timtner,Siegfried Jackwerth. Владелец: RINGSPANN GmbH. Дата публикации: 2001-09-06.

Wafer carrier with hub

Номер патента: US20110114022A1. Автор: Alexander I. Gurary,Keng Moy,Vadim Boguslavskiy,Eric A. Armour. Владелец: Veeco Instruments Inc. Дата публикации: 2011-05-19.

Radial assembly-tire spring retaining rings

Номер патента: US3595123A. Автор: Hugo Wurzel. Владелец: Waldes Kohinoor Inc. Дата публикации: 1971-07-27.

Fastener/deflectable retaining ring assembly

Номер патента: WO2003078850B1. Автор: Frank J Cosenza. Владелец: Huck Patents Inc. Дата публикации: 2004-08-26.

Retaining ring attaching device and data storage

Номер патента: US20050262678A1. Автор: Tatsuo Hayakawa,Takanori Kawakami. Владелец: Hitachi Global Storage Technologies Netherlands BV. Дата публикации: 2005-12-01.

Retaining ring for respiratory face masks

Номер патента: US20210361004A1. Автор: Michael J. Piatt. Владелец: Zverse Inc. Дата публикации: 2021-11-25.

Wafer carrier

Номер патента: US09761470B2. Автор: Nobuhira Abe. Владелец: Toyota Motor Corp. Дата публикации: 2017-09-12.

Improvements in retaining ring structure for dynamoelectric machine

Номер патента: GB893890A. Автор: . Владелец: General Electric Co. Дата публикации: 1962-04-18.

Retainer ring for coupling together water supply pipes or the like

Номер патента: CA1262158A. Автор: Noboru Ueki. Владелец: KANTO CHUUTETSU KK. Дата публикации: 1989-10-03.

Nozzle retaining ring with crushed o-ring

Номер патента: CA1157011A. Автор: Edward M. Galle,Frank C. Ratcliff. Владелец: Hughes Tool Co. Дата публикации: 1983-11-15.

Retainer ring

Номер патента: CA1296646C. Автор: Thomas Carl Barnes,David Robert Abbott,Anthony Salvatore Arrao. Владелец: General Electric Co. Дата публикации: 1992-03-03.

Inspecting a wafer using image and design information

Номер патента: US10042974B2. Автор: Menachem Regensburger,Yuri Postolov. Владелец: CAMTEK LTD. Дата публикации: 2018-08-07.

Connecting device of retaining ring of safety belt

Номер патента: US11752371B2. Автор: Wei-Chieh HUNG,Chia-Hsien Wang. Владелец: Yoke Industrial Corp. Дата публикации: 2023-09-12.

Wafer carrier with independent isolated heater zones

Номер патента: US20160035544A1. Автор: Dmitry Lubomirsky,Son T. Nguyen,Anh N. Nguyen,David Palagashvill. Владелец: Individual. Дата публикации: 2016-02-04.

Wafer carrier with independent isolated heater zones

Номер патента: WO2016018727A1. Автор: Dmitry Lubomirsky,Son T. Nguyen,Anh N. Nguyen,David Palagashvili. Владелец: Applied Materials, Inc.. Дата публикации: 2016-02-04.

Retaining ring, electric machine and vehicle

Номер патента: EP4394199A1. Автор: Jingjing Zhao,Zhongxian CHEN,Jinjin Liu,Renlong YING,Pengcheng FAN,Ci CHEN,Lingyun XIONG. Владелец: Valeo eAutomotive Germany GmbH. Дата публикации: 2024-07-03.

Cable grommet assembly with sleeve and internal retaining ring

Номер патента: US09515470B2. Автор: Jason Brian Herring,Shane Michael Gilbreath. Владелец: Spindle 360 Inc. Дата публикации: 2016-12-06.

Chuck for transporting a wafer carrier

Номер патента: US4936734A. Автор: Atsushi Osada. Владелец: Tokyo Electron Yamanashi Ltd. Дата публикации: 1990-06-26.

Means for push to assemble retaining ring

Номер патента: CA2093402C. Автор: Donald Dekle Bartholomew. Владелец: Proprietary Technology Inc. Дата публикации: 1998-01-06.

Downhole tool with multiple material retaining ring

Номер патента: CA2729922C. Автор: Jesse C. Porter,Joel Barlow. Владелец: Halliburton Energy Services Inc. Дата публикации: 2013-04-30.

Wafer carrier with reticle template for marking reticle fields on a semiconductor wafer

Номер патента: US20230282502A1. Автор: Gabe Glass. Владелец: Micron Technology Inc. Дата публикации: 2023-09-07.

Detecting wafer status in a wafer chuck assembly

Номер патента: US12027409B2. Автор: Aaron Louis LaBrie,Claudiu Valentin Puha. Владелец: Lam Research Corp. Дата публикации: 2024-07-02.

Optical inspection of a wafer

Номер патента: US20240202903A1. Автор: Jiun-Rong Pai,Cheng-Lung Wu,Cheng-Kang Hu,Chih-Lieh CHEN. Владелец: Taiwan Samiconductor Manufacturing Company Ltd. Дата публикации: 2024-06-20.

Method for improving the adhesion of a coating

Номер патента: EP1516354A2. Автор: Valery V. Felmetsger. Владелец: Sputtered Films Inc. Дата публикации: 2005-03-23.

Method for controlling airflow on a backside of a semiconductor wafer during spin processing

Номер патента: US20020112371A1. Автор: Brian Bliven,Roy Winston Pascal. Владелец: Individual. Дата публикации: 2002-08-22.

Method of flattening a wafer

Номер патента: US09613796B2. Автор: Chih-Yueh Li,Tai-Heng Yu. Владелец: United Microelectronics Corp. Дата публикации: 2017-04-04.

Detecting wafer status in a wafer chuck assembly

Номер патента: US20240312827A1. Автор: Aaron Louis LaBrie,Claudiu Valentin Puha. Владелец: Lam Research Corp. Дата публикации: 2024-09-19.

System and method for plugging the core barrel of a nuclear reactor

Номер патента: US4820476A. Автор: Craig H. Popalis. Владелец: Westinghouse Electric Corp. Дата публикации: 1989-04-11.

Retainer ring for cylindrical roller bearings

Номер патента: US4154491A. Автор: James R. Elliott,William J. Derner. Владелец: FMC Corp. Дата публикации: 1979-05-15.

Dynamoelectric machine having shielded retaining rings

Номер патента: CA1140976A. Автор: Carl Flick. Владелец: Westinghouse Electric Corp. Дата публикации: 1983-02-08.

Transmission mainshaft thrust washer and retainer ring

Номер патента: CA2132773C. Автор: Robert B. Craft. Владелец: Eaton Corp. Дата публикации: 1999-11-02.

Valve assembly having floating retainer rings

Номер патента: US6029948A. Автор: Terry C. Shafer. Владелец: CRS POLYPIPE Inc. Дата публикации: 2000-02-29.

Wafer carrier and system for an epitaxial apparatus

Номер патента: US20230290671A1. Автор: Juergen Off,Harald Dimmelmeier,Harald Laux. Владелец: Ams Osram International GmbH. Дата публикации: 2023-09-14.

Preparation method for protecting the back surface of a wafer and back surface protected wafer

Номер патента: US20040241461A1. Автор: Thibaut Maurice,Beryl Blondeau. Владелец: Soitec SA. Дата публикации: 2004-12-02.

Methods for the provision of a coated graphene layer structure on a silicon-containing wafer

Номер патента: WO2024188961A1. Автор: Andrew N. Macinnes,Ivor GUINEY. Владелец: PARAGRAF LIMITED. Дата публикации: 2024-09-19.

Retaining ring

Номер патента: US4182579A. Автор: Harold E. McCormick,Roger L. Berkbigler. Владелец: Ramsey Corp. Дата публикации: 1980-01-08.

Arrangement of a bellow on a guide mandrel of a disc brake

Номер патента: CA2719861C. Автор: Markus Gruber,Christian Raffin. Владелец: Knorr Bremse Systeme fuer Nutzfahrzeuge GmbH. Дата публикации: 2016-06-14.

Nipple-retaining ring assembly

Номер патента: US3650270A. Автор: Thomas A Frazier. Владелец: West Co Inc. Дата публикации: 1972-03-21.

Wafer carrier box

Номер патента: US5887721A. Автор: Takashi Betsuyaku. Владелец: Shin Etsu Polymer Co Ltd. Дата публикации: 1999-03-30.

Photo spinner apparatus and wafer carrier loading/unloading method using the same

Номер патента: US20090180849A1. Автор: Yong-su Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-07-16.

Bottom loading bone anchor assemblies with drag retaining ring and related methods

Номер патента: EP4351456A1. Автор: Christopher Mickiewicz,Eric Biester,Ellen Roberts. Владелец: Medos International Sarl. Дата публикации: 2024-04-17.

Method for creating a pattern on a wafer using a single photomask

Номер патента: WO2004077163A3. Автор: Jan Willem Gemmink,Patrice Declementi. Владелец: Patrice Declementi. Дата публикации: 2005-01-13.

System and Method for the Probing of a Wafer

Номер патента: US20070080705A1. Автор: Curtis Miller,Glenn Schuette,James Rousey. Владелец: Texas Instruments Inc. Дата публикации: 2007-04-12.

Improvements in means for securing retaining rings on shafts

Номер патента: GB398463A. Автор: . Владелец: Timken Roller Bearing Co. Дата публикации: 1933-09-14.

Handle for wafer carrier

Номер патента: WO2020236923A1. Автор: Matthew A. Fuller,Mark V. Smith,Jason T. Steffens. Владелец: ENTEGRIS, INC.. Дата публикации: 2020-11-26.

Retaining ring terminal

Номер патента: US11817641B2. Автор: Jesse King. Владелец: FEW Fahrzeugelektrikwerk GmbH and Co KG. Дата публикации: 2023-11-14.

Electrical assembly having a threaded coupling nut and retaining ring

Номер патента: EP3186856A1. Автор: Inho Myong. Владелец: TE Connectivity Corp. Дата публикации: 2017-07-05.

Method for identifying a wafer serial number

Номер патента: US8498471B2. Автор: Chien-Ming Li,Wei-Chin Chen. Владелец: Inotera Memories Inc. Дата публикации: 2013-07-30.

Computer-Implemented Method for Determining a Quality State of a Wafer

Номер патента: US20240353473A1. Автор: Michel Janus,Jonas Bergdolt,Maria Irina Nicolae,Moritz Gronbach. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2024-10-24.

Wafer defect inspection apparatus and method for inspecting a wafer defect

Номер патента: US09786045B2. Автор: Masashi Hayashi. Владелец: Sumco Corp. Дата публикации: 2017-10-10.

Methods for etching through-wafer vias in a wafer

Номер патента: US09711364B2. Автор: Elena Becerra Woodard,Daniel Kwadwo Amponsah Berkoh,Dean G. Scott. Владелец: Skyworks Solutions Inc. Дата публикации: 2017-07-18.

Retaining ring

Номер патента: CA2818578A1. Автор: Gary Tubridy. Владелец: Avalon Sciences Ltd. Дата публикации: 2012-05-31.

Drill bit rolling element with retaining ring

Номер патента: US20180258708A1. Автор: CHEN CHEN,Youhe Zhang,Jibin Shi,Yuri Y. Burhan. Владелец: Smith International Inc. Дата публикации: 2018-09-13.

Rolling cutter with close loop retaining ring

Номер патента: US20170191317A1. Автор: CHEN CHEN,Youhe Zhang,Jibin Shi,Yuri Y. Burhan. Владелец: Smith International Inc. Дата публикации: 2017-07-06.

Device for changing the temperature of a wafer

Номер патента: EP4388580A1. Автор: Robert John Wilby,Gregor Robert ELLIOTT,Sam OWENS,Abraham MOOSAVI. Владелец: Metryx Ltd. Дата публикации: 2024-06-26.

System for the flux free processing of a plurality of solder balls on a wafer

Номер патента: EP4099366A2. Автор: Jian Zhang. Владелец: Boston Process Technologies Inc. Дата публикации: 2022-12-07.

Method and system of monitoring and controlling deformation of a wafer substrate

Номер патента: US10431436B2. Автор: Huma Ashraf,Roland MUMFORD,Kevin RIDDELL,Grant Baldwin. Владелец: SPTS Technologies Ltd. Дата публикации: 2019-10-01.

Combination of a substrate and a wafer

Номер патента: US20120216959A1. Автор: Erich Thallner. Владелец: Individual. Дата публикации: 2012-08-30.

Combination of a substrate and a wafer

Номер патента: US20120247640A1. Автор: Erich Thallner. Владелец: Individual. Дата публикации: 2012-10-04.

Method for cutting a wafer using a protection sheet

Номер патента: US20060189100A1. Автор: Masaharu Ishizuka. Владелец: TDK Corp. Дата публикации: 2006-08-24.

System and method for backside deposition of a substrate

Номер патента: US20240194516A1. Автор: Gerrit J. Leusink,Ronald Nasman,Hoyoung Kang,Daniel Fulford,Rodney L. Robinson. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-06-13.

Methods for the provision of a coated graphene layer structure on a silicon-containing wafer

Номер патента: GB2628126A. Автор: Guiney Ivor. Владелец: Paragraf Ltd. Дата публикации: 2024-09-18.

Device for changing the temperature of a wafer

Номер патента: US20240347352A1. Автор: Robert John Wilby,Gregor Robert ELLIOTT,Sam OWENS,Abraham MOOSAVI. Владелец: Metryx Ltd. Дата публикации: 2024-10-17.

Top-entry check valve having retainer ring

Номер патента: CA2074448C. Автор: John Philip Scaramucci. Владелец: Individual. Дата публикации: 1997-01-14.

Retaining rings for turbomachine disk and coverplate assemblies

Номер патента: US9732619B2. Автор: ROSS Wilson,David R. Griffin,Dwayne K. MECKLENBURG,Zachary Mott. Владелец: United Technologies Corp. Дата публикации: 2017-08-15.

Top-entry check valve having retainer ring

Номер патента: US5236007A. Автор: John P. Scaramucci. Владелец: Individual. Дата публикации: 1993-08-17.

Apparatus and method for determining the hardness of a granular material

Номер патента: US20180252626A1. Автор: Toni Kojovic. Владелец: Simsage Pty Ltd. Дата публикации: 2018-09-06.

System and method for mitigating overlay distortion patterns caused by a wafer bonding tool

Номер патента: WO2023009350A1. Автор: Mark D. Smith,Franz Zach,Roel Gronheid. Владелец: KLA Corporation. Дата публикации: 2023-02-02.

Wafer holding device for etching process and method for controlling etch rate of a wafer

Номер патента: US20080179285A1. Автор: HAO LI,Hongtao Ma,Hongxin Fang,XiaoFeng Qiao. Владелец: SAE Magnetics HK Ltd. Дата публикации: 2008-07-31.

Systems and methods for calibrating a wafer inspection apparatus

Номер патента: US20200088829A1. Автор: Douglas Michael Baney. Владелец: Keysight Technologies Inc. Дата публикации: 2020-03-19.

Detection of a position of a wafer within a transfer robot vacuum chamber

Номер патента: US20240063038A1. Автор: Slava Superfine,Yaniv Malachy,Dany Trabelsi. Владелец: Tower Semiconductor Ltd. Дата публикации: 2024-02-22.

XRF measurement apparatus for detecting contaminations on the bevel of a wafer

Номер патента: US09541511B2. Автор: Assunta Vigliante. Владелец: Bruker AXS GmbH. Дата публикации: 2017-01-10.

Handle for wafer carrier

Номер патента: US5110001A. Автор: L. Brian Dunn. Владелец: Micron Technology Inc. Дата публикации: 1992-05-05.

Fastener/deflectable retaining ring assembly

Номер патента: WO2003078850A3. Автор: Frank J Cosenza. Владелец: Huck Patents Inc. Дата публикации: 2004-06-17.

Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system

Номер патента: EP1075707A1. Автор: Michael N. Sugarman,Allen Flanigan. Владелец: Applied Materials Inc. Дата публикации: 2001-02-14.

Auto-calibration to a station of a process module that spins a wafer

Номер патента: US20230395410A1. Автор: Peter Thaulad,Paul Konkola,Richard Blank,Jacob L. Hiester. Владелец: Lam Research Corp. Дата публикации: 2023-12-07.

System for directly measuring the depth of a high aspect ratio etched feature on a wafer

Номер патента: US20140110582A1. Автор: David L. Grant,David S. Marx. Владелец: Rudolph Technologies Inc. Дата публикации: 2014-04-24.

Device for cleaning a wafer of abrasive agent suspension remaining after polishing with brushes and DI water

Номер патента: US20020038486A1. Автор: Rudiger Hunger. Владелец: Individual. Дата публикации: 2002-04-04.

Method for fabrication of a silicon photosensor array on a wafer and testing the same

Номер патента: US20030008423A1. Автор: Paul Hosier,Jagdish Tandon. Владелец: Xerox Corp. Дата публикации: 2003-01-09.

Apparatus and method for determining the location of plate elements of a wafer boat

Номер патента: US09865485B2. Автор: Andreas Hartmann,Ottmar Graf,Daniel Knöpfle. Владелец: CENTROTHERM PHOTOVOLTAICS AG. Дата публикации: 2018-01-09.

System for directly measuring the depth of a high aspect ratio etched feature on a wafer

Номер патента: US09587932B2. Автор: David L. Grant,David S. Marx. Владелец: Rudolph Technologies Inc. Дата публикации: 2017-03-07.

Overlay and semiconductor process control using a wafer geometry metric

Номер патента: US09354526B2. Автор: Jaydeep K. Sinha,Pradeep Vukkadala,Sathish Veeraraghavan. Владелец: KLA Tencor Corp. Дата публикации: 2016-05-31.

Segmented retaining rings for antifriction ball bearings

Номер патента: US3820867A. Автор: T Dickinson,C Gronski. Владелец: Textron Inc. Дата публикации: 1974-06-28.

Integrated retaining ring and bushing

Номер патента: US11846159B2. Автор: Anthony Plana,Ted BRECKENFELD. Владелец: Terelion LLC. Дата публикации: 2023-12-19.

Mount for optical element of a laser

Номер патента: WO2013093503A2. Автор: John Hill,Paul Mason,Saumyabrata BANERJEE,Andrew LINTERN. Владелец: THE SCIENCE AND TECHNOLOGY FACILITIES COUNCIL. Дата публикации: 2013-06-27.

Lens retainer ring with compliant element

Номер патента: EP4014081A1. Автор: Ralph Hamilton Shepard,Matthew Last,Chandra Kakani,Drew Ulrich,John Henrie. Владелец: Waymo LLC. Дата публикации: 2022-06-22.

Three-Dimensional Mapping of a Wafer

Номер патента: US20180245910A1. Автор: Tal Marciano,Naomi ITTAH,Vincent Sebastian Immer. Владелец: KLA Tencor Corp. Дата публикации: 2018-08-30.

Method of inspecting a wafer and apparatus for performing the same

Номер патента: US20230104399A1. Автор: Youngkyu Park,Kijoo Hong,Juntaek OH,Jinwoo Ahn,Eunsoo Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-04-06.

Method of inspecting a wafer and apparatus for performing the same

Номер патента: US12111270B2. Автор: Youngkyu Park,Kijoo Hong,Juntaek OH,Jinwoo Ahn,Eunsoo Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-10-08.

Separating a wafer of light emitting devices

Номер патента: US09773941B2. Автор: Satyanarayana Rao Peddada,Frank Lili WEI. Владелец: Koninklijke Philips NV. Дата публикации: 2017-09-26.

Apparatus for extreme UV lithography comprising a wafer chamber and gas curtain

Номер патента: EP1127294B1. Автор: Michael P. Kanouff,Avijit K. Ray-Chaudhuri. Владелец: EUV LLC. Дата публикации: 2006-09-20.

Voltage contrast scan area on a wafer

Номер патента: US20240006254A1. Автор: Xiao Wen,Sairam Subramanian,Dipto THAKURTA. Владелец: Intel Corp. Дата публикации: 2024-01-04.

Method of manufacturing a wafer

Номер патента: US20070000435A1. Автор: Konstantin Bourdelle,Ian Cayrefourcq,Mark Kennard. Владелец: Soitec SA. Дата публикации: 2007-01-04.

Method of manufacturing a wafer

Номер патента: US20050188915A1. Автор: Kennard Mark,Konstantin Bourdelle,Ian Cayrefourcq. Владелец: Soitec SA. Дата публикации: 2005-09-01.

Method of manufacturing a wafer

Номер патента: US7407548B2. Автор: Konstantin Bourdelle,Ian Cayrefourcq,Mark Kennard. Владелец: Soitec SA. Дата публикации: 2008-08-05.

A method of improving adhesion of a cap layer to a porous material layer on a wafer

Номер патента: WO2001078127A2. Автор: Rao V. Annapragada. Владелец: Philips Semiconductors, Inc.. Дата публикации: 2001-10-18.

Cushion system for wafer carriers

Номер патента: US6082540A. Автор: Dennis J. Krampotich,D. Kerry Kiser. Владелец: Fluoroware Inc. Дата публикации: 2000-07-04.

Biased retaining ring for disc drive

Номер патента: US20010040770A1. Автор: XIONG Liu,Joseph Liu,ChoonKiat Lim,Michael Toh. Владелец: Individual. Дата публикации: 2001-11-15.

Method of manufacturing a wafer assembly with junction-isolated vias

Номер патента: EP1856727B1. Автор: Leslie B. Wilner. Владелец: Meggitt San Juan Capistrano Inc. Дата публикации: 2011-11-23.

Utilization of a metallization scheme as an etching mask

Номер патента: US20130328195A1. Автор: Gudrun Stranzl,Martin Zgaga,Manfred Engelhardt,Karl Adolf Mayer. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2013-12-12.

Wafer supporting device of a sputtering apparatus

Номер патента: US20080317564A1. Автор: Chi-Piao Cheng,Yu-Jen Huang,Li-Chun Liang,Been Chen. Владелец: Individual. Дата публикации: 2008-12-25.

Defect detection for semiconductor structures on a wafer

Номер патента: US20230260105A1. Автор: Thomas Korb,Jens Timo NEUMANN,Philipp Huethwohl. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2023-08-17.

Apparatus for analyzing metal contamination of a wafer and a method thereof

Номер патента: US20240282566A1. Автор: Woo Young Park. Владелец: SK Siltron Co Ltd. Дата публикации: 2024-08-22.

A method of improving adhesion of a cap layer to a porous material layer on a wafer

Номер патента: EP1275140A2. Автор: Rao V. Annapragada. Владелец: Philips Semiconductors Inc. Дата публикации: 2003-01-15.

Laser scribe structures for a wafer

Номер патента: US09728509B1. Автор: Anthony K. Stamper,Edward C. Cooney, III,Laurie M. Krywanczyk. Владелец: Globalfoundries Inc. Дата публикации: 2017-08-08.

Method for fabricating electrical bonding pads on a wafer

Номер патента: WO2009027132A1. Автор: Romain Coffy,Jacky Seiller,Gil Provent. Владелец: STMicroelectronics (Grenoble) SAS. Дата публикации: 2009-03-05.

Safety monitoring mechanism of a wafer fabrication platform

Номер патента: US20060232432A1. Автор: Yu-Hung Huang. Владелец: Welltech Semiconductor Inc. Дата публикации: 2006-10-19.

Panel fastener assembly with retainer ring

Номер патента: CA1157695A. Автор: Ervin J. Dey. Владелец: SPS Technologies LLC. Дата публикации: 1983-11-29.

Splined assembly with retaining rings

Номер патента: US3832076A. Автор: G Gehrke. Владелец: Motors Liquidation Co. Дата публикации: 1974-08-27.

Integrated retaining ring and bushing

Номер патента: EP4182538A1. Автор: Anthony Plana,Ted BRECKENFELD. Владелец: Terelion LLC. Дата публикации: 2023-05-24.

Method and System for High Speed Height Control of a Substrate Surface Within a Wafer Inspection System

Номер патента: US20150055141A1. Автор: Zhongping Cai,Jingyi Xiong. Владелец: KLA Tencor Corp. Дата публикации: 2015-02-26.

Split thrust/retainer ring for overrunning clutch

Номер патента: CA1300049C. Автор: Robert J. Ostrander,Kurt J. Magee. Владелец: Dana Inc. Дата публикации: 1992-05-05.

Structure of a shutoff valve

Номер патента: US5992823A. Автор: Lai Hung-Lin. Владелец: Individual. Дата публикации: 1999-11-30.

Device for exposing the peripheral area of a wafer

Номер патента: US6052173A. Автор: Shinetsu Miura,Yoshiki Mimura. Владелец: Ushio Denki KK. Дата публикации: 2000-04-18.

Method and apparatus for cassette integrity testing using a wafer sorter

Номер патента: US20070118300A1. Автор: Richard Guldi,Chris Atkinson,Kelly Mollenkopf. Владелец: Texas Instruments Inc. Дата публикации: 2007-05-24.

Chemical vapor deposition wafer carriers

Номер патента: US20200063287A1. Автор: Yuliy Rashkovsky,Bojan Mitrovic,Eric Armour. Владелец: Veeco Instruments Inc. Дата публикации: 2020-02-27.

Wafer carrier door and latching mechanism with c-shaped cam follower

Номер патента: MY131125A. Автор: Eggum Shawn. Владелец: Entegris Inc. Дата публикации: 2007-07-31.

Wafer carrier door

Номер патента: WO2005048306A2. Автор: Matthew A. Fuller,Jeffrey J. King,John Burns,Mark V. Smith,Martin L. Forbes. Владелец: ENTEGRIS, INC.. Дата публикации: 2005-05-26.

Wafer carrier door and latching mechanism

Номер патента: EP1466063A1. Автор: Shawn Eggum. Владелец: Entegris Inc. Дата публикации: 2004-10-13.

Wafer carrier door

Номер патента: EP1680805A2. Автор: Matthew A. Fuller,Jeffrey J. King,John Burns,Mark V. Smith,Martin L. Forbes. Владелец: Entegris Inc. Дата публикации: 2006-07-19.

Comparison device and method for comparing test pattern files of a wafer tester

Номер патента: US20150074094A1. Автор: Fu-Tai Chen. Владелец: King Yuan Electronics Co Ltd. Дата публикации: 2015-03-12.

Safety interlock system for a wafer prober testing device

Номер патента: US5834944A. Автор: Gary Hancock. Владелец: Sony Corp. Дата публикации: 1998-11-10.

Method for the production of a semiconductor laser device

Номер патента: US5171706A. Автор: Mitsuhiro Matsumoto,Masaki Kondo,Kazuaki Sasaki. Владелец: Sharp Corp. Дата публикации: 1992-12-15.

Heater assembly for heating a wafer

Номер патента: US20030047555A1. Автор: Jae-Han Park,Hyung-sik Hong,Gyeong-Su Keum,Eun-Seok Song,Chung-Hun Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2003-03-13.

Modified mask for photolithography of a wafer with recess

Номер патента: WO2012020382A2. Автор: Florian Bieck. Владелец: Doublecheck Semiconductors Gmbh. Дата публикации: 2012-02-16.

Securing a wafer to a chuck

Номер патента: US20240266204A1. Автор: Eytan Hendel,Dekel Yedid. Владелец: Applied Materials Israel Ltd. Дата публикации: 2024-08-08.

Mechanism to shift the head span of a tape head at a wafer level

Номер патента: US12073858B2. Автор: Icko E. T. Iben,Jason Liang,Hoodin Hamidi. Владелец: International Business Machines Corp. Дата публикации: 2024-08-27.

Comparison device and method for comparing test pattern files of a wafer tester

Номер патента: US09921269B2. Автор: Fu-Tai Chen. Владелец: King Yuan Electronics Co Ltd. Дата публикации: 2018-03-20.

Pattern analysis method of a semiconductor device

Номер патента: US09672611B2. Автор: Seunghune YANG,Sibo CAI,Kiho Yang,Kaiyuan Chi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-06-06.

Optical inspection of a wafer

Номер патента: US11954841B2. Автор: Jiun-Rong Pai,Cheng-Lung Wu,Cheng-Kang Hu,Chih-Lieh CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-09.

Methods and apparatus for planar extension of electrical conductors beyond the edges of a substrate

Номер патента: WO2008010919A3. Автор: Morgan T Johnson. Владелец: Octavian Scient Inc. Дата публикации: 2008-10-02.

Method and apparatus for positoning a wafer chuck

Номер патента: WO2003017339A2. Автор: Bernardo Donoso. Владелец: Applied Materials, Inc.. Дата публикации: 2003-02-27.

Apparatus for transferring a wafer

Номер патента: US20040129760A1. Автор: Charlie Chen,Chih-Hsien Cheng,Chi-Meng Shen,Yao-Chi Fei. Владелец: D-TEK SEMICON TECHNOLOGY CO Ltd. Дата публикации: 2004-07-08.

Method and apparatus for positoning a wafer chuck

Номер патента: WO2003017339A3. Автор: Bernardo Donoso. Владелец: Applied Materials Inc. Дата публикации: 2003-11-27.

Method and apparatus for measuring and dispensing a wafer etchant

Номер патента: US20030024644A1. Автор: Kam Chong. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2003-02-06.

Chip package and a wafer level package

Номер патента: US09917036B2. Автор: Georg Meyer-Berg. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-03-13.

Testing architecture of circuits integrated on a wafer

Номер патента: US09541601B2. Автор: Alberto Pagani. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2017-01-10.

Noncontacting measurement of hall effect in a wafer

Номер патента: CA1129002A. Автор: Gabriel L. Miller,David A.H. Robinson. Владелец: Western Electric Co Inc. Дата публикации: 1982-08-03.

Heat treatment apparatus having a wafer boat

Номер патента: US5310339A. Автор: Harunori Ushikawa. Владелец: Tokyo Electron Ltd. Дата публикации: 1994-05-10.

Method of a photolithography processing system

Номер патента: US6893787B2. Автор: Suing-Jun Lim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2005-05-17.

Method for improving the adhesion of a coating

Номер патента: WO2003063200A2. Автор: Valery V. Felmetsger. Владелец: Sputtered Films, Inc.. Дата публикации: 2003-07-31.

Apparatus for projecting a series of images onto dies of a semiconductor wafer

Номер патента: CA1184673A. Автор: Martin E. Lee. Владелец: General Signal Corp. Дата публикации: 1985-03-26.

Valve retainer ring and related systems and methods

Номер патента: EP4281157A1. Автор: Mohankumar NATESAN. Владелец: Becton Dickinson and Co. Дата публикации: 2023-11-29.

Detecting wafer status in a wafer chuck assembly

Номер патента: US20210183684A1. Автор: Aaron Louis LaBrie,Claudiu Valentin Puha. Владелец: Lam Research Corp. Дата публикации: 2021-06-17.

Valve retainer ring and related systems and methods

Номер патента: AU2021422317A1. Автор: Mohankumar NATESAN. Владелец: Becton Dickinson and Co. Дата публикации: 2023-07-20.

Device and method to provide planarity of a wafer during growth

Номер патента: EP3931369A1. Автор: Roger Nilsson,Richard Spengler. Владелец: EPILUVAC AB. Дата публикации: 2022-01-05.

Valve retainer ring and related systems and methods

Номер патента: US11992647B2. Автор: Mohankumar NATESAN. Владелец: Becton Dickinson and Co. Дата публикации: 2024-05-28.

Device and method to provide planarity of a wafer during growth

Номер патента: WO2020209780A1. Автор: Roger Nilsson,Richard Spengler. Владелец: EPILUVAC AB. Дата публикации: 2020-10-15.

Measuring method of resistivity of a wafer

Номер патента: US20230040616A1. Автор: XING Wei,Minghao LI,Zhongying Xue. Владелец: Zing Semiconductor Corp. Дата публикации: 2023-02-09.

Methods and apparatus for flexible extension of electrical conductors beyond the edges of a substrate

Номер патента: WO2008011106A3. Автор: Morgan T Johnson,Peter H Decher. Владелец: Peter H Decher. Дата публикации: 2008-09-18.

Method for fabricating electrical bonding pads on a wafer

Номер патента: EP2181569A1. Автор: Romain Coffy,Jacky Seiller,Gil Provent. Владелец: STMicroelectronics Grenoble 2 SAS. Дата публикации: 2010-05-05.

Methods for detecting the endpoint of a photoresist stripping process

Номер патента: US20020148811A1. Автор: Tuqiang Ni,Wenli Collision. Владелец: Individual. Дата публикации: 2002-10-17.

System and method for scheduling the movement of wafers in a wafer-processing tool

Номер патента: US20030120371A1. Автор: Tatsuya Ogi,Kentaro Joma. Владелец: Individual. Дата публикации: 2003-06-26.

System and method for scheduling the movement of wafers in a wafer-processing tool

Номер патента: US20020160621A1. Автор: Tatsuya Ogi,Kentaro Joma. Владелец: Tokyo Electron Ltd. Дата публикации: 2002-10-31.

System and method for scheduling the movement of wafers in a wafer-processing tool

Номер патента: WO2002088859A2. Автор: Tatsuya Ogi,Kentaro Joma. Владелец: Tokyo Electron, Ltd.. Дата публикации: 2002-11-07.

Method of dicing a wafer and semiconductor chip

Номер патента: US09911655B2. Автор: Markus Brunnbauer,Gunther Mackh,Bernhard Drummer,Korbinian Kaspar. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-03-06.

Method of dicing a wafer and semiconductor chip

Номер патента: US09570352B2. Автор: Markus Brunnbauer,Gunther Mackh,Bernhard Drummer,Korbinian Kaspar. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-02-14.

Method of dividing a wafer and method of manufacturing a semiconductor device

Номер патента: US5888883A. Автор: Shigeo Sasaki,Shinya Takyu,Keisuke Tokubuchi,Koichi Yazima. Владелец: Toshiba Corp. Дата публикации: 1999-03-30.

Apparatus for projecting a series of images onto dies of a semiconductor wafer

Номер патента: US4444492A. Автор: Martin E. Lee. Владелец: General Signal Corp. Дата публикации: 1984-04-24.

Apparatus for inspection of a wafer

Номер патента: US7327450B2. Автор: Albert Kreh,Henning Backhauss. Владелец: VISTEC SEMICONDUCTOR SYSTEMS GMBH. Дата публикации: 2008-02-05.

Method of using a specimen sensing end effector to determine angular orientation of a specimen

Номер патента: US6618645B2. Автор: Paul Bacchi,Paul S. Filipski. Владелец: Newport Corp USA. Дата публикации: 2003-09-09.

Apparatus for projecting a series of images onto dies of a semiconductor wafer

Номер патента: CA1171555A. Автор: Ronald S. Hershel. Владелец: General Signal Corp. Дата публикации: 1984-07-24.

Retainer ring and splined member assembly

Номер патента: CA1066526A. Автор: William K. Good,Wilson J. Harbage. Владелец: KOPPY CORP. Дата публикации: 1979-11-20.

Interwafer connection structure for coupling wafers in a wafer stack

Номер патента: US11901338B2. Автор: Myongseob Kim,Henley Liu,Cheang Whang CHANG. Владелец: Xilinx Inc. Дата публикации: 2024-02-13.

Accommodating device for accommodation and mounting of a wafer

Номер патента: EP2923376A1. Автор: Richard Dalton Peters,Travis Acra,Spencer Hochstetler. Владелец: EV GROUP Inc. Дата публикации: 2015-09-30.

Cleaning method and a cleaning device for cleaning an edge portion and back face of a wafer

Номер патента: US20060272676A1. Автор: Kazuhiko Takase,Masao Iwase. Владелец: Individual. Дата публикации: 2006-12-07.

Support device for a wafer shipping container

Номер патента: US20010042697A1. Автор: Toshitsugu Yajima,Hiroyuki Funami. Владелец: Shin Etsu Polymer Co Ltd. Дата публикации: 2001-11-22.

Method of production of a film

Номер патента: WO2007017763A3. Автор: Cecile Aulnette,Carlos Mazure,Ian Cayrefourcq. Владелец: Ian Cayrefourcq. Дата публикации: 2007-04-19.

Method of forming an integrated circuit package at a wafer level

Номер патента: EP1279193A2. Автор: Ken M. Lam. Владелец: Atmel Corp. Дата публикации: 2003-01-29.

Method of forming an integrated circuit package at a wafer level

Номер патента: WO2001082361A2. Автор: Ken M. Lam. Владелец: ATMEL CORPORATION. Дата публикации: 2001-11-01.

Method of forming a stacked-die integrated circuit chip package on a wafer level

Номер патента: WO2001067511A2. Автор: Ken M. Lam. Владелец: ATMEL CORPORATION. Дата публикации: 2001-09-13.

Method of Dicing a Wafer

Номер патента: US20160379884A1. Автор: Michael Roesner,Gudrun Stranzl,Rudolf Rothmaler,Joerg Ortner. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-12-29.

Method of Arranging Dies in a Wafer for Easy Inkless Partial Wafer Process

Номер патента: US20090191689A1. Автор: Balamurugan Subramanian. Владелец: Texas Instruments Inc. Дата публикации: 2009-07-30.

Method of dicing a wafer

Номер патента: US09704748B2. Автор: Michael Roesner,Gudrun Stranzl,Rudolf Rothmaler,Joerg Ortner. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-07-11.

Tray for a wafer with tape frame

Номер патента: US09666468B2. Автор: Masayuki Nishijima. Владелец: Achilles Corp. Дата публикации: 2017-05-30.

Optical apparatus for measuring profiles of a wafer

Номер патента: US5995226A. Автор: Nobuaki Iguchi,Kohzo Abe. Владелец: Super Silicon Crystal Research Institute Corp. Дата публикации: 1999-11-30.

System for finding the orientation of a wafer

Номер патента: US5825913A. Автор: David J. Michael,David J. Wilson,Fariborz Rostami,James Harald Clark. Владелец: Cognex Corp. Дата публикации: 1998-10-20.

Apparatus for processing a wafer-shaped article

Номер патента: US20230298928A1. Автор: Michael Brugger,Michael Duller,Burkhart SCHIER. Владелец: LAM RESEARCH AG. Дата публикации: 2023-09-21.

Wafer treating device and sealing ring for a wafer treating device.

Номер патента: NL2014625A. Автор: Braun Michael,Albert Dieter. Владелец: SUSS MICROTEC LITHOGRAPHY GMBH. Дата публикации: 2016-10-14.

Handling device for handling of a wafer

Номер патента: US20130119688A1. Автор: Thomas Wagenleitner,Martin Schmidbauer,Ingo Brandstätter. Владелец: EV Group GmbH. Дата публикации: 2013-05-16.

Multi-chip modules formed using wafer-level processing of a reconstituted wafer

Номер патента: US20240006377A1. Автор: Liang Wang,Rajesh Katkar. Владелец: Adeia Semiconductor Technologies LLC. Дата публикации: 2024-01-04.

Method of separating semiconductor dies from a wafer

Номер патента: US20040129451A1. Автор: Kurt Wachtler. Владелец: Individual. Дата публикации: 2004-07-08.

Calibration of a scanning electron microscope

Номер патента: WO2001011656A1. Автор: Weidong Liu,Laurence S. Hordon,Jason C. Yee,David M. Goodstein. Владелец: Kla Tencor Corporation. Дата публикации: 2001-02-15.

Dicing a wafer

Номер патента: US20190385911A1. Автор: Jerry Gomez Cayabyab,Jeniffer Otero Aspuria,Alvin Lopez Andaya,Julian Carlo Concepc Barbadillo. Владелец: Texas Instruments Inc. Дата публикации: 2019-12-19.

A method for bonding a chip to a wafer

Номер патента: US20170084570A1. Автор: Sunil Wickramanayaka,Ling Xie,Jerry Jie Li Aw. Владелец: Agency for Science Technology and Research Singapore. Дата публикации: 2017-03-23.

Method of forming a stacked-die integrated circuit chip package on a wafer level

Номер патента: MY134235A. Автор: M Lam Ken. Владелец: Atmel Corp. Дата публикации: 2007-11-30.

Adhesive composition for a wafer processing film

Номер патента: US20130295747A1. Автор: Jang-Soon Kim. Владелец: LG HAUSYS LTD. Дата публикации: 2013-11-07.

Method for cutting a wafer

Номер патента: US20040139601A1. Автор: Simon Shiau. Владелец: Kingpak Technology Inc. Дата публикации: 2004-07-22.

Method for optimizing protection circuits of electronic device chips in a wafer

Номер патента: US20240250084A1. Автор: Jheng-Sheng You,Weixing DU. Владелец: Innoscience Suzhou Semiconductor Co Ltd. Дата публикации: 2024-07-25.

Apparatus for processing a wafer-shaped article

Номер патента: US20240304489A1. Автор: Thomas Passegger,Michael Brugger,Burkhart SCHIER,Wolfgang KRAUTZER. Владелец: LAM RESEARCH AG. Дата публикации: 2024-09-12.

Method and apparatus for removing die from a wafer and conveying die to a pickup location

Номер патента: EP1064152A1. Автор: Peter Davis,Dean Tarrant. Владелец: Hover-Davis Inc. Дата публикации: 2001-01-03.

Wafer arrangement and method for processing a wafer

Номер патента: US09966293B2. Автор: Francisco Javier Santos Rodriguez,Gerald Lackner,Josef Unterweger. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-05-08.

Detecting defects on a wafer using defect-specific information

Номер патента: US09721337B2. Автор: Lisheng Gao,Kenong Wu,Meng-Che Wu. Владелец: KLA Tencor Corp. Дата публикации: 2017-08-01.

Apparatus for depositing particles onto a wafer

Номер патента: US5746832A. Автор: Seung-ki Chae,Jong-Soo Kim,Byung-seol Ahn,Sang-kyu Hahm. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1998-05-05.

Arrangement and method for controlling the displacements of a wafer or like sample in electronic apparatus

Номер патента: GB1275577A. Автор: . Владелец: Compagnie Francaise Thomson Houston SA. Дата публикации: 1972-05-24.

A wafer-type tumbler cylinder and key

Номер патента: EP1995401A1. Автор: Cheng-Ju Yang. Владелец: Individual. Дата публикации: 2008-11-26.

Edge rinse mechanism for removing a peripheral portion of a resist film formed on a wafer

Номер патента: US5993547A. Автор: Hiroshi Sato. Владелец: NEC Corp. Дата публикации: 1999-11-30.

Via formed in a wafer using a front-side and a back-side process

Номер патента: US20240038695A1. Автор: Ankur Aggarwal,Jeremy Matthew Plunkett. Владелец: Celestial AI Inc. Дата публикации: 2024-02-01.

System for backside deposition of a substrate

Номер патента: US11908728B2. Автор: Gerrit J. Leusink,Rodney L. Robison,Ronald Nasman,Hoyoung Kang,Daniel Fulford. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-02-20.

Laser beam processing method for a wafer

Номер патента: US20120309168A1. Автор: Tomohiro Endo. Владелец: Disco Corp. Дата публикации: 2012-12-06.

System and method for mitigating overlay distortion patterns caused by a wafer bonding tool

Номер патента: US11782411B2. Автор: Mark D. Smith,Franz Zach,Roel Gronheid. Владелец: KLA Corp. Дата публикации: 2023-10-10.

Environment exchange control for material on a wafer surface

Номер патента: US20030190427A1. Автор: Reese Reynolds,Tom Zhong,Emir Gurer,Ed Lee,John Lewellen,Kevin Golden,Scott Wackerman. Владелец: Asml Holding Nv. Дата публикации: 2003-10-09.

Generating a Wafer Inspection Process Using Bit Failures and Virtual Inspection

Номер патента: US20160163606A1. Автор: George Simon,Poh Boon YONG,Yuezhong DU. Владелец: KLA Tencor Corp. Дата публикации: 2016-06-09.

Integrated circuit package formed at a wafer level

Номер патента: MY135942A. Автор: Ken M Lam. Владелец: Atmel Corp. Дата публикации: 2008-07-31.

Integrated circuit package formed at a wafer level

Номер патента: EP1238427A2. Автор: Ken M. Lam. Владелец: Atmel Corp. Дата публикации: 2002-09-11.

Integrated circuit package formed at a wafer level

Номер патента: WO2001045167A2. Автор: Ken M. Lam. Владелец: ATMEL CORPORATION. Дата публикации: 2001-06-21.

Method and carrier element for producing a wafer layer

Номер патента: US20220406590A1. Автор: Stefan Reber,Kai Schillinger,Nena MILENKOVIC. Владелец: NexWafe GmbH. Дата публикации: 2022-12-22.

A method for focusing an electron beam on a wafer having a transparent substrate

Номер патента: EP4285114A1. Автор: Arie Bader,Tamir Nuna. Владелец: Applied Materials Israel Ltd. Дата публикации: 2023-12-06.

Environment exchange control for material on a wafer surface

Номер патента: EP1116074A1. Автор: Reese Reynolds,Tom Zhong,Emir Gurer,Ed C. Lee,John W. Lewellen,Kevin Golden,Scott C. Wackerman. Владелец: ASML US Inc. Дата публикации: 2001-07-18.

Method and apparatus for testing signal paths between an integrated circuit wafer and a wafer tester

Номер патента: EP1275010A2. Автор: Benjamin N. Eldridge,Ralph G. Whitten. Владелец: Formfactor Inc. Дата публикации: 2003-01-15.

Method for aligning to a pattern on a wafer

Номер патента: US20230288346A1. Автор: Yuan-Chi Pai,Wen Yi Tan,Maohua Ren,Dian Han Liu. Владелец: United Semiconductor Xiamen Co Ltd. Дата публикации: 2023-09-14.

Apparatus and method for holding a wafer

Номер патента: US20130100573A1. Автор: Emily Shu. Владелец: Semiconductor Manufacturing International Corp. Дата публикации: 2013-04-25.

Apparatus and method for holding a wafer

Номер патента: US8929051B2. Автор: Emily Shu. Владелец: Semiconductor Manufacturing International Corp. Дата публикации: 2015-01-06.

Testing a single chip in a wafer probing system

Номер патента: GB2628265A. Автор: Gentner Thomas,Torreiter Otto,Cook Lobo Alejandro. Владелец: International Business Machines Corp. Дата публикации: 2024-09-18.

Manufacture of solar concentrator modules using a wafer precursor

Номер патента: US09960305B2. Автор: Randall B. Olsen. Владелец: US Department of Navy. Дата публикации: 2018-05-01.

System and method for inspecting a wafer

Номер патента: US09863889B2. Автор: Ajharali Amanullah,Han Cheng Ge. Владелец: Semiconductor Tech and Instruments Pte Ltd. Дата публикации: 2018-01-09.

Magnetic field programming of electronic devices on a wafer

Номер патента: US09824774B2. Автор: Philippe Lance,Lianjun Liu. Владелец: NXP USA Inc. Дата публикации: 2017-11-21.

Optical programming of electronic devices on a wafer

Номер патента: US09607911B2. Автор: Philippe Lance,Lianjun Liu,David J. Monk,Babak A. Taheri. Владелец: NXP USA Inc. Дата публикации: 2017-03-28.

Brush seal for stator of a gas turbine engine case

Номер патента: US5639211A. Автор: Matthew E. Bintz. Владелец: United Technologies Corp. Дата публикации: 1997-06-17.

Software to determine the position of the center of a wafer

Номер патента: US5706201A. Автор: J. Randolph Andrews. Владелец: Fortrend Engr Corp. Дата публикации: 1998-01-06.

Reducing variations in transistor characteristics across a wafer using lamp annealing

Номер патента: GB2363253A. Автор: Jun Mihira. Владелец: NEC Corp. Дата публикации: 2001-12-12.

Process for the manufacture of a filled wafer

Номер патента: CA2230061C. Автор: Donald Reginald Biggs,Johannes Krieg,Franciscus Johannes Hersbach,Gerlof Louwrens Maarten Van Hoek. Владелец: UNILEVER PLC. Дата публикации: 2006-03-28.

System and method for mitigating overlay distortion patterns caused by a wafer bonding tool

Номер патента: EP4324025A1. Автор: Mark D. Smith,Franz Zach,Roel Gronheid. Владелец: KLA Corp. Дата публикации: 2024-02-21.

Device and process for wet treating a peripheral area of a wafer-shaped article

Номер патента: WO2009065757A1. Автор: Dieter Frank,Alexander Schwarzfurtner,Jürgen PARZEFALL. Владелец: Sez Ag. Дата публикации: 2009-05-28.

System and method for mitigating overlay distortion patterns caused by a wafer bonding tool

Номер патента: US20240053721A1. Автор: Mark D. Smith,Franz Zach,Roel Gronheid. Владелец: KLA Corp. Дата публикации: 2024-02-15.

Electrochromic lens comprising a wafer having a perimeter shoulder

Номер патента: EP4162315A2. Автор: Mathieu Meynen,Marc Reignault,Sunil Bhangale,Sira UHALTE NOGUES. Владелец: Essilor International SAS. Дата публикации: 2023-04-12.

Method for construction, strengthening and homogenization of a wafer

Номер патента: US20120058713A1. Автор: Shura LEE. Владелец: Individual. Дата публикации: 2012-03-08.

Device to adjust the rotation of a canopy of a sunshade

Номер патента: US20210079956A1. Автор: Jianqiang Xie. Владелец: Yotrio Group Co Ltd. Дата публикации: 2021-03-18.

Method of processing a wafer

Номер патента: US20200176313A1. Автор: Hidekazu Iida,Yoshiteru Nishida,Youngsuk Kim,Kenta Chito. Владелец: Disco Corp. Дата публикации: 2020-06-04.

Method for dicing a wafer

Номер патента: US20070224780A1. Автор: Fu Tang Chu,Chi Yuam Chung. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2007-09-27.

Method for inspecting a wafer and apparatus for inspecting a wafer

Номер патента: US20040161866A1. Автор: Hyo-cheon Kang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-08-19.

Method for inspecting a wafer and apparatus for inspecting a wafer

Номер патента: US6913939B2. Автор: Hyo-cheon Kang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2005-07-05.

Method of isolating bad pixels on a wafer

Номер патента: US09530820B1. Автор: Chi Yi Chen,Sheri Douglas,Jon Ellsworth,Aristo Yulius,Gerrit Meddeler. Владелец: Teledyne Scientific and Imaging LLC. Дата публикации: 2016-12-27.

Apparatus for detecting a pre-aligning element at a wafer

Номер патента: US09476701B2. Автор: Thomas Zell,Horst Kittner. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-10-25.

Method and apparatus for scanning exposure having thickness measurements of a film surface

Номер патента: US5744814A. Автор: Takayuki Uchiyama. Владелец: NEC Corp. Дата публикации: 1998-04-28.

Method and apparatus for the multiplexed acquisition of a bare die from a wafer

Номер патента: EP1620889A1. Автор: Michael A. Summers. Владелец: Capital Formation Inc. Дата публикации: 2006-02-01.

Plating apparatus for plating a wafer

Номер патента: US6033540A. Автор: Masahiro Tamaki,Katsuya Kosaki. Владелец: Mitsubishi Electric Corp. Дата публикации: 2000-03-07.

Brush seal for stator of a gas turbine engine case

Номер патента: US5715596A. Автор: Matthew E. Bintz. Владелец: United Technologies Corp. Дата публикации: 1998-02-10.

Method and apparatus for vertical transfer of a semiconductor wafer cassette

Номер патента: US5788458A. Автор: Anthony C. Bonora,Joshua T. Oen,Michael A. Neads. Владелец: Asyst Technologies Inc. Дата публикации: 1998-08-04.

Electrode for dry etching a wafer

Номер патента: US20050178505A1. Автор: Young Yul Kim. Владелец: Individual. Дата публикации: 2005-08-18.

Apparatus for measuring the electrical characteristics of a semiconductor wafer

Номер патента: US5179333A. Автор: Takao Ohta,Shoichi Washizuka. Владелец: Toshiba Corp. Дата публикации: 1993-01-12.

Method for manufacturing a wafer level chip scale package (WLCSP)

Номер патента: US11908831B2. Автор: David Gani,Chun Yi TENG. Владелец: STMICROELECTRONICS PTE LTD. Дата публикации: 2024-02-20.

Fabricating a Wafer Level Semiconductor Package Having a Pre-formed Dielectric Layer

Номер патента: US20140087553A1. Автор: Edward Law,Kevin (Kunzhong) HU,Chonghua ZHONG. Владелец: Broadcom Corp. Дата публикации: 2014-03-27.

Fabricating a wafer level semiconductor package having a pre-formed dielectric layer

Номер патента: US8945991B2. Автор: Edward Law,Kevin (Kunzhong) HU,Chonghua ZHONG. Владелец: Broadcom Corp. Дата публикации: 2015-02-03.

Calibration Of A Small Angle X-Ray Scatterometry Based Metrology System

Номер патента: US20180113084A1. Автор: John Hench,Nikolay ARTEMIEV,Antonio GELLINEAU,Joseph A. Di Regolo. Владелец: KLA Tencor Corp. Дата публикации: 2018-04-26.

Method for inspecting a wafer and apparatus for inspecting a wafer

Номер патента: US20050176159A1. Автор: Hyo-cheon Kang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2005-08-11.

Method for processing a wafer and method for dicing a wafer

Номер патента: US09553021B2. Автор: Markus Menath. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-01-24.

Method of monitoring the machining by ion bombardment of a piezoelectric wafer

Номер патента: US4092588A. Автор: Bernard Desormiere,Marc Berte. Владелец: Thomson CSF SA. Дата публикации: 1978-05-30.

Systems and methods for providing wafer access in a wafer processing system

Номер патента: EP2939256A1. Автор: Stojan Kanev,Frank Fehrmann,Botho Hirschfeld. Владелец: CASCADE MICROTECH INC. Дата публикации: 2015-11-04.

Wafer clamp and a method of clamping a wafer

Номер патента: US20200020557A1. Автор: Jui Tang Chang,Fang Wan Lu. Владелец: Himax Technologies Ltd. Дата публикации: 2020-01-16.

Method for determining misalignment between a reticle and a wafer

Номер патента: WO2001038939A2. Автор: Pierre Leroux. Владелец: Philips Semiconductors, Inc.. Дата публикации: 2001-05-31.

Non-contact apparatus and method for measuring a property of a dielectric layer on a wafer

Номер патента: US7751061B2. Автор: Pedro Vagos. Владелец: Nanometrics Inc. Дата публикации: 2010-07-06.

Method of generating a projection pattern of a plurality of projections of a substrate table

Номер патента: WO2024132327A1. Автор: Alexander Stein,Ernst LEHBERGER. Владелец: ASML Netherlands B.V.. Дата публикации: 2024-06-27.

Method for trimming the light sensitivity of a phototransistor

Номер патента: US20240222203A1. Автор: Manuel Schmidt,Dennis Noll,Kevin Cromwell. Владелец: Vishay Semiconductor GmbH. Дата публикации: 2024-07-04.

Method for determining misalignment between a reticle and a wafer

Номер патента: EP1145079A2. Автор: Pierre Leroux. Владелец: Philips Semiconductors Inc. Дата публикации: 2001-10-17.

Method for determining misalignment between a reticle and a wafer

Номер патента: EP1145079A3. Автор: Pierre Leroux. Владелец: Philips Semiconductors Inc. Дата публикации: 2002-04-10.

Integrated circuits on a wafer and methods for manufacturing integrated circuits

Номер патента: US09620456B2. Автор: Heimo Scheucher. Владелец: NXP BV. Дата публикации: 2017-04-11.

Detecting defects on a wafer using defect-specific and multi-channel information

Номер патента: US09552636B2. Автор: David W. Shortt,Lisheng Gao,Kenong Wu,Grace Hsiu-Ling Chen. Владелец: KLA Tencor Corp. Дата публикации: 2017-01-24.

Method for growing epitaxies of a chemical compound semiconductor

Номер патента: US09287122B2. Автор: YI Chang,Hung-Wei Yu,Tsun-Ming Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-03-15.

Transfer of a thin layer from a wafer comprising a buffer layer

Номер патента: WO2004006327A3. Автор: Bruno Ghyselen,Nicolas Daval,Cecile Aulnette,Benedite Osternaud. Владелец: Benedite Osternaud. Дата публикации: 2004-03-04.

Method for manufacturing a wafer having a microdefect-free layer of a precisely predetermined depth

Номер патента: US5961713A. Автор: Witawat Wijaranakula. Владелец: SEH America Inc. Дата публикации: 1999-10-05.

Second pole piece of a write head fabricated by high aspect ratio lithography and image transfer.

Номер патента: MY124855A. Автор: Alberto Emilio Santini Hugo. Владелец: Ibm. Дата публикации: 2006-07-31.

Structure of a bipolar junction transistor and fabricating method thereof

Номер патента: US20060199347A1. Автор: Ching-Hung Kao. Владелец: United Microelectronics Corp. Дата публикации: 2006-09-07.

Methods and systems for determining a dose-to-clear of a photoresist

Номер патента: US9275449B2. Автор: Lei Sun,II Obert Reeves Wood. Владелец: Globalfoundries Inc. Дата публикации: 2016-03-01.

Systems and methods for detecting forcer misalignment in a wafer prober

Номер патента: US20220082589A1. Автор: Sherwin Peñaflor,Lester Tipano,Gerald Paul Margallo. Владелец: Microchip Technology Inc. Дата публикации: 2022-03-17.

Methods and systems for determining a dose-to-clear of a photoresist

Номер патента: US20150023583A1. Автор: Lei Sun,Obert Reeves Wood, III. Владелец: Globalfoundries Inc. Дата публикации: 2015-01-22.

Manufacturing method of a semiconductor device

Номер патента: US6605512B2. Автор: Yukihiro Kiyota. Владелец: HITACHI LTD. Дата публикации: 2003-08-12.

Test head for electrical testing of a test specimen

Номер патента: US09513331B2. Автор: Günther Böhm,Achim Weiland,Stefan TRENZ. Владелец: FEINMETALL GMBH. Дата публикации: 2016-12-06.

Method of thinning a wafer to provide a raised peripheral edge

Номер патента: US09378985B2. Автор: Belgacem Haba,Ilyas Mohammed. Владелец: Invensas LLC. Дата публикации: 2016-06-28.

Wet etching of the edge and bevel of a silicon wafer

Номер патента: WO2006060752A3. Автор: Herman Itzkowitz,John Taddei. Владелец: John Taddei. Дата публикации: 2009-04-23.

Wafer arrangement and method for processing a wafer

Номер патента: US10777444B2. Автор: Francisco Javier Santos Rodriguez,Gerald Lackner,Josef Unterweger. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2020-09-15.

A wafer transfer system and method of using the same

Номер патента: WO1998001378A1. Автор: Masato Toshima. Владелец: Gamma Precision Technology, Inc.. Дата публикации: 1998-01-15.

Wafer arrangement and method for processing a wafer

Номер патента: US20180261487A1. Автор: Francisco Javier Santos Rodriguez,Gerald Lackner,Josef Unterweger. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-09-13.

Apparatus and method for blocking the deposition of oxide on a wafer

Номер патента: US6120607A. Автор: Kunal N. Taravade. Владелец: LSI Logic Corp. Дата публикации: 2000-09-19.

Method of singulation of dies from a wafer

Номер патента: US20240105514A1. Автор: Kan Wae Lam,Randolph Estal Flauta,Wai Hung William Hor. Владелец: Nexperia BV. Дата публикации: 2024-03-28.

Method of forming a stacked-die integrated circuit chip package on a wafer level

Номер патента: WO2001067511A3. Автор: Ken M Lam. Владелец: Atmel Corp. Дата публикации: 2002-02-07.

Method And Apparatus for Uniformly Implanting A Wafer With An Ion Beam

Номер патента: US20110037000A1. Автор: Cheng-Hui Shen,Don Berrian. Владелец: Advanced Ion Beam Technology Inc. Дата публикации: 2011-02-17.

Method of arranging dies in a wafer for easy inkless partial wafer process

Номер патента: WO2008045826A3. Автор: Balamuragun Subramanian. Владелец: Balamuragun Subramanian. Дата публикации: 2008-08-07.

Wafer support member and method of manufacturing a wafer support member

Номер патента: US20240145282A1. Автор: Paul Gwin,Peter Davison. Владелец: Intel Corp. Дата публикации: 2024-05-02.

Method of arranging dies in a wafer for easy inkless partial wafer process

Номер патента: WO2008045826A2. Автор: Balamuragun Subramanian. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2008-04-17.

Method of detecting an edge bead removal line on a wafer

Номер патента: US20060238751A1. Автор: Michael Heiden. Владелец: VISTEC SEMICONDUCTOR SYSTEMS GMBH. Дата публикации: 2006-10-26.

Device for holding a wafer-shaped article

Номер патента: US20240290649A1. Автор: Michael Brugger. Владелец: Individual. Дата публикации: 2024-08-29.

Modular frame for a wafer fabrication system

Номер патента: US20040033125A1. Автор: Rafael Gomez,Jonathan Borkowski,Abdul Ghafar,Kay Coghlan,Andres Cannavo,Rodney Ow. Владелец: Brooks Automation Inc. Дата публикации: 2004-02-19.

Method for examining structures on a wafer

Номер патента: US20020090747A1. Автор: Frank Richter,Guenter Gerstmeier,Valentin Rosskopf. Владелец: Individual. Дата публикации: 2002-07-11.

Method and apparatus for detection of failures in a wafer using transforms and cluster signature analysis

Номер патента: US20070160281A1. Автор: Rafik Marutyan. Владелец: HPL Technologies Inc. Дата публикации: 2007-07-12.

Spacer displacement device for a wafer illumination unit and wafer illumination unit

Номер патента: US09958795B2. Автор: Matthias Conradi,Janusz Schulz. Владелец: Suess Microtec Lithography GmbH. Дата публикации: 2018-05-01.

Method of separating a wafer of semiconductor devices

Номер патента: US09608016B2. Автор: Stefano Schiaffino,Grigoriy Basin,Jipu Lei,Alexander H. Nickel. Владелец: Koninklijke Philips NV. Дата публикации: 2017-03-28.

Apparatus for filling a wafer via with solder

Номер патента: US09603254B2. Автор: Jeong Han Kim,Chang Woo Lee,Jun Ki Kim,Sehoon Yoo,Young Ki Ko. Владелец: Korea Institute of Industrial Technology KITECH. Дата публикации: 2017-03-21.

Method and system of classifying defects on a wafer

Номер патента: US09436988B2. Автор: Wei Fang,Jack Jau,Zhaoli Zhang. Владелец: Hermes Microvision Inc. Дата публикации: 2016-09-06.

Support ring of railway bearing

Номер патента: RU2518789C2. Автор: Пол А. ХАББАРД. Владелец: АМСТЕД РЭЙЛ КОМПАНИ, ИНК.. Дата публикации: 2014-06-10.

Combustion chamber of a gas turbine engine

Номер патента: RU2670483C1. Автор: Валерий Николаевич Сиротин. Владелец: Валерий Николаевич Сиротин. Дата публикации: 2018-10-23.

Apparatus for monitoring processing of a substrate

Номер патента: US6129807A. Автор: Shaoher X. Pan,Michael Grimbergen. Владелец: Applied Materials Inc. Дата публикации: 2000-10-10.

Integrated circuit semiconductor device formed on a wafer

Номер патента: US4721995A. Автор: Tetsu Tanizawa. Владелец: Fujitsu Ltd. Дата публикации: 1988-01-26.

Method for processing a wafer, and layer arrangement

Номер патента: US20140061873A1. Автор: Joachim Hirschler,Gudrun Stranzl. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2014-03-06.

Detection of an electric arc hazard related to a wafer

Номер патента: US20210063461A1. Автор: Samuel Ives Nackash,Yosef Basson,Ittamar Levy. Владелец: Applied Materials Israel Ltd. Дата публикации: 2021-03-04.

Apparatus for processing a wafer

Номер патента: WO2021170373A1. Автор: Michael Brugger,Michael PUGGL,Christian Putzi. Владелец: LAM RESEARCH AG. Дата публикации: 2021-09-02.

Systems and methods for inspecting a wafer with increased sensitivity

Номер патента: WO2007087101A2. Автор: Christian Wolters,Kurt L. Haller. Владелец: Shortt, David. Дата публикации: 2007-08-02.

Phase shift photomask and method for improving printability of a structure on a wafer

Номер патента: WO2005050310A3. Автор: Kent Nakagawa. Владелец: Kent Nakagawa. Дата публикации: 2006-02-23.

Comparison device and method for comparing test pattern files of a wafer tester

Номер патента: US20120158758A1. Автор: Fu-Tai Chen. Владелец: King Yuan Electronics Co Ltd. Дата публикации: 2012-06-21.

Testing a single chip in a wafer probing system

Номер патента: WO2023105317A1. Автор: Otto Torreiter,Thomas Gentner,Alejandro COOK LOBO. Владелец: Ibm (China) Investment Company Ltd.. Дата публикации: 2023-06-15.

Wafer arrangement and method for processing a wafer

Номер патента: US20160086838A1. Автор: Francisco Javier Santos Rodriguez,Gerald Lackner,Josef Unterweger. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-03-24.

Method and apparatus for telemetry of system on a wafer

Номер патента: WO2024072934A1. Автор: Benjamin Floering,Kamran HASAN,Adam NASR. Владелец: Tesla, Inc.. Дата публикации: 2024-04-04.

Apparatus for processing a wafer-shaped article

Номер патента: WO2023174750A1. Автор: Christian Fischer,Michael Brugger,Stefan Egger,Michael PUGGL,Clara Theresia KOHLWEISS. Владелец: LAM RESEARCH AG. Дата публикации: 2023-09-21.

Method for producing a contact structure of a photovoltaic cell and photovoltaic cell

Номер патента: US20160225921A1. Автор: Tim Boescke. Владелец: Ion Beam Services SA. Дата публикации: 2016-08-04.

Apparatus for processing a wafer

Номер патента: EP4111493A1. Автор: Michael Brugger,Michael PUGGL,Christian Putzi. Владелец: LAM RESEARCH AG. Дата публикации: 2023-01-04.

Apparatus for processing a wafer-shaped article

Номер патента: EP4295388A1. Автор: Thomas Passegger,Michael Brugger,Burkhart SCHIER,Wolfgang KRAUTZER. Владелец: LAM RESEARCH AG. Дата публикации: 2023-12-27.

Apparatus for processing a wafer-shaped article

Номер патента: WO2022175391A1. Автор: Thomas Passegger,Michael Brugger,Burkhart SCHIER,Wolfgang KRAUTZER. Владелец: LAM RESEARCH AG. Дата публикации: 2022-08-25.

Mapping of a replacement parts storage container

Номер патента: US12014944B2. Автор: Jeffrey Hudgens,Nicholas Michael Bergantz,Doug McAllister,Helder Lee. Владелец: Applied Materials Inc. Дата публикации: 2024-06-18.

Apparatus and method for holding a wafer

Номер патента: US20130100572A1. Автор: Emily Shu. Владелец: Semiconductor Manufacturing International Corp. Дата публикации: 2013-04-25.

Improved rails for semiconductor wafer carriers

Номер патента: EP1735818A1. Автор: Steven A. Brown,Thomas Werninghaus,Manuel Gonzales,Claudia Kopel. Владелец: Poco Graphite Inc. Дата публикации: 2006-12-27.

Method and apparatus for compensating defects of a mask blank

Номер патента: WO2019021154A1. Автор: Joachim Welte. Владелец: CARL ZEISS SMS LTD.. Дата публикации: 2019-01-31.

Semiconductor wafer carrier blade

Номер патента: US7878562B2. Автор: John A. Pitney,Manabu Hamano,Lance G. Hellwig. Владелец: SunEdison Inc. Дата публикации: 2011-02-01.

Method of and device for determining the warpage of a wafer

Номер патента: WO2001016559A1. Автор: Joachim Schober. Владелец: KONINKLIJKE PHILIPS ELECTRONICS N.V.. Дата публикации: 2001-03-08.

Testing a single chip in a wafer probing system

Номер патента: US11808808B2. Автор: Thomas Gentner,Alejandro Alberto Cook Lobo,Otto Andreas Torreiter. Владелец: International Business Machines Corp. Дата публикации: 2023-11-07.

A wafer boat and a method for forming layer on a plurality of substrates

Номер патента: EP4318557A1. Автор: Didem Ernur,Bert Jongbloed,Dieter Pierreux. Владелец: ASM IP Holding BV. Дата публикации: 2024-02-07.

Method and apparatus for detecting the endpoint of a photoresist stripping process

Номер патента: EP1247295A2. Автор: Tuqiang Ni,Wenli Collison. Владелец: Lam Research Corp. Дата публикации: 2002-10-09.

Testing a single chip in a wafer probing system

Номер патента: US20240019488A1. Автор: Thomas Gentner,Alejandro Alberto Cook Lobo,Otto Andreas Torreiter. Владелец: International Business Machines Corp. Дата публикации: 2024-01-18.

Device for dry etching a wafer and appertaining method

Номер патента: US20010008804A1. Автор: Franz Sumnitsch. Владелец: Individual. Дата публикации: 2001-07-19.

Method of and device for determining the warpage of a wafer

Номер патента: EP1125096A1. Автор: Joachim Schober. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2001-08-22.

Apparatus for processing a wafer, and method of controlling such an apparatus

Номер патента: EP3915141A1. Автор: Daniel Brien,Alois Goller,Matija PONIKVAR,Pradeep THIRUGNANAM. Владелец: LAM RESEARCH AG. Дата публикации: 2021-12-01.

Method for fabricating electrical bonding pads on a wafer

Номер патента: EP2181569B1. Автор: Romain Coffy,Jacky Seiller,Gil Provent. Владелец: STMicroelectronics Grenoble 2 SAS. Дата публикации: 2011-01-12.

Apparatus for processing a wafer

Номер патента: US20230360952A1. Автор: Michael Brugger,Michael PUGGL,Christian Putzi. Владелец: LAM RESEARCH AG. Дата публикации: 2023-11-09.

Apparatus and method for holding a wafer

Номер патента: US8917489B2. Автор: Emily Shu. Владелец: Semiconductor Manufacturing International Corp. Дата публикации: 2014-12-23.

Method of thermally treating a wafer and method of fabricating a semiconductor device using the same

Номер патента: US20060154427A1. Автор: Jun-Seuck Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-07-13.

Testing architecture of circuits integrated on a wafer

Номер патента: US20190107575A1. Автор: Alberto Pagani. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2019-04-11.

Method and device for removing a thin film from a wafer backside surface

Номер патента: US5605602A. Автор: Damon K. DeBusk. Владелец: Advanced Micro Devices Inc. Дата публикации: 1997-02-25.

Method of dispensing fluid onto a wafer

Номер патента: US5429912A. Автор: Soon E. Neoh. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 1995-07-04.

Silicon wafer carrier

Номер патента: US6355716B1. Автор: Satoshi Yamamoto,Kiyoshi Sugie,Takayoshi Numata,En Lai Zhang. Владелец: Teijin Ltd. Дата публикации: 2002-03-12.

Support member for supporting a wafer during a heat treatment

Номер патента: US20240103442A1. Автор: Sylvain Jeanneret. Владелец: PATEK PHILIPPE SA GENEVE. Дата публикации: 2024-03-28.

Apparatus and method for treating a wafer of semiconductor material

Номер патента: WO1994001597A1. Автор: Guy Jean Jacques Brasseur. Владелец: Cobrain N.V.. Дата публикации: 1994-01-20.

Cooling and power delivery for a wafer level computing board

Номер патента: US20170178986A1. Автор: Arvind Kumar,Kamal K. Sikka,Babar A. Khan. Владелец: International Business Machines Corp. Дата публикации: 2017-06-22.

Apparatus for processing a wafer, and method of controlling such an apparatus

Номер патента: WO2020151932A1. Автор: Daniel Brien,Alois Goller,Matija PONIKVAR,Pradeep THIRUGNANAM. Владелец: LAM RESEARCH AG. Дата публикации: 2020-07-30.

Systems configured to inspect a wafer

Номер патента: WO2009023154A2. Автор: Mehdi Vaez-Iravani,Stephen Biellak,Azmi Kadkly. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2009-02-19.

Vascular filter system and method of deployment and retrieval of a vascular filter

Номер патента: EP3614962A1. Автор: Kevin T. Lie. Владелец: Individual. Дата публикации: 2020-03-04.

Vascular filter system and method of deployment and retrieval of a vascular filter

Номер патента: US20230172702A1. Автор: Kevin T. Lie. Владелец: Individual. Дата публикации: 2023-06-08.

Fastening of a riding ring to the casing of a rotary cylinder

Номер патента: US20060093252A1. Автор: Fred Klotmann,Ralf Filges. Владелец: KHD Humboldt Wedag AG. Дата публикации: 2006-05-04.

Connecting system for the dunk ring of a basketball-stand having a back-board made of glass

Номер патента: US6053826A. Автор: Peter Marinus Koole. Владелец: Schelde International BV. Дата публикации: 2000-04-25.

Structure of a brush for a sweeper machine

Номер патента: EP4140358A1. Автор: Vicente ALCAINA CARBONELL. Владелец: Necematt SL. Дата публикации: 2023-03-01.

Structure for an edge of a disk body of an antenna

Номер патента: US20060214870A1. Автор: SHU Lin. Владелец: Individual. Дата публикации: 2006-09-28.

Structure for an edge of a disk body of an antenna

Номер патента: US20060220979A1. Автор: Sheng Huang. Владелец: Individual. Дата публикации: 2006-10-05.

Polypropylene wafer carrier

Номер патента: WO1992019012A2. Автор: Kirk J. Mikkelsen. Владелец: Fluoroware, Inc.. Дата публикации: 1992-10-29.

Structure for an edge of a disk body of an antenna

Номер патента: US7199766B2. Автор: Shu Fua Lin. Владелец: Individual. Дата публикации: 2007-04-03.

Polypropylene wafer carrier

Номер патента: WO1992019012A3. Автор: Kirk J Mikkelsen. Владелец: Fluroware Inc. Дата публикации: 1992-12-10.

Tool and a method for the assembly of a generator

Номер патента: US09876415B2. Автор: Daniel Hediger,Robert Fogel,Grzegorz Jakub Kunka. Владелец: General Electric Technology GmbH. Дата публикации: 2018-01-23.

Bayonet type locking ring of a circular electrical connector

Номер патента: US09780485B2. Автор: Gilles Troquet,Julien Feurprier. Владелец: SOURIAU SAS. Дата публикации: 2017-10-03.

Load structure for testing a loadability of a large bearing and load testing method

Номер патента: US09778139B2. Автор: Thomas ZIKA. Владелец: SKF AB. Дата публикации: 2017-10-03.

Module for determining an operating characteristic of a bearing

Номер патента: US09453780B2. Автор: Brian Murray,Andreas Clemens Van Der Ham,Keith Hamilton,Joseph ERSKINE. Владелец: SKF AB. Дата публикации: 2016-09-27.

Exposure system and illuminating apparatus used therein and method for exposing a resist film on a wafer

Номер патента: US5559583A. Автор: Hiroyoshi Tanabe. Владелец: NEC Corp. Дата публикации: 1996-09-24.

Individually powering-up unsingulated dies on a wafer

Номер патента: US5389556A. Автор: Michael D. Rostoker,Carlos Dangelo,James Koford. Владелец: LSI Logic Corp. Дата публикации: 1995-02-14.

Cathode current control system for a wafer electroplating apparatus

Номер патента: US6004440A. Автор: Robert W. Berner,Kevin W. Coyle,Kyle M. Hanson,K. Chris Haugan,James Doolittle. Владелец: Semitool Inc. Дата публикации: 1999-12-21.

Electrical connector having a shell, an insert member and a wafer stacked in the shell

Номер патента: CA1074415A. Автор: Alfred J. Bouvier. Владелец: Bendix Corp. Дата публикации: 1980-03-25.

Integrated circuits on a wafer and methods for manufacturing integrated circuits

Номер патента: US20100140748A1. Автор: Heimo Scheucher. Владелец: NXP BV. Дата публикации: 2010-06-10.

Method forming focus/exposure matrix on a wafer using overlapped exposures

Номер патента: US5876883A. Автор: Pierre Leroux. Владелец: VLSI Technology Inc. Дата публикации: 1999-03-02.

Device for producing a wafer cup

Номер патента: US11937606B2. Автор: Dieter Kempass. Владелец: Walterwerk Kiel & Co KG GmbH. Дата публикации: 2024-03-26.

A wafer for the cvd growth of uniform graphene and method of manufacture thereof

Номер патента: EP4314377A1. Автор: Sebastian Dixon,Robert JAGT,Jaspreet KAINTH. Владелец: Paragraf Ltd. Дата публикации: 2024-02-07.

Method for forming a redistribution layer in a wafer structure

Номер патента: US20060057772A1. Автор: Min-Lung Huang. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2006-03-16.

System and method for measuring parameters of motion of a projectile as it exits the muzzle of a gun

Номер патента: WO2012107926A8. Автор: Yesaiahu REDLER. Владелец: 4 Servo Technologies Ltd.. Дата публикации: 2013-05-10.

Rotor bearing of a wind turbine

Номер патента: US11846323B2. Автор: Rainer Schröder,Andreas Bierlein. Владелец: Schaeffler Technologies AG and Co KG. Дата публикации: 2023-12-19.

Method of producing a wafer scale package

Номер патента: WO2008116335A2. Автор: Hartmut Rudmann,Markus Rossi,Stephan Heimgartner. Владелец: HEPTAGON OY. Дата публикации: 2008-10-02.

Outer nozzle for the cutting head of a flame cutter

Номер патента: US3675852A. Автор: Jeno Hajduk,Mihaly Katai. Владелец: Nikex Nehezipari Kulkereskedelmi Vallalat. Дата публикации: 1972-07-11.

Method for providing an LED chip with a peripheral protective film before cutting the same from a wafer

Номер патента: US20090186448A1. Автор: Chiu Chung Yang. Владелец: Individual. Дата публикации: 2009-07-23.

Method and apparatus for separating semiconductor devices from a wafer

Номер патента: US09984927B2. Автор: Mathias Vaupel,Kian Pin Queck,Kurt Gehrig. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-05-29.

System and method for measuring parameters of motion of a projectile as it exits the muzzle of a gun

Номер патента: US09513095B2. Автор: Yesaiahu REDLER. Владелец: Individual. Дата публикации: 2016-12-06.

System and method for test structure on a wafer

Номер патента: US09472476B2. Автор: Waisum Wong,Chin Chang Liao,Wang Jian Ping. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-10-18.

Semiconductor wafer carriers

Номер патента: US20180190524A1. Автор: Emmanuel Chua Abas,Arnold Villamor Castillo,Carl Anthony Pangan Pondoyo,Emil Alcaraz Pares,Vergil Rodriguez Sandoval. Владелец: SunPower Corp. Дата публикации: 2018-07-05.

Spliced alignment magnetic ring of wireless charger

Номер патента: US20210273486A1. Автор: Yu Tang. Владелец: Gd Glory Magnet Technology Co ltd. Дата публикации: 2021-09-02.

Leak detector for seal ring of gun breech mechanism

Номер патента: US4566368A. Автор: Rolf Bartolles. Владелец: Rheinmetall GmbH. Дата публикации: 1986-01-28.

Positioning device for a preloading ring of a hot forming machine

Номер патента: US12077463B2. Автор: Marcel Tremp. Владелец: Schott Pharma Schweiz AG. Дата публикации: 2024-09-03.

Compact apparatus and method for storing and loading semiconductor wafer carriers

Номер патента: US5964561A. Автор: Dan Marohl. Владелец: Applied Materials Inc. Дата публикации: 1999-10-12.

Die assembly and method for forming a die on a wafer

Номер патента: US7344899B2. Автор: Aron T. Lunde. Владелец: Micron Technology Inc. Дата публикации: 2008-03-18.

Robot pre-positioning in a wafer processing system

Номер патента: US6865437B1. Автор: Hilario Oh,Dikran Babikian. Владелец: Asml Holding Nv. Дата публикации: 2005-03-08.

Mobile holder for a wafer

Номер патента: US20040037692A1. Автор: Armin Klumpp,Christof Landesberger,Martin Bleier. Владелец: Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV. Дата публикации: 2004-02-26.

Robot pre-positioning in a wafer processing system

Номер патента: AU5636600A. Автор: Hilario Oh,Dikran S. Babikian. Владелец: ASML US Inc. Дата публикации: 2001-01-09.

Apparatus and method for manufacturing a wafer

Номер патента: US20220208541A1. Автор: Ruggero ANZALONE,Nicolo' FRAZZETTO. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2022-06-30.

Apparatus and method for manufacturing a wafer

Номер патента: US11830724B2. Автор: Ruggero ANZALONE,Nicolo' FRAZZETTO. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2023-11-28.

Scribing a wafer of semiconductor devices

Номер патента: US20160268473A1. Автор: Rajat Sharma,Frank Lili WEI,S. Rao Peddada,Enrico Casaje. Владелец: Koninklijke Philips NV. Дата публикации: 2016-09-15.

Optimum layout of dies on a wafer

Номер патента: US20210103223A1. Автор: Seng Jian TEE,Seok Chin PHANG. Владелец: Systems on Silicon Manufacturing Co Pte Ltd. Дата публикации: 2021-04-08.

Automatic wafer mapping in a wet environment on a wafer cleaner

Номер патента: WO2000030160A9. Автор: Robert F Allen,Jack F Nimtz,Ricardo T Jordan. Владелец: Ricardo T Jordan. Дата публикации: 2001-01-04.

Device for holding a wafer-shaped article

Номер патента: WO2022268897A1. Автор: Michael Brugger,Michael PUGGL,Christoph SEMMELROCK. Владелец: LAM RESEARCH AG. Дата публикации: 2022-12-29.

Processing Assembly and Method for Processing a Wafer in Such a Processing Assembly

Номер патента: US20090004384A1. Автор: Antonius M.C.P.L. Van De Kerkhof. Владелец: NXP BV. Дата публикации: 2009-01-01.

Method and system of classifying defects on a wafer

Номер патента: US20140321730A1. Автор: Wei Fang,Jack Jau,Zhaoli Zhang. Владелец: Hermes Microvision Inc. Дата публикации: 2014-10-30.

Device for holding a wafer-shaped article

Номер патента: EP4360124A1. Автор: Michael Brugger,Michael PUGGL,Christoph SEMMELROCK. Владелец: LAM RESEARCH AG. Дата публикации: 2024-05-01.

Scribing a wafer of semiconductor devices

Номер патента: EP3063786A1. Автор: Rajat Sharma,Frank Lili WEI,S. Rao Peddada,Enrico Casaje. Владелец: Koninklijke Philips NV. Дата публикации: 2016-09-07.

Method for testing a wafer and wafer

Номер патента: US20240178075A1. Автор: Ivar Tangring. Владелец: Ams Osram International GmbH. Дата публикации: 2024-05-30.

Method of processing a wafer by using and reusing photolithographic masks

Номер патента: US20120264276A1. Автор: Thomas Reed,David Herndon. Владелец: HARRIS CORP. Дата публикации: 2012-10-18.

Device for conveying a wafer-shaped article

Номер патента: WO2024079116A1. Автор: Roland Häberle. Владелец: LAM RESEARCH AG. Дата публикации: 2024-04-18.

Inner ring of a fluid flow machine and stator vane array

Номер патента: US09587499B2. Автор: Georg Zotz,Lothar Albers,Hermann Becker,Rudolf Pilzweger. Владелец: MTU Aero Engines AG. Дата публикации: 2017-03-07.

Retaining ring for shaft

Номер патента: CA112426S. Автор: . Владелец: Chubu Bearing KK. Дата публикации: 2007-01-29.

VENDING MACHINE FOR STORAGE, LABELING AND DISPENSING OF A CONTAINER

Номер патента: US20120004770A1. Автор: Bessette Derek,Lindner Duane,Ooyen Wes Van. Владелец: . Дата публикации: 2012-01-05.

Punching method and apparatus for making a bowed external spring retaining ring

Номер патента: CA1291025C. Автор: Edmund F. Killian,Wallace H. Berliner. Владелец: Waldes Truarc Inc. Дата публикации: 1991-10-22.

DEVICE AND METHOD FOR STRIPPING A WAFER FROM A CARRIER

Номер патента: US20120000613A1. Автор: Thallner Erich. Владелец: . Дата публикации: 2012-01-05.

Bowed external spring retaining ring of the e-shaped type

Номер патента: CA1275586C. Автор: Edmund F. Killian,Wallace H. Berliner. Владелец: Waldes Truarc Inc. Дата публикации: 1990-10-30.

ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS

Номер патента: US20120002184A1. Автор: . Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2012-01-05.

Improvements in and relating to Retaining Rings for Bearing Balls.

Номер патента: GB190704014A. Автор: Harris Lake Henry. Владелец: SOLINGER STANZWAREN und WERKZENGFABRIK WILHELM WES. Дата публикации: 1907-05-09.

ELECTRO-STATIC DISCHARGE PROTECTION FOR DIE OF A MULTI-CHIP MODULE

Номер патента: US20120002392A1. Автор: . Владелец: XILINX, INC.. Дата публикации: 2012-01-05.

Gripping device for a section of a wire rope

Номер патента: RU2262621C1. Автор: В.С. Алексеев,В.С. Алексеев (RU). Владелец: Алексеев Владимир Сергеевич. Дата публикации: 2005-10-20.

Improvements in Machines for Grinding the Rings of Ball Bearings.

Номер патента: GB190723660A. Автор: William Thomas Jones,Allen Ransome. Владелец: Individual. Дата публикации: 1908-09-17.

Improvements in Machinery for Finishing Lasso Rings and other Metal Rings of a like kind.

Номер патента: GB189802459A. Автор: James William Brooks. Владелец: Individual. Дата публикации: 1899-01-21.

A snack food item such as a wafer

Номер патента: AU328371S. Автор: . Владелец: Procter and Gamble Co. Дата публикации: 2009-11-10.

Improved Setting Key for Setting the Firing Charge Rings of Time Fuzes.

Номер патента: GB190517711A. Автор: . Владелец: Rheinische Metallwaaren and Maschinenfabrik AG. Дата публикации: 1906-01-11.

Improvements in the Production of the Lock-rings of Ball-heads of Cycles

Номер патента: GB190614472A. Автор: John Edward Meredith. Владелец: Individual. Дата публикации: 1907-03-21.

An Improved Arrangement of Mechanism for the Chiming or Ringing of Bells.

Номер патента: GB189900171A. Автор: Joseph Matthews,James John William Carr. Владелец: Individual. Дата публикации: 1899-11-25.

Improvements in or applicable to Packing Rings of Pistons, Piston Valves, Air Pump Buckets, and the like.

Номер патента: GB189824832A. Автор: William Buckley. Владелец: Individual. Дата публикации: 1899-07-15.

Improvements in the Production of the Lock-rings of Ball-heads of Cycles

Номер патента: GB190615510A. Автор: John Edward Meredith. Владелец: Individual. Дата публикации: 1907-03-21.