• Главная
  • DOUBLE-PATTERN GATE FORMATION PROCESSING WITH CRITICAL DIMENSION CONTROL

DOUBLE-PATTERN GATE FORMATION PROCESSING WITH CRITICAL DIMENSION CONTROL

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Double-pattern gate formation processing with critical dimension control

Номер патента: US20140220767A1. Автор: Xiang Hu. Владелец: Globalfoundries Inc. Дата публикации: 2014-08-07.

End-Cut First Approach For Critical Dimension Control

Номер патента: US20140106479A1. Автор: HUI Ouyang,Li-Te S. Lin,Ya Hui Chang,Meng Jun Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-04-17.

Method for using disposable hard mask for gate critical dimension control

Номер патента: US5670423A. Автор: Chue-San Yoo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 1997-09-23.

Semiconductor device having controlled final metal critical dimension

Номер патента: US20140273389A1. Автор: Nam Sung Kim,Baofu ZHU,Bingwu Liu. Владелец: Globalfoundries Inc. Дата публикации: 2014-09-18.

Gate formation process

Номер патента: US20220181215A1. Автор: Chih-Han Lin,Kuei-Yu Kao,Li-Ting Chen,Chi-Sheng Lai,Wei-Chung Sun. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-06-09.

Gate Formation Process

Номер патента: US20210265219A1. Автор: Chih-Han Lin,Kuei-Yu Kao,Li-Ting Chen,Chi-Sheng Lai,Wei-Chung Sun. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-08-26.

Contact Critical Dimension Control

Номер патента: US20160172303A1. Автор: Yu-Sheng Wang,Ren-Hau Yu,Tain-Shang Chang,Ching-Yao Sun,Chia-Han Lai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-06-16.

Contact critical dimension control

Номер патента: US09449922B2. Автор: Yu-Sheng Wang,Ren-Hau Yu,Tain-Shang Chang,Ching-Yao Sun,Chia-Han Lai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-20.

End-Cut First Approach For Critical Dimension Control

Номер патента: US20140106479A1. Автор: Ouyang Hui,Chang Ya Hui,Lin Li-Te S.,Wang Meng Jun. Владелец: . Дата публикации: 2014-04-17.

Critical Dimension Control For Self-Aligned Contact Patterning

Номер патента: US20180240706A1. Автор: Mallick Abhijit Basu,Duan Ziqing. Владелец: . Дата публикации: 2018-08-23.

Critical dimension control for self-aligned contact patterning

Номер патента: US10770349B2. Автор: Ziqing Duan,Abhijit Basu Mallick. Владелец: Applied Materials Inc. Дата публикации: 2020-09-08.

Contact Critical Dimension Control

Номер патента: US20150228537A1. Автор: Yu-Sheng Wang,Ren-Hau Yu,Tain-Shang Chang,Ching-Yao Sun,Chia-Han Lai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-08-13.

Gate formation process

Номер патента: US20220181215A1. Автор: Chih-Han Lin,Kuei-Yu Kao,Li-Ting Chen,Chi-Sheng Lai,Wei-Chung Sun. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-06-09.

GATE FORMATION PROCESS

Номер патента: DE102020105664B4. Автор: Chih-Han Lin,Kuei-Yu Kao,Li-Ting Chen,Chi-Sheng Lai,Wei-Chung Sun. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-04-14.

Process for improving critical dimension uniformity of integrated circuit arrays

Номер патента: US09553082B2. Автор: David Kewley. Владелец: Micron Technology Inc. Дата публикации: 2017-01-24.

Polysilicon gate formation in cmos transistors

Номер патента: WO2019133968A1. Автор: Umamaheswari Aghoram,Pushpa Mahalingam. Владелец: Texas Instruments Japan Limited. Дата публикации: 2019-07-04.

Methods for transistor gate formation using gate sidewall implantation

Номер патента: US20030194851A1. Автор: Benjamin McKee,F. Johnson,Tad Grider. Владелец: Texas Instruments Inc. Дата публикации: 2003-10-16.

Drain select gate formation methods and apparatus

Номер патента: US09842847B2. Автор: Gordon Haller,Luan C. Tran,Hongbin Zhu,Lijing Gou. Владелец: Micron Technology Inc. Дата публикации: 2017-12-12.

Methods for gate formation in circuit structures

Номер патента: US09640402B1. Автор: Xintuo Dai,Jiong Li. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-02.

Process for improving critical dimension uniformity of integrated circuit arrays

Номер патента: US20150035124A1. Автор: David Kewley. Владелец: Micron Technology Inc. Дата публикации: 2015-02-05.

SEMICONDUCTOR DEVICE AND CRITICAL DIMENSION DEFINING METHOD THEREOF

Номер патента: US20180294276A1. Автор: LIU YU-LIN,LIN Cheng-Wei,Yang Chin-Cheng,LIU Kuan-Cheng,HUANG Shou-Wei. Владелец: . Дата публикации: 2018-10-11.

Thin film formation process

Номер патента: AU731697B2. Автор: Takao Yonehara,Masaaki Iwane. Владелец: Canon Inc. Дата публикации: 2001-04-05.

Method For Improving Critical Dimension Variability

Номер патента: US20160133467A1. Автор: Henry Todd,Sherman Steven Robert. Владелец: . Дата публикации: 2016-05-12.

Process for forming photoresist mask over integrated circuit structures with critical dimension control

Номер патента: US5902704A. Автор: Philippe Schoenborn,John Haywood. Владелец: LSI Logic Corp. Дата публикации: 1999-05-11.

Gate Formation Of Semiconductor Devices

Номер патента: US20210183713A1. Автор: Chang-Yun Chang,Chih-Hao Yu,Hsiu-Hao Tsao,Chang-Jhih Syu,Yu-Jiun PENG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-06-17.

Method for shrinking critical dimension

Номер патента: US20020055252A1. Автор: Ching-Yu Chang. Владелец: Macronix International Co Ltd. Дата публикации: 2002-05-09.

Integrated circuit fabrication critical dimension control using self-limiting resist etch

Номер патента: US6121155A. Автор: Qi Xiang,Scott Bell,Chih-Yuh Yang. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-09-19.

Method for establishing mapping relation in sti etch and controlling critical dimension of sti

Номер патента: US20170025304A1. Автор: JIN Xu,Xusheng Zhang,Yu Ren,Yukun LV,Qiyan Feng. Владелец: Individual. Дата публикации: 2017-01-26.

Conductive feature with non-uniform critical dimension and method of manufacturing the same

Номер патента: US11935816B2. Автор: Shing-Yih Shih,Jheng-Ting JHONG. Владелец: Nanya Technology Corp. Дата публикации: 2024-03-19.

Semiconductor device with reduced critical dimensions

Номер патента: US12009212B2. Автор: Kuo-Hui Su. Владелец: Nanya Technology Corp. Дата публикации: 2024-06-11.

Methods of forming features having differing pitch spacing and critical dimensions

Номер патента: US09449835B2. Автор: Ryan Ryoung-Han Kim,Linus Jang. Владелец: Globalfoundries Inc. Дата публикации: 2016-09-20.

Method and system for controlling profile of critical dimension

Номер патента: US11908754B2. Автор: Jun Shimada,Chih-Teng Liao,Chen-Fon CHANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-20.

Forming contact holes with controlled local critical dimension uniformity

Номер патента: US20220181152A1. Автор: Junling Sun,Angelique RALEY,Andrew Metz,Katie Lutker-Lee. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-06-09.

Global landmark method for critical dimension uniformity reconstruction

Номер патента: WO2012046233A3. Автор: Vladimir Dmitriev,Ofir Sharoni. Владелец: CARL ZEISS SMS LTD.. Дата публикации: 2012-07-05.

Global landmark method for critical dimension uniformity reconstruction

Номер патента: WO2012046233A2. Автор: Vladimir Dmitriev,Ofir Sharoni. Владелец: CARL ZEISS SMS LTD.. Дата публикации: 2012-04-12.

Forming contact holes with controlled local critical dimension uniformity

Номер патента: US11978631B2. Автор: Junling Sun,Angelique RALEY,Andrew Metz,Katie Lutker-Lee. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-05-07.

Silylation method for reducing critical dimension loss and resist loss

Номер патента: US6107177A. Автор: Zhijian Lu,Wayne Moreau. Владелец: International Business Machines Corp. Дата публикации: 2000-08-22.

Methods to reduce the critical dimension of semiconductor devices

Номер патента: US7807575B2. Автор: Baosuo Zhou. Владелец: Micron Technology Inc. Дата публикации: 2010-10-05.

System and method for critical dimension reduction and pitch reduction

Номер патента: MY139835A. Автор: Robert Charatan. Владелец: Lam Res Corp. Дата публикации: 2009-10-30.

Methods for adjusting critical dimension uniformity in an etch process

Номер патента: SG153011A1. Автор: Changhun Lee,Guowen Ding,Teh-Tien Su. Владелец: Applied Materials Inc. Дата публикации: 2009-06-29.

Critical dimension variation correction in extreme ultraviolet lithography

Номер патента: IL239577B. Автор: Sergey Oshemkov,Vladimir Kruglyakov,Frederik Blumrich,Yuval PERETS. Владелец: Yuval PERETS. Дата публикации: 2020-10-29.

Process for variable fin pitch and critical dimension

Номер патента: US20180330994A1. Автор: Hui Zang,Jinping Liu. Владелец: Globalfoundries Inc. Дата публикации: 2018-11-15.

Process for variable fin pitch and critical dimension

Номер патента: US10192786B2. Автор: Hui Zang,Jinping Liu. Владелец: Globalfoundries Inc. Дата публикации: 2019-01-29.

Multiple critical dimension power rail

Номер патента: US20230411292A1. Автор: Tao Li,Ruilong Xie,Kisik Choi,Liqiao QIN. Владелец: International Business Machines Corp. Дата публикации: 2023-12-21.

Multiple critical dimension power rail

Номер патента: WO2023241932A1. Автор: Tao Li,Ruilong Xie,Kisik Choi,Liqiao QIN. Владелец: Ibm United Kingdom Limited. Дата публикации: 2023-12-21.

Dimension-controlled via formation processing

Номер патента: US9666476B2. Автор: Huang Liu,DUOHUI Bei,Xiang Hu,Sipeng Gu,Yuping REN. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-30.

Dimension-controlled via formation processing

Номер патента: US9305832B2. Автор: Huang Liu,DUOHUI Bei,Xiang Hu,Sipeng Gu,Yuping REN. Владелец: Globalfoundries Inc. Дата публикации: 2016-04-05.

Dimension-controlled via formation processing

Номер патента: US20160099171A1. Автор: Huang Liu,DUOHUI Bei,Xiang Hu,Sipeng Gu,Yuping REN. Владелец: Globalfoundries Inc. Дата публикации: 2016-04-07.

Method of controlling metal formation processes using ion implantation, and system for performing same

Номер патента: US20040023489A1. Автор: Dinesh Chopra. Владелец: Individual. Дата публикации: 2004-02-05.

Method and device for cleaning electronic components processed with a laser beam

Номер патента: EP1900006A1. Автор: Joannes Leonardus Jurrian Zijl,Henri Joseph Van Egmond. Владелец: Fico BV. Дата публикации: 2008-03-19.

Low mask count CMOS process with inverse-T gate LDD structure

Номер патента: US5854101A. Автор: Shye-Lin Wu. Владелец: Powerchip Semiconductor Corp. Дата публикации: 1998-12-29.

Critical dimension prediction system and operation method thereof

Номер патента: US20240332093A1. Автор: In Seok Park,Younghoon Sohn,Souk Kim,Jaehyung Ahn,Wan-Sik Nam. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-10-03.

Control method and system for critical dimension (cd)

Номер патента: US20230063001A1. Автор: Zhimin Wu,Junjun Zhang,Fufang CHAO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-03-02.

Method for circuit design on a spherical semiconductor having critical dimensions

Номер патента: WO2001022301A1. Автор: Nobuo Takeda,Yasunaga Suzuki,Eiji Matsunaga. Владелец: Ball Semiconductor, Inc.. Дата публикации: 2001-03-29.

Optical critical dimension target design

Номер патента: US09824176B2. Автор: Jiangtao Hu,Zhuan Liu,Bingqing Li. Владелец: Nanometrics Inc. Дата публикации: 2017-11-21.

Optical critical dimension target design

Номер патента: US20170024509A1. Автор: Jiangtao Hu,Zhuan Liu,Bingqing Li. Владелец: Nanometrics Inc. Дата публикации: 2017-01-26.

Critical dimension for trench and vias

Номер патента: SG152190A1. Автор: Cong Hai,HSIA Liang Choo,Yelehanka Ramachandramurthy Pradeep,Li Yan San,Low Chun Hui. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2009-05-29.

Techniques and systems for model-based critical dimension measurements

Номер патента: US09875534B2. Автор: Abdurrahman Sezginer,Balaji Ganapathy,Yanwei Liu,Eric Vella. Владелец: KLA Tencor Corp. Дата публикации: 2018-01-23.

Controlling critical dimensions in track lithography tools

Номер патента: WO2008019362A2. Автор: Nikolaos Bekiaris,Tim Michaelson. Владелец: SOKUDO CO., LTD.. Дата публикации: 2008-02-14.

Monitoring structure for critical dimension of lithography process

Номер патента: US20210166983A1. Автор: Meng-Hsien Tsai,Li-Chien Wang,Cheng-Hsiang Liu. Владелец: Winbond Electronics Corp. Дата публикации: 2021-06-03.

Controlling critical dimensions in track lithography tools

Номер патента: WO2008019362A3. Автор: Nikolaos Bekiaris,Tim Michaelson. Владелец: Tim Michaelson. Дата публикации: 2008-10-16.

Replacement gate formation in memory

Номер патента: WO2022235364A1. Автор: Thomas M. Graettinger. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2022-11-10.

Replacement gate formation in memory

Номер патента: US20230282730A1. Автор: Thomas M. Graettinger. Владелец: Micron Technology Inc. Дата публикации: 2023-09-07.

Npn/pnp fabrication process with improved alignment

Номер патента: CA1118909A. Автор: Harlan R. Gates. Владелец: International Business Machines Corp. Дата публикации: 1982-02-23.

Dimension control for raised lines

Номер патента: US20220059614A1. Автор: Ahmed Nayaz Noemaun. Владелец: Micron Technology Inc. Дата публикации: 2022-02-24.

Dimension control for raised lines

Номер патента: US20200295082A1. Автор: Ahmed Nayaz Noemaun. Владелец: Micron Technology Inc. Дата публикации: 2020-09-17.

Silicon nitride etch process with critical dimension gain

Номер патента: WO1996016437A1. Автор: Maria Chen. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 1996-05-30.

Precise critical dimension control using bilayer ALD

Номер патента: US09443716B2. Автор: Li-Qun Xia,Mandyam Sriram,Nobuhiro Sakamoto,Kenji Takeshita,Yoshihiro Takenaga. Владелец: Applied Materials Inc. Дата публикации: 2016-09-13.

Integrated critical dimension control for semiconductor device manufacturing

Номер патента: US20030015699A1. Автор: BO Su. Владелец: Applied Materials Inc. Дата публикации: 2003-01-23.

Critical dimension control for double patterning process

Номер патента: US09934985B2. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-03.

CRITICAL DIMENSION CONTROL BY USE OF A PHOTO AGENT

Номер патента: US20190043765A1. Автор: deVilliers Anton J.,Carcasi Michael A.. Владелец: . Дата публикации: 2019-02-07.

METHOD OF FORMING A STRAIGHT VIA PROFILE WITH PRECISE CRITICAL DIMENSION CONTROL

Номер патента: US20200058585A1. Автор: Wang Junli,Mignot Yann,LEE JOE,Xu Yongan. Владелец: . Дата публикации: 2020-02-20.

METHOD OF FORMING A STRAIGHT VIA PROFILE WITH PRECISE CRITICAL DIMENSION CONTROL

Номер патента: US20200066632A1. Автор: Wang Junli,Mignot Yann,LEE JOE,Xu Yongan. Владелец: . Дата публикации: 2020-02-27.

Precise Critical Dimension Control Using Bilayer ALD

Номер патента: US20160104613A1. Автор: Xia Li-Qun,SRIRAM Mandyam,TAKESHITA Kenji,Sakamoto Nobuhiro,Takenaga Yoshihiro. Владелец: . Дата публикации: 2016-04-14.

CRITICAL DIMENSION CONTROL FOR DOUBLE PATTERNING PROCESS

Номер патента: US20170154886A1. Автор: Chang Che-Cheng,LIN Chih-Han,Tseng Horng-Huei. Владелец: . Дата публикации: 2017-06-01.

Contact Critical Dimension Control

Номер патента: US20150228537A1. Автор: Wang Yu-Sheng,Lai Chia-Han,Yu Ren-Hau,Sun Ching-Yao,Chang Tain-Shang. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2015-08-13.

Critical Dimension Control for Double Patterning Process

Номер патента: US20180226265A1. Автор: Chang Che-Cheng,LIN Chih-Han,Tseng Horng-Huei. Владелец: . Дата публикации: 2018-08-09.

CRITICAL DIMENSION CONTROL BY USE OF A PHOTO AGENT

Номер патента: US20170330806A1. Автор: deVilliers Anton J.,Carcasi Michael A.. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2017-11-16.

METHOD AND STRUCTURE TO ENABLE DUAL CHANNEL FIN CRITICAL DIMENSION CONTROL

Номер патента: US20170365525A1. Автор: Cheng Kangguo,Bergendahl Marc A.,Teehan Sean,Sporre John R.. Владелец: . Дата публикации: 2017-12-21.

Trim process for critical dimension control for integrated circuits

Номер патента: US20100173498A1. Автор: Baosuo Zhou,Mirzafer K. Abatchev,Krupaker Murali Subramanian. Владелец: Micron Technology Inc. Дата публикации: 2010-07-08.

Trim process for critical dimension control for integrated circuits

Номер патента: WO2007103343A1. Автор: Baosuo Zhou,Mirzafer K. Abatchev,Krupakar Murali Subramanian. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2007-09-13.

Critical dimension control by use of a photo agent

Номер патента: TWI662360B. Автор: 安東 J 德維利耶,麥可 A 卡卡希. Владелец: 東京威力科創股份有限公司. Дата публикации: 2019-06-11.

Etch process with controlled critical dimension shrink

Номер патента: US7838432B2. Автор: Shawming Ma,Judy Wang,Shin-Li Sung. Владелец: Applied Materials Inc. Дата публикации: 2010-11-23.

Etch process with controlled critical dimension shrink

Номер патента: SG147388A1. Автор: Shawming Ma,Judy Wang,Shin-Li Sung. Владелец: Applied Materials Inc. Дата публикации: 2008-11-28.

Sidewall image transfer process with multiple critical dimensions

Номер патента: GB201404138D0. Автор: . Владелец: International Business Machines Corp. Дата публикации: 2014-04-23.

Gate Formation Process

Номер патента: US20210265219A1. Автор: LIN Chih-Han,Kao Kuei-Yu,Lai Chi-Sheng,Sun Wei-Chung,Chen Li-Ting. Владелец: . Дата публикации: 2021-08-26.

A soc design with critical technology pitch alignment

Номер патента: CN105453263A. Автор: X·陈,O·翁,E·特泽格鲁,H·汶纳林. Владелец: Qualcomm Inc. Дата публикации: 2016-03-30.

Inline monitoring of transistor-to-transistor critical dimension

Номер патента: US20170271220A1. Автор: Elliot John Smith,Nigel Chan. Владелец: Globalfoundries Inc. Дата публикации: 2017-09-21.

System and method for critical dimension reduction and pitch reduction

Номер патента: US20070004217A1. Автор: Robert Charatan. Владелец: Lam Research Corp. Дата публикации: 2007-01-04.

Method for reducing critical dimensions using multiple masking steps

Номер патента: IL184855A0. Автор: . Владелец: Sadjadi S M Reza. Дата публикации: 2007-12-03.

System and method for critical dimension reduction and pitch reduction

Номер патента: US20100038032A1. Автор: Robert Charatan. Владелец: Individual. Дата публикации: 2010-02-18.

Controlling via critical dimension with a titanium nitride hard mask

Номер патента: US10886197B2. Автор: Muthumanickam Sankarapandian,Yongan Xu,Yann Mignot. Владелец: International Business Machines Corp. Дата публикации: 2021-01-05.

Azimuthal critical dimension non-uniformity for double patterning process

Номер патента: US20200002815A1. Автор: Ravi Kumar,Adrien Lavoie,Pulkit Agarwal,Frank Loren PASQUALE. Владелец: Lam Research Corp. Дата публикации: 2020-01-02.

System and method for critical dimension reduction and pitch reduction

Номер патента: US20120279656A9. Автор: Robert Charatan. Владелец: Individual. Дата публикации: 2012-11-08.

Inline monitoring of transistor-to-transistor critical dimension

Номер патента: US09768084B1. Автор: Elliot John Smith,Nigel Chan. Владелец: Globalfoundries Inc. Дата публикации: 2017-09-19.

Method for Optimizing a Critical Dimension for Double Patterning for NAND Flash

Номер патента: US20210020440A1. Автор: HE Li,Huang Guanqun,Ju Xiaohua. Владелец: SHANGHAI HUALI MICROELECTRONICS CORPORATION. Дата публикации: 2021-01-21.

METHOD FOR ESTABLISHING MAPPING RELATION IN STI ETCH AND CONTROLLING CRITICAL DIMENSION OF STI

Номер патента: US20170025304A1. Автор: ZHANG Xusheng,Xu Jin,Ren Yu,Lv Yukun,Feng Qiyan. Владелец: . Дата публикации: 2017-01-26.

Method for Controlling Core Critical Dimension Variation Using Flash Trim Sequence

Номер патента: US20200035572A1. Автор: LaVoie Adrien,KUMAR Purushottam,Agarwal Pulkit,Kumar Ravi. Владелец: . Дата публикации: 2020-01-30.

METHOD FOR CRITICAL DIMENSION (CD) TRIM OF AN ORGANIC PATTERN USED FOR MULTI-PATTERNING PURPOSES

Номер патента: US20220076942A1. Автор: "OMeara David",Raley Angelique,Lutker-Lee Katie. Владелец: . Дата публикации: 2022-03-10.

FORMING CONTACT HOLES WITH CONTROLLED LOCAL CRITICAL DIMENSION UNIFORMITY

Номер патента: US20220181152A1. Автор: Raley Angelique,Lutker-Lee Katie,Sun Junling,Metz Andrew. Владелец: . Дата публикации: 2022-06-09.

SPECIAL LAYOUT DESIGN PRINTED RECTANGULAR PATTERN AND IMPROVED PATTERN CRITICAL DIMENSION UNIFORMITY

Номер патента: US20150234283A1. Автор: Tsai Feng-Nien. Владелец: MACRONIX INTERNATIONAL CO., LTD.. Дата публикации: 2015-08-20.

REGION OF INTEREST AND PATTERN OF INTEREST GENERATION FOR CRITICAL DIMENSION MEASUREMENT

Номер патента: US20190279914A1. Автор: SARASWATULA Jagdish Chandra,Yati Arpit,Sriraman Hari Pathangi. Владелец: . Дата публикации: 2019-09-12.

OXIDIZING AND ETCHING OF MATERIAL LINES FOR USE IN INCREASING OR DECREASING CRITICAL DIMENSIONS OF HARD MASK LINES

Номер патента: US20170294309A1. Автор: Chi Min-Hwa,Zang Hui. Владелец: . Дата публикации: 2017-10-12.

Process for variable fin pitch and critical dimension

Номер патента: US20180330994A1. Автор: Hui Zang,Jinping Liu. Владелец: Globalfoundries Inc. Дата публикации: 2018-11-15.

CRITICAL DIMENSION SHRINK THROUGH SELECTIVE METAL GROWTH ON METAL HARDMASK SIDEWALLS

Номер патента: US20160351447A1. Автор: Yang Chih-Chao,Yin Yunpeng,He Hong,Li Juntao,Chen Hsueh-Chung H.. Владелец: . Дата публикации: 2016-12-01.

Critical Dimension Trimming Method Designed To Minimize Line Width Roughness and Line Edge Roughness

Номер патента: US20190341257A1. Автор: Raley Angelique,Subhadeep Kal. Владелец: . Дата публикации: 2019-11-07.

MICRO-FLUIDIC CHANNELS HAVING VARIOUS CRITICAL DIMENSIONS

Номер патента: US20200402889A1. Автор: SIKKA Kamal K.,Rubin Joshua M.,LIE Fee Li,Bonam Ravi K.,Saraf Iqbal Rashid. Владелец: . Дата публикации: 2020-12-24.

Reduction of feature critical dimensions

Номер патента: US7541291B2. Автор: Sangheon Lee,Sean S. Kang,Eric A. Hudson,S. M. Reza Sadjadi,Gan Ming Zhao,Wan-Lin Chen. Владелец: Lam Research Corp. Дата публикации: 2009-06-02.

Method for critical dimension uniformity using charged particle beam lithography

Номер патента: TWI605302B. Автор: 萊恩 派曼,羅伯特C 帕克,藤村明. Владелец: D2S公司. Дата публикации: 2017-11-11.

Reduction of etch mask feature critical dimensions

Номер патента: CN101116177A. Автор: Z·黄,J·马克斯,S·M·R·萨亚迪. Владелец: Lam Research Corp. Дата публикации: 2008-01-30.

Improved control of critical dimensions

Номер патента: KR19990078381A. Автор: 안드레아스 그라스만. Владелец: 피터 토마스. Дата публикации: 1999-10-25.

It is a kind of to improve the method for etch critical dimension stability

Номер патента: CN107316810A. Автор: 唐在峰,任昱,聂钰节,昂开渠,江旻. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2017-11-03.

Method for controlling critical dimension by utilizing resist sidewall protection

Номер патента: US20050118531A1. Автор: Tse-Yao Huang,Yi-Nan Chen,Hsiu-Chun Lee. Владелец: Nanya Technology Corp. Дата публикации: 2005-06-02.

Reduction of feature critical dimensions using multiple masks

Номер патента: CN101164143A. Автор: J·马克斯,R·S·M·萨亚迪. Владелец: Lam Research Corp. Дата публикации: 2008-04-16.

Special layout design printed rectangular pattern and improved pattern critical dimension uniformity

Номер патента: US9395629B2. Автор: Feng-Nien Tsai. Владелец: Macronix International Co Ltd. Дата публикации: 2016-07-19.

Mosfet gate formation

Номер патента: US20240178289A1. Автор: David Kent,Hungjin Kim,Ian Cousins,Epameinondas Efthymiou,Milan Madaras. Владелец: Nexperia BV. Дата публикации: 2024-05-30.

Epitaxial lift-off process with guided etching

Номер патента: US09865469B2. Автор: Ning Li,Devendra K. Sadana,Cheng-Wei Cheng,Kuen-Ting Shiu,Leathen Shi. Владелец: International Business Machines Corp. Дата публикации: 2018-01-09.

Epitaxial lift-off process with guided etching

Номер патента: US09653308B2. Автор: Ning Li,Devendra K. Sadana,Cheng-Wei Cheng,Kuen-Ting Shiu,Leathen Shi. Владелец: International Business Machines Corp. Дата публикации: 2017-05-16.

Method and system for critical dimension uniformity using charged particle beam lithography

Номер патента: US20130283216A1. Автор: Akira Fujimura,Robert C. Pack,Ryan Pearman. Владелец: D2S Inc. Дата публикации: 2013-10-24.

SEMICONDUCTOR DEVICE WITH REDUCED CRITICAL DIMENSIONS

Номер патента: US20220044933A1. Автор: Su Kuo-Hui. Владелец: . Дата публикации: 2022-02-10.

Critical dimension uniformity

Номер патента: US20200050103A1. Автор: Yi-Wei Chiu,Xi-Zong Chen,Cha-Hsin Chao,Li-Te Hsu,Chih-Hsuan Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-02-13.

MULTI-FUNCTION OVERLAY MARKS FOR REDUCING NOISE AND EXTRACTING FOCUS AND CRITICAL DIMENSION INFORMATION

Номер патента: US20190064654A1. Автор: Huang Te-Chih,Lee Yu-Ching,FANG Yu-Piao. Владелец: . Дата публикации: 2019-02-28.

DIMENSION-CONTROLLED VIA FORMATION PROCESSING

Номер патента: US20160099171A1. Автор: Liu Huang,HU Xiang,Ren Yuping,Gu Sipeng,BEI Duohui. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2016-04-07.

LOW TEMPATURE TUNGSTEN FILM DEPOSITION FOR SMALL CRITICAL DIMENSION CONTACTS AND INTERCONNECTS

Номер патента: US20160118345A1. Автор: Chen Feng,Humayun Raashina,Chandrashekar Anand,Danek Michal. Владелец: . Дата публикации: 2016-04-28.

METHOD AND SYSTEM FOR CONTROLLING PROFILE OF CRITICAL DIMENSION

Номер патента: US20220285229A1. Автор: Liao Chih-Teng,SHIMADA Jun,CHANG Chen-Fon. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2022-09-08.

CRITICAL DIMENSION SHRINK THROUGH SELECTIVE METAL GROWTH ON METAL HARDMASK SIDEWALLS

Номер патента: US20170148730A1. Автор: Yang Chih-Chao,Yin Yunpeng,He Hong,Li Juntao,Chen Hsueh-Chung H.. Владелец: . Дата публикации: 2017-05-25.

CRITICAL DIMENSION UNIFORMITY

Номер патента: US20190146336A1. Автор: Chiu Yi-Wei,Chao Cha-Hsin,Hsu Li-Te,Chen Xi-Zong,LIN Chih-Hsuan. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2019-05-16.

METHODS OF FORMING FEATURES HAVING DIFFERING PITCH SPACING AND CRITICAL DIMENSIONS

Номер патента: US20160163555A1. Автор: Jang Linus,Kim Ryan Ryoung-Han. Владелец: . Дата публикации: 2016-06-09.

CONDUCTIVE FEATURE WITH NON-UNIFORM CRITICAL DIMENSION AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20220310487A1. Автор: Shih Shing-Yih,JHONG JHENG-TING. Владелец: . Дата публикации: 2022-09-29.

Decreasing the Critical Dimensions in Integrated Circuits

Номер патента: US20160181090A1. Автор: Sanchez Efrain Altamirano,Yasin Farrukh Qayyum,Demeyer Raven. Владелец: . Дата публикации: 2016-06-23.

LOCAL AND GLOBAL REDUCTION OF CRITICAL DIMENSION (CD) ASYMMETRY IN ETCH PROCESSING

Номер патента: US20140273466A1. Автор: LUERE Olivier,Choi Jinhan,CHIANG Kang-Lie. Владелец: . Дата публикации: 2014-09-18.

CRITICAL DIMENSIONS VARIANCE COMPENSATION

Номер патента: US20180197796A1. Автор: Evans Morgan D.,Ma Tristan,van Meer Johannes M.,Anglin Kevin,Okazaki Motoya. Владелец: . Дата публикации: 2018-07-12.

CONTROLLING VIA CRITICAL DIMENSION WITH A TITANIUM NITRIDE HARD MASK

Номер патента: US20200194343A1. Автор: Sankarapandian Muthumanickam,Mignot Yann,Xu Yongan. Владелец: . Дата публикации: 2020-06-18.

ELECTROSTATIC CHUCK WITH MAGNETIC CATHODE LINER FOR CRITICAL DIMENSION (CD) TUNING

Номер патента: US20150221481A1. Автор: Willwerth Michael D.,TODOROW Valentin N.,HSU CHIH-HSUN. Владелец: . Дата публикации: 2015-08-06.

Post Development Treatment Method and Material For Shrinking Critical Dimension of Photoresist Layer

Номер патента: US20170219925A1. Автор: Chang Ching-Yu,Wang Siao-Shan. Владелец: . Дата публикации: 2017-08-03.

INLINE MONITORING OF TRANSISTOR-TO-TRANSISTOR CRITICAL DIMENSION

Номер патента: US20170271220A1. Автор: Smith Elliot John,Chan Nigel. Владелец: . Дата публикации: 2017-09-21.

Fin Critical Dimension Loading Optimization

Номер патента: US20200273754A1. Автор: Mor Yi-Shien,Liang Chia Ming,Ng Jin-Aun,Chiu Huai-Hsien,Chang Chi-Hsin,Lee Yi-Juei. Владелец: . Дата публикации: 2020-08-27.

Critical Dimension Correction Via Calibrated Trim Dosing

Номер патента: US20190287795A1. Автор: Smith Jeffrey,deVilliers Anton,Nasman Ronald. Владелец: . Дата публикации: 2019-09-19.

Controller for Controlling Core Critical Dimension Variation Using Flash Trim Sequence

Номер патента: US20200350219A1. Автор: LaVoie Adrien,KUMAR Purushottam,Agarwal Pulkit,Kumar Ravi. Владелец: . Дата публикации: 2020-11-05.

DIMENSION-CONTROLLED VIA FORMATION PROCESSING

Номер патента: US20150380246A1. Автор: Liu Huang,HU Xiang,Ren Yuping,Gu Sipeng,BEI Duohui. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2015-12-31.

SEMICONDUCTOR DEVICE WITH REDUCED CRITICAL DIMENSIONS AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20200395214A1. Автор: Su Kuo-Hui. Владелец: . Дата публикации: 2020-12-17.

Methods and apparatus for measurement of relative critical dimensions

Номер патента: WO2014085343A1. Автор: HONG Xiao. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2014-06-05.

Lithium-ion battery formation process

Номер патента: WO2017162308A1. Автор: Takahiro Sakurai,Tomohide SUMI,Yoshio Matsuyama. Владелец: TOYOTA MOTOR EUROPE. Дата публикации: 2017-09-28.

Lithium-ion battery formation process

Номер патента: WO2017144119A1. Автор: Takahiro Sakurai. Владелец: TOYOTA MOTOR EUROPE. Дата публикации: 2017-08-31.

Lithium-ion battery formation process

Номер патента: US20190245250A1. Автор: Takahiro Sakurai,Tomohide SUMI,Yoshio Matsuyama. Владелец: Toyota Motor Europe NV SA. Дата публикации: 2019-08-08.

Edge formation process for aluminum solid electrolytic capacitor

Номер патента: US20020191371A1. Автор: Brian Melody,John Kinard,Philip Lessner,Albert Harrington. Владелец: Kemet Electronics Corp. Дата публикации: 2002-12-19.

Non-Conformal High Selectivity Film For Etch Critical Dimension Control

Номер патента: US20210047733A1. Автор: Mallick Abhijit Basu,Qi Bo,Wang Huiyuan,Rao Yingli. Владелец: Applied Materials, Inc.. Дата публикации: 2021-02-18.

Contact Critical Dimension Control

Номер патента: US20160172303A1. Автор: Wang Yu-Sheng,Lai Chia-Han,Yu Ren-Hau,Sun Ching-Yao,Chang Tain-Shang. Владелец: . Дата публикации: 2016-06-16.

SOC DESIGN WITH CRITICAL TECHNOLOGY PITCH ALIGNMENT

Номер патента: US20150028495A1. Автор: Terzioglu Esin,Chen Xiangdong,KWON Ohsang,BUNNALIM Hadi. Владелец: . Дата публикации: 2015-01-29.

Method for forming MTJS with lithography-variation independent critical dimension

Номер патента: US12058940B2. Автор: William J. Gallagher. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-06.

Inspection-guided critical site selection for critical dimension measurement

Номер патента: IL272161B2. Автор: . Владелец: KLA Corp. Дата публикации: 2023-08-01.

The method for forecasting wafer overlay error and critical dimension

Номер патента: TW201009891A. Автор: Yu-Chang Huang,Wen-Hsiang Liao. Владелец: Inotera Memories Inc. Дата публикации: 2010-03-01.

In-situ critical dimension measurnment

Номер патента: TW200608505A. Автор: Hun-Jan Tao,Yuan-Hung Chiu,Shiang-Bau Wang,Chao-Tzung Tsai. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2006-03-01.

Mtjs with lithography-variation independent critical dimension

Номер патента: US20240357941A1. Автор: William J. Gallagher. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-24.

Scatterometry-based imaging and critical dimension metrology

Номер патента: US09494535B2. Автор: Abdurrahman Sezginer,John Hench,Michael S. Bakeman. Владелец: KLA Tencor Corp. Дата публикации: 2016-11-15.

Assistant Pattern for Measuring Critical Dimension of Main Pattern In Semiconductor Manufacturing

Номер патента: US20180144936A1. Автор: LU Wen-Chen,Shiu Feng-Jia,CHO Hung-Wen,Tsai Chaos. Владелец: . Дата публикации: 2018-05-24.

METHOD FOR FORMING MTJS WITH LITHOGRAPHY-VARIATION INDEPENDENT CRITICAL DIMENSION

Номер патента: US20220359820A1. Автор: Gallagher William J.. Владелец: . Дата публикации: 2022-11-10.

Method for improving uniformity in a photoresist pattern critical dimension

Номер патента: KR100641505B1. Автор: 김욱현. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-11-01.

Measurement of critical dimension and scanner aberration utilizing metrology targets

Номер патента: US9255787B1. Автор: Barry Loevsky,Amnon Manassen. Владелец: KLA Tencor Corp. Дата публикации: 2016-02-09.

Planarizing sacrificial oxide to improve gate critical dimension in semiconductor devices

Номер патента: US7091068B1. Автор: Bin Yu,Shibly S. Ahmed,Cyrus E. Tabery. Владелец: Advanced Micro Devices Inc. Дата публикации: 2006-08-15.

Semiconductor structure and method for determining critical dimensions and overlay error

Номер патента: US6765282B2. Автор: Bernd Schulz. Владелец: Advanced Micro Devices Inc. Дата публикации: 2004-07-20.

Gate Formation Memory by Planarization

Номер патента: US20150179817A1. Автор: Mark T. Ramsbey,Chun Chen,Shenqing Fang,David Matsumoto. Владелец: SPANSION LLC. Дата публикации: 2015-06-25.

Split gate formation with high density plasma (hdp

Номер патента: TWI359504B. Автор: Hebert Francois,Wang Yu,Chang Hong,TAI SUNG-SHAN,PAN Mengyu,Hu Yong-Zhong,Lou Yingying. Владелец: Alpha & Omega Semiconductor. Дата публикации: 2012-03-01.

Two-step dummy gate formation

Номер патента: US09741821B1. Автор: Chih-Hao Wang,Kuo-Cheng Ching,Ying-Keung Leung,Carlos H. Diaz,Kuan-Ting Pan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-08-22.

PROCESS FOR IMPROVING CRITICAL DIMENSION UNIFORMITY OF INTEGRATED CIRCUIT ARRAYS

Номер патента: US20130105948A1. Автор: Kewley David. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2013-05-02.

METHOD FOR REDUCING CHARGE IN CRITICAL DIMENSION-SCANNING ELECTRON MICROSCOPE METROLOGY

Номер патента: US20170040228A1. Автор: Montgomery Melvin W.,Montgomery Cecilia A.,Bunday Benjamin D.. Владелец: . Дата публикации: 2017-02-09.

Critical Dimension (CD) Uniformity of Photoresist Island Patterns Using Alternating Phase Shifting Mask

Номер патента: US20210055659A1. Автор: Zhong Tom,Haq Jesmin,Teng Zhongjian. Владелец: . Дата публикации: 2021-02-25.

Deep Learning Based Adaptive Regions of Interest for Critical Dimension Measurements of Semiconductor Substrates

Номер патента: US20200111206A1. Автор: Arpit YATI. Владелец: KLA Corp. Дата публикации: 2020-04-09.

MONITORING STRUCTURE FOR CRITICAL DIMENSION OF LITHOGRAPHY PROCESS

Номер патента: US20210166983A1. Автор: Liu Cheng-Hsiang,Wang Li-Chien,Tsai Meng-Hsien. Владелец: WINBOND ELECTRONICS CORP.. Дата публикации: 2021-06-03.

SYSTEMS AND METHODS FOR EVALUATING CRITICAL DIMENSIONS BASED ON DIFFRACTION-BASED OVERLAY METROLOGY

Номер патента: US20210172881A1. Автор: Feng Yaobin. Владелец: . Дата публикации: 2021-06-10.

Critical Dimension (CD) Uniformity of Photoresist Island Patterns Using Alternating Phase Shifting Mask

Номер патента: US20200142313A1. Автор: Zhong Tom,Haq Jesmin,Teng Zhongjian. Владелец: . Дата публикации: 2020-05-07.

METHOD AND APPARATUS FOR DETERMINING A CRITICAL DIMENSION VARIATION OF A PHOTOLITHOGRAPHIC MASK

Номер патента: US20140236516A1. Автор: Pforr Rainer. Владелец: CARL ZEISS SMS LTD.. Дата публикации: 2014-08-21.

SEMICONDUCTOR DEVICE HAVING CONTROLLED FINAL METAL CRITICAL DIMENSION

Номер патента: US20140273389A1. Автор: Kim Nam Sung,ZHU Baofu,Liu Bingwu. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2014-09-18.

Method for measuring critical dimension of pattern on sample

Номер патента: US5750990A. Автор: Fumio Mizuno,Osamu Satoh. Владелец: HITACHI LTD. Дата публикации: 1998-05-12.

METHOD FOR MEASURING CRITICAL DIMENSION AND IMAGE-PROCESSING APPARATUS FOR MEASURING CRITICAL DIMENSION

Номер патента: US20190347781A1. Автор: HUNG Tso-Hua,Huang Ching-Ya. Владелец: . Дата публикации: 2019-11-14.

Methods and apparatus for measurement of relative critical dimensions

Номер патента: SG11201504253PA. Автор: HONG Xiao. Владелец: KLA Tencor Corp. Дата публикации: 2015-06-29.

Correction for systematic, low spatial frequency critical dimension variations in lithography

Номер патента: AU6506100A. Автор: Matthew F. Vernon. Владелец: Applied Materials Inc. Дата публикации: 2001-03-05.

Method for eliminating low frequency error sources to critical dimension uniformity in shaped beam writing systems

Номер патента: TW200625020A. Автор: Benyamin Buller. Владелец: Applied Materials Inc. Дата публикации: 2006-07-16.

Method for eliminating low frequency error sources to critical dimension uniformity in shaped beam writing systems

Номер патента: EP1831912A4. Автор: Benyamin Buller. Владелец: Applied Materials Inc. Дата публикации: 2009-12-30.

PROCESS KIT FOR EDGE CRITICAL DIMENSION UNIFORMITY CONTROL

Номер патента: US20150001180A1. Автор: Doan Kenny Linh,Kim Jong Mun,NOORBAKHSH HAMID,ROSA Jason Della. Владелец: Applied Materials, Inc.. Дата публикации: 2015-01-01.

REDUCING THE IMPACT OF CHARGED PARTICLE BEAMS IN CRITICAL DIMENSION ANALYSIS

Номер патента: US20160040986A1. Автор: Peterson Kirk D.,Shao Dongbing. Владелец: . Дата публикации: 2016-02-11.

COMPENSATING CHAMBER AND PROCESS EFFECTS TO IMPROVE CRITICAL DIMENSION VARIATION FOR TRIM PROCESS

Номер патента: US20200043709A1. Автор: LaVoie Adrien,KUMAR Purushottam,Agarwal Pulkit,Kumar Ravi. Владелец: . Дата публикации: 2020-02-06.

METHODS AND APPARATUS FOR MEASUREMENT OF RELATIVE CRITICAL DIMENSIONS

Номер патента: US20140151551A1. Автор: Xiao Hong. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2014-06-05.

Multi-Beam Writing of Pattern Areas of Relaxed Critical Dimension

Номер патента: US20160276132A1. Автор: Platzgummer Elmar,Schiessl Klaus. Владелец: IMS Nanofabrication AG. Дата публикации: 2016-09-22.

Method and system for measuring critical dimension and monitoring fabrication uniformity

Номер патента: US20120212601A1. Автор: Wei Fang,HONG Xiao,Jack Jau. Владелец: Hermes Microvision Inc. Дата публикации: 2012-08-23.

Method and system for measuring critical dimension and monitoring fabrication uniformity

Номер патента: US20130182939A1. Автор: Wei Fang,HONG Xiao,Jack Jau. Владелец: Hermes Microvision Inc. Дата публикации: 2013-07-18.

Method and system for measuring critical dimension and monitoring fabrication uniformity

Номер патента: US20130188037A1. Автор: Wei Fang,HONG Xiao,Jack Jau. Владелец: Hermes Microvision Inc. Дата публикации: 2013-07-25.

Printed layer formation processing device and printed layer formation processing method

Номер патента: US20120237667A1. Автор: Toru Fujita,Minoru Koyama. Владелец: Seiko Epson Corp. Дата публикации: 2012-09-20.

Method and device for processing with synchronisation

Номер патента: RU2480921C2. Автор: Цзяньсюнь АЙ,Хэнсин ЧЖАЙ. Владелец: Зет-Ти-И Корпорейшн. Дата публикации: 2013-04-27.

Control Information Format Processing Method, Base Station, And User Equipment

Номер патента: US20180288750A1. Автор: Zheng Yu,Xingqing Cheng. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2018-10-04.

Format processing apparatus and format processing method

Номер патента: US20060012687A1. Автор: Akira Toba. Владелец: Sanyo Electric Co Ltd. Дата публикации: 2006-01-19.

Circuit board layer build-up process with enhanced positioning precision

Номер патента: US20240314942A1. Автор: Chia-Ming Li. Владелец: Individual. Дата публикации: 2024-09-19.

Database query processing with database clients

Номер патента: US12105705B2. Автор: PING Wang,Xiaobo Wang,Shuo Li,Sheng Yan Sun. Владелец: International Business Machines Corp. Дата публикации: 2024-10-01.

Method and system of video processing with low latency bitstream distribution

Номер патента: WO2023184467A1. Автор: Ce Wang,Gang Shen,Jianhua Wu,Guangxin Xu. Владелец: Intel Corporation. Дата публикации: 2023-10-05.

Method and system for linear signal processing with signal decomposition

Номер патента: US20210258040A1. Автор: Antonio Liscidini,Javid MUSAYEV. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2021-08-19.

Successive interference cancellation receiver processing with selection diversity

Номер патента: CA2539966C. Автор: Tamer Kadous,Anand D. Subramaniam. Владелец: Qualcomm Inc. Дата публикации: 2010-09-14.

Automated document processing with third party input

Номер патента: US20070226355A1. Автор: George William Luckhardt. Владелец: IP Filepoint LLC. Дата публикации: 2007-09-27.

Dimension control for raised lines

Номер патента: WO2020185363A1. Автор: Ahmed Nayaz Noemaun. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2020-09-17.

Automated document processing with third party input

Номер патента: WO2008105986A1. Автор: George W. Luckhardt. Владелец: Ip Filepoint, Llc. Дата публикации: 2008-09-04.

Dimension control for raised lines

Номер патента: US11758741B2. Автор: Ahmed Nayaz Noemaun. Владелец: Micron Technology Inc. Дата публикации: 2023-09-12.

Packet processing with reduced latency

Номер патента: US20230421512A1. Автор: Anil Vasudevan,Eliezer Tamir,Jesse C. Brandeburg. Владелец: Intel Corp. Дата публикации: 2023-12-28.

Method for implementing error diffusion process with memory management

Номер патента: US20050105137A1. Автор: Jessen Chen,Shyh-Hsing Wang. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2005-05-19.

Database query processing with database clients

Номер патента: WO2023241405A1. Автор: PING Wang,Xiaobo Wang,Shuo Li,Sheng Yan Sun. Владелец: Ibm (China) Co., Limited. Дата публикации: 2023-12-21.

Packet processing with reduced latency

Номер патента: US11843550B2. Автор: Anil Vasudevan,Eliezer Tamir,Jesse C. Brandeburg. Владелец: Intel Corp. Дата публикации: 2023-12-12.

Database query processing with database clients

Номер патента: US20230409575A1. Автор: PING Wang,Xiaobo Wang,Shuo Li,Sheng Yan Sun. Владелец: International Business Machines Corp. Дата публикации: 2023-12-21.

Automated document processing with third party input

Номер патента: US20070226345A1. Автор: George Luckhardt. Владелец: IP Filepoint LLC. Дата публикации: 2007-09-27.

Image processing with smooth interpolation

Номер патента: US5489990A. Автор: Yuji Ishikawa. Владелец: Canon Inc. Дата публикации: 1996-02-06.

Photofinishing process with film-to-video printer using dedicated magnetic tracks on film

Номер патента: CA1323097C. Автор: Michael Lee Wash. Владелец: Eastman Kodak Co. Дата публикации: 1993-10-12.

System and Method of Execution Context Processing with Addressable Universal Data Location

Номер патента: US20220342904A1. Автор: Ronald Raymond Austring. Владелец: Synerio Technologies Inc. Дата публикации: 2022-10-27.

Methods and systems for language processing with radio devices

Номер патента: US20230344537A1. Автор: Mohammed A. Tayyeb,Andrew B. INGEBRETSEN,Ju Y. KIM,Zak MANDHRO. Владелец: Apple Inc. Дата публикации: 2023-10-26.

Method for padding processing with sub-region partitions in video stream

Номер патента: AU2023255026A1. Автор: Stephan Wenger,Shan Liu,Byeongdoo CHOI. Владелец: Tencent America LLC. Дата публикации: 2023-11-16.

Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection

Номер патента: GB2257507A. Автор: Daniel A Corliss. Владелец: Digital Equipment Corp. Дата публикации: 1993-01-13.

Surgical power tool with critical error handler

Номер патента: EP4306061A2. Автор: Brian Keith Heston. Владелец: Zimmer Inc. Дата публикации: 2024-01-17.

Smart intersection with criticality determination

Номер патента: WO2021119666A1. Автор: Vivian Swan. Владелец: CONTINENTAL AUTOMOTIVE SYSTEMS, INC.. Дата публикации: 2021-06-17.

Surgical power tool with critical error handler

Номер патента: EP4306061A3. Автор: Brian Keith Heston. Владелец: Zimmer Inc. Дата публикации: 2024-03-13.

Real-time contact center speech analytics, with critical call alerts, deployed across multiple security zones

Номер патента: US12028486B2. Автор: David Garrod,Wayne Ramprashad. Владелец: Medallia Inc. Дата публикации: 2024-07-02.

Real-time contact center speech analytics, with critical call alerts, deployed across multiple security zones

Номер патента: US11652922B2. Автор: David Garrod,Wayne Ramprashad. Владелец: Medallia Inc. Дата публикации: 2023-05-16.

Method for making super-conducting substance with critical temperature of 90 kelvin grades

Номер патента: HUT52642A. Автор: John Davis Bolt,Munirpallam Appado Subramanian. Владелец: Du Pont. Дата публикации: 1990-07-28.

Data center cooling with critical device prioritization

Номер патента: US09538689B2. Автор: Raghuswamyreddy Gundam,Wingcheung Tam,Newton P. Liu,Douglas W. Oliver,Shiva R. Dasario. Владелец: Globalfoundries Inc. Дата публикации: 2017-01-03.

CIRCUIT WITH CRITICAL OPERATING CONDITION WARNING, CORRESPONDING DEVICE AND METHOD

Номер патента: US20200112164A1. Автор: Abbisso Salvatore,Torrisi Giovanni Luca,Meroni Cristiano. Владелец: . Дата публикации: 2020-04-09.

Surgical power tool with critical error handler

Номер патента: US10177700B2. Автор: Brian Keith Heston. Владелец: Zimmer Inc. Дата публикации: 2019-01-08.

High-voltage triggered pulsecloser with critical reclosing time estimation

Номер патента: US20230111124A1. Автор: Michael G. Ennis,William Yadusky,Yoav Sharon,Edgar CASALE. Владелец: S&C Electric Co. Дата публикации: 2023-04-13.

Two lines transmitter with critical detecting circuit

Номер патента: KR960005748B1. Автор: 제이. 롱스 도르프 랜디. Владелец: 로버트 알. 코이만. Дата публикации: 1996-05-01.

Switching device with critical switch detection

Номер патента: US20080024326A1. Автор: Woo Chee Ngai,Vincenzo DiFatta,Timothy E. Blazier. Владелец: EMERSON ELECTRIC CO. Дата публикации: 2008-01-31.

SURGICAL POWER TOOL WITH CRITICAL ERROR HANDLER

Номер патента: US20190097566A1. Автор: Heston Brian Keith. Владелец: . Дата публикации: 2019-03-28.

SURGICAL POWER TOOL WITH CRITICAL ERROR HANDLER

Номер патента: US20180123500A1. Автор: Heston Brian Keith. Владелец: . Дата публикации: 2018-05-03.

Smart intersection with criticality determination

Номер патента: US20210183240A1. Автор: Vivian Swan. Владелец: Continental Automotive Systems Inc. Дата публикации: 2021-06-17.

Surgical power tool with critical error handler

Номер патента: WO2018080823A1. Автор: Brian Keith Heston. Владелец: Zimmer, Inc.. Дата публикации: 2018-05-03.

Surgical power tool with critical error handler

Номер патента: EP3531933B1. Автор: Brian Keith Heston. Владелец: Zimmer Inc. Дата публикации: 2024-01-10.

Method and system for measuring critical dimension and monitoring fabrication uniformity

Номер патента: TW201235635A. Автор: Wei Fang,HONG Xiao,Jack Jau. Владелец: Hermes Microvision Inc. Дата публикации: 2012-09-01.

Through-zero pulse-width modulation process with period-average-zero

Номер патента: US20120056688A1. Автор: Lester F. Ludwig. Владелец: Pike Group LLC. Дата публикации: 2012-03-08.

Systems and method for payment transaction processing with payment application driver

Номер патента: US12125013B2. Автор: Darren Adelgren,Peter Osberg. Владелец: Powerpay LLC. Дата публикации: 2024-10-22.

METHOD AND SYSTEM FOR MEASURING CRITICAL DIMENSION AND MONITORING FABRICATION UNIFORMITY

Номер патента: US20130188037A1. Автор: Xiao Hong,Jau Jack,Fang Wei. Владелец: HERMES MICROVISION INC.. Дата публикации: 2013-07-25.

SYSTEM AND METHOD FOR ADJUSTING BRIGHTNESS OF LIGHT SOURCE OF CRITICAL DIMENSION MEASURING DEVICE

Номер патента: US20170026561A1. Автор: Wang Lei,Chen Cheng,XU Dezhi. Владелец: . Дата публикации: 2017-01-26.

Critical dimension control in photo-sensitized chemically-amplified resist

Номер патента: US09645495B2. Автор: Anton J. deVilliers. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-05-09.

Critical dimension control using full phase and trim masks

Номер патента: AU2003223189A1. Автор: Christophe Pierrat. Владелец: Numerical Technologies Inc. Дата публикации: 2003-09-09.

Critical dimension control using full phase and trim masks

Номер патента: WO2003073166A1. Автор: Christophe Pierrat. Владелец: Numerical Technologies, Inc.. Дата публикации: 2003-09-04.

Critical dimension control using full phase and trim masks

Номер патента: EP1478976A4. Автор: Christophe Pierrat. Владелец: Numerical Technologies Inc. Дата публикации: 2007-06-06.

Critical dimension control using full phase and trim masks

Номер патента: EP1478976A1. Автор: Christophe Pierrat. Владелец: Numerical Technologies Inc. Дата публикации: 2004-11-24.

Apparatus and method for compensating critical dimension deviations across photomask

Номер патента: WO2002001294A1. Автор: David H. Ziger. Владелец: Philips Electronics North America Corporation. Дата публикации: 2002-01-03.

Apparatus and method for compensating critical dimension deviations across photomask

Номер патента: EP1204902A1. Автор: David H. Ziger. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2002-05-15.

Developer critical dimension control with pulse development

Номер патента: US20200089188A1. Автор: Yongan Xu,Hao Tang,Richard C. Johnson. Владелец: International Business Machines Corp. Дата публикации: 2020-03-19.

Method for forming a critical dimension test structure and its use

Номер патента: WO2000019270A1. Автор: Kazuo Ushida,Kyoichi Suwa,Ilya Grodnensky,Eric R. Johnson. Владелец: NIKON PRECISION INC.. Дата публикации: 2000-04-06.

System and method for shifting critical dimensions of patterned films

Номер патента: US09977339B2. Автор: Anton J. deVilliers,Daniel Fulford. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-05-22.

Flexible penetration resistant composite materials structure with critical gap geometry in a solids layer

Номер патента: US20080160855A1. Автор: Charles A. Howland. Владелец: Warwick Mills Inc. Дата публикации: 2008-07-03.

Method for measuring critical dimension

Номер патента: US12117733B2. Автор: Chia-Chung LIN. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-15.

Method to selectively correct critical dimension errors in the semiconductor industry

Номер патента: US7294440B2. Автор: Jed H. Rankin,Andrew J. Watts. Владелец: International Business Machines Corp. Дата публикации: 2007-11-13.

Flexible penetration resistant composite materials structure with critical gap geometry in a solids layer

Номер патента: EP1682348A1. Автор: Charles A. Howland. Владелец: Warwick Mills Inc. Дата публикации: 2006-07-26.

Stamp treatment to guide solvent removal direction and maintain critical dimension

Номер патента: WO2023114496A1. Автор: Yingdong Luo,Ludovic Godet,Kang LUO,Yongan Xu. Владелец: Applied Materials, Inc.. Дата публикации: 2023-06-22.

Method for measuring critical dimension

Номер патента: US12130559B2. Автор: Chia-Chung LIN. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-29.

Predicting pattern critical dimensions in a lithographic exposure process

Номер патента: US09529253B2. Автор: Jacek K. TYMINSKI,Raluca Popescu. Владелец: Nikon Precision Inc. Дата публикации: 2016-12-27.

Measurement method and measurement device of critical dimension of sub-pixel

Номер патента: US10204407B2. Автор: Zhiyi Sun,Huashan Pang. Владелец: Beijing BOE Display Technology Co Ltd. Дата публикации: 2019-02-12.

Method for circuit design on a spherical semiconductor having critical dimensions

Номер патента: WO2001033439A1. Автор: Nobuo Takeda,Yasunaga Suzuki,Eiji Matsunaga. Владелец: Ball Semiconductor, Inc.. Дата публикации: 2001-05-10.

Electrical critical dimension measurements on photomasks

Номер патента: US20020102472A1. Автор: David Chan. Владелец: Photronics Inc. Дата публикации: 2002-08-01.

Predicted based on model in a set of filters with critical sampling rate

Номер патента: RU2742460C2. Автор: Ларс ВИЛЛЕМОЕС. Владелец: Долби Интернешнл Аб. Дата публикации: 2021-02-08.

Prediction based on model in filter set with critical discreteization

Номер патента: RU2636093C2. Автор: Ларс ВИЛЛЕМОЕС. Владелец: Долби Интернешнл Аб. Дата публикации: 2017-11-20.

Method for measuring critical dimension

Номер патента: US20230236514A1. Автор: Chia-Chung LIN. Владелец: Nanya Technology Corp. Дата публикации: 2023-07-27.

Method for measuring critical dimension

Номер патента: US20230236513A1. Автор: Chia-Chung LIN. Владелец: Nanya Technology Corp. Дата публикации: 2023-07-27.

Method and device for critical dimension detection by molecular binding

Номер патента: US20070042390A1. Автор: Peter Borden. Владелец: Applied Materials Inc. Дата публикации: 2007-02-22.

Control of critical dimension (CD)

Номер патента: EP1416330B1. Автор: Joseph Pellegrini,David Crow. Владелец: International Business Machines Corp. Дата публикации: 2009-07-29.

Design-driven metal critical dimension (cd) biasing

Номер патента: US20100293514A1. Автор: Yao-Ching Ku,Lee-Chung Lu,Louis Chao-Chiuan Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2010-11-18.

Modeling critical-dimension (cd) scanning-electron-microscopy (cd-sem) cd extraction

Номер патента: WO2010126698A3. Автор: Qiaolin Zhang. Владелец: Synopsys, Inc.. Дата публикации: 2011-01-13.

Critical dimension uniformity monitoring for extreme ultraviolet reticles

Номер патента: US09863761B2. Автор: Rui-Fang Shi,Abdurrahman Sezginer,Alex Pokrovskiy,Weston L. Sousa. Владелец: KLA Tencor Corp. Дата публикации: 2018-01-09.

Control of critical dimensions through measurement of absorbed radiation

Номер патента: US6063530A. Автор: Andreas Grassmann. Владелец: SIEMENS AG. Дата публикации: 2000-05-16.

Critical dimension uniformity monitoring for extreme ultra-violet reticles

Номер патента: US10288415B2. Автор: Rui-Fang Shi,Abdurrahman Sezginer,Alex Pokrovskiy,Weston L. Sousa. Владелец: KLA Tencor Corp. Дата публикации: 2019-05-14.

Mask alignment and measurement of critical dimensions in integrated circuits

Номер патента: US5109430A. Автор: H. Keith Nishihara,P. A. Crossley. Владелец: Schlumberger Technologies Inc. Дата публикации: 1992-04-28.

Plasma arc process with reactive gases

Номер патента: CA1208303A. Автор: George T. Rogers,Wayne S. Severance, Jr.. Владелец: Union Carbide Corp. Дата публикации: 1986-07-22.

Method of reducing critical dimension bias of dense pattern and isolation pattern

Номер патента: US7097945B2. Автор: Ching-Yu Chang,Hsin-Huei Chen,Meng-Wei Chen. Владелец: Macronix International Co Ltd. Дата публикации: 2006-08-29.

Method for Correcting Critical Dimension of Mask Pattern

Номер патента: US20090226827A1. Автор: Soo Kyeong Jeong. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-09-10.

Impervious layer formation process and landfill adsorption system

Номер патента: US4908129A. Автор: Klemens Finsterwalder,Jurgen Spirres. Владелец: Dyckerhoff and Widmann AG. Дата публикации: 1990-03-13.

Working process with feedback

Номер патента: RU2554522C2. Автор: Пол ЗЕЛЬЦЕР,Пол Дж. ЧАНГ. Владелец: Дзе Юниверсити Оф Чикаго. Дата публикации: 2015-06-27.

Signal processing with reduced combinatorial complexity

Номер патента: EP1639385A1. Автор: Simon Richard QinetiQ Malvern MASKELL. Владелец: Qinetiq Ltd. Дата публикации: 2006-03-29.

Method and apparatus for configuring a data formatting process

Номер патента: US20070180222A1. Автор: Carli Connally,Reid Hayhow,Bryan Carpenter. Владелец: Verigy Singapore Pte Ltd. Дата публикации: 2007-08-02.

Takt system and method for collaboration of production processes with uncertain time

Номер патента: US20220044203A1. Автор: Minglun REN,Liangjia SHAO. Владелец: Hefei University of Technology. Дата публикации: 2022-02-10.

Method for supplying a process with an enriched carrier gas

Номер патента: US09914997B2. Автор: Andreas Koller,Alexander Behres. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2018-03-13.

Urea granulation process with scrubbing system

Номер патента: US09745256B2. Автор: Matthias Potthoff,Harald Franzrahe,Luc Albert Vanmarcke. Владелец: Uhde Fertilizer Technology BV. Дата публикации: 2017-08-29.

Optical device and deflector formation process

Номер патента: US20120018911A1. Автор: Gabriel James Tambunga. Владелец: Individual. Дата публикации: 2012-01-26.

Device and continuous dyeing process with indigo

Номер патента: EP2079866A1. Автор: Francesco Ronchi. Владелец: Master SRL. Дата публикации: 2009-07-22.

Method and system for automated medical records processing with cloud computing

Номер патента: US09842188B2. Автор: David E. Stern. Владелец: Practice Velocity LLC. Дата публикации: 2017-12-12.

Integrated fuel processing with biomass oil

Номер патента: US09677005B1. Автор: Rafael Espinoza,Kenneth L. Agee,Mark A. Agee,Kym Brian Arcuri. Владелец: EMERGING FUELS Tech Inc. Дата публикации: 2017-06-13.

Separation process with modified enhanced hot separator system

Номер патента: US09416321B2. Автор: Mark Van Wees,Donald A. Eizenga,Paul C Steacy. Владелец: UOP LLC. Дата публикации: 2016-08-16.

Query processing with restrictions in a database clean room

Номер патента: US20240168958A1. Автор: Justin Langseth,Michael Earle Rainey,Rachel Frances Blum. Владелец: Snowflake Inc. Дата публикации: 2024-05-23.

Query processing with restrictions in a database clean room

Номер патента: US20230177055A1. Автор: Justin Langseth,Michael Earle Rainey,Rachel Frances Blum. Владелец: Snowflake Inc. Дата публикации: 2023-06-08.

Method and system of multi-view image processing with accurate skeleton reconstruction

Номер патента: US20240290034A1. Автор: QIANG LI,Hang Zheng,Yikai Fang,Longwei FANG,Hongzhi Tao. Владелец: Intel Corp. Дата публикации: 2024-08-29.

Hydrogen production process with improved co2 fractionation process

Номер патента: WO2024228900A1. Автор: Bradley Russell,Glen Pomorski,Anh Ngo. Владелец: UOP LLC. Дата публикации: 2024-11-07.

Multi-threaded processing with reduced context switching

Номер патента: US09870252B2. Автор: John P. Bates. Владелец: Sony Interactive Entertainment Inc. Дата публикации: 2018-01-16.

Aluminum hydroxide prepared by bayer process with low content of organic carbon

Номер патента: RU2323159C2. Автор: Джон Т. МАЛИТО. Владелец: Налко Компани. Дата публикации: 2008-04-27.

Three dimensional image formation process

Номер патента: US5404807A. Автор: Toru Takemura. Владелец: Riso Kagaku Corp. Дата публикации: 1995-04-11.

Integrated fenton processes with ceramic membrane filtration for wastewater treatment

Номер патента: CA3228086A1. Автор: Wai On Leung. Владелец: Individual. Дата публикации: 2023-05-04.

Method and apparatus for image processing with field portions

Номер патента: US4750211A. Автор: William R. Wray. Владелец: Polaroid Corp. Дата публикации: 1988-06-07.

Esterification process with calcium hydroxybenzyl phosphonate-phenol sulfide catalyst system

Номер патента: CA1317284C. Автор: Jay R. Geib,John J. Windus. Владелец: Ciba Geigy AG. Дата публикации: 1993-05-04.

Reactors for conducting thermochemical processes with solar heat input, and associated systems and methods

Номер патента: CA2788433C. Автор: Roy E. McAlister. Владелец: McAlister Technologies LLC. Дата публикации: 2014-05-20.

Read-write device for data processing with magnetic information carriers

Номер патента: US5148341A. Автор: Christian Fehrenbach,Gunter Ketterer,Wolfgang Schlassus. Владелец: Mannesmann AG. Дата публикации: 1992-09-15.

Image-forming material and image formation process

Номер патента: US5631119A. Автор: Fumiaki Shinozaki. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 1997-05-20.

Formation process for glossy surface

Номер патента: US8913942B2. Автор: Sadaaki Sakamoto,Go Yamaguchi,Akihiro Honya. Владелец: KONICA MINOLTA INC. Дата публикации: 2014-12-16.

Formation process for glossy surface

Номер патента: US20140064809A1. Автор: Sadaaki Sakamoto,Go Yamaguchi,Akihiro Honya. Владелец: KONICA MINOLTA INC. Дата публикации: 2014-03-06.

Method for improving the reaction rate in gas hydrate formation processes

Номер патента: WO2012140270A1. Автор: Martin Foss,Lan Liu,Sven Nuland,Karin HALD. Владелец: INSTITUTT FOR ENERGITEKNIKK. Дата публикации: 2012-10-18.

Spot welding process with metallic addition

Номер патента: US20170246704A1. Автор: Marcio Batista,Valdir Furlanetto,Sergio Duarte Brandi. Владелец: Individual. Дата публикации: 2017-08-31.

Method for Improving the Reaction Rate in Gas Hydrate Formation Processes

Номер патента: US20140271433A1. Автор: Martin Foss,Lan Liu,Sven Nuland,Karin HALD. Владелец: Institutt for Energiteknikk IFE. Дата публикации: 2014-09-18.

Method for improving the reaction rate in gas hydrate formation processes

Номер патента: EP2696960A1. Автор: Martin Foss,Lan Liu,Sven Nuland,Karin HALD. Владелец: Institutt for Energiteknikk IFE. Дата публикации: 2014-02-19.

Coal liquefaction process with improved slurry recycle system

Номер патента: CA1128889A. Автор: Norman L. Carr,Bruce K. Schmid. Владелец: Gulf Oil Corp. Дата публикации: 1982-08-03.

Air separation process with improved reboiler liquid cleaning circuit

Номер патента: CA2016668C. Автор: John Keller Howell,Ravindra Fulchand Pahade,John Harold Ziemer. Владелец: Praxair Technology Inc. Дата публикации: 1994-01-11.

Energy-efficient evaporation process with means for vapor recovery

Номер патента: CA1193570A. Автор: Ulrich Merten. Владелец: Bend Research Inc. Дата публикации: 1985-09-17.

Hydrocarbon reforming process with sulfur sensitive catalyst

Номер патента: CA1105868A. Автор: Thomas A. Collins,Andrew P. Voss. Владелец: Atlantic Richfield Co. Дата публикации: 1981-07-28.

Hydroprocessing process with improved catalyst activity

Номер патента: CA2805869C. Автор: Xiaochun Xu,Larry D. Swinney,Jianhua Yao,Dhananjay B. Ghonasgi. Владелец: Phillips 66 Co. Дата публикации: 2017-10-17.

Sorbic acid process with crystallization

Номер патента: CA1214184A. Автор: Richard C. Hallcher. Владелец: Monsanto Co. Дата публикации: 1986-11-18.

Delayed coking process with hydrotreated recycle

Номер патента: CA1135645A. Автор: Matthew C. Sooter,William H. Kegler,Lloyd G. Becraft. Владелец: Continental Oil Co. Дата публикации: 1982-11-16.

Hard coating process with sorbitol and products so-obtained

Номер патента: CA1168082A. Автор: Francis Devos,Michel Huchette,Guy Bussiere. Владелец: Roquette Freres SA. Дата публикации: 1984-05-29.

Rapid pressure swing adsorption process with high enrichment factor

Номер патента: CA1125186A. Автор: Russell L. Jones,Rex C. Wells,George E. Ii Keller. Владелец: Union Carbide Corp. Дата публикации: 1982-06-08.

Metathesis unit pretreatment process with formation of octene

Номер патента: US7459593B1. Автор: Steven L. Krupa,Jill M. Meister. Владелец: UOP LLC. Дата публикации: 2008-12-02.

Metathesis unit pretreatment process with formation of octene

Номер патента: CA2740606C. Автор: Steven L. Krupa,Jill M. Meister. Владелец: UOP LLC. Дата публикации: 2014-10-07.

Multistage desalination process with carbon dioxide capture

Номер патента: US11814309B1. Автор: Muftah EL-NAAS,Ameera Mohammad,Ali H. Al-Marzouqi,Aya A. Mourad. Владелец: UNITED ARAB EMIRATES UNIVERSITY. Дата публикации: 2023-11-14.

Video analytic processing with neuro-symbolic artificial intelligence

Номер патента: US11810351B2. Автор: Yi Yang,Srimat Chakradhar,Biplob Debnath,Neisarg Dave. Владелец: NEC Corp. Дата публикации: 2023-11-07.

Group ivb metal processing with electric induction energy

Номер патента: EP2108050A1. Автор: Oleg S. Fishman. Владелец: Inductotherm Corp. Дата публикации: 2009-10-14.

Parallel processing with switch block execution

Номер патента: US20240078182A1. Автор: Peter Foley. Владелец: Ascenium Inc. Дата публикации: 2024-03-07.

Hydrocarbon reforming process with hydrocarbon having excessively high sulfur concentrations

Номер патента: CA1105869A. Автор: Thomas A. Collins,Andrew P. Voss. Владелец: Atlantic Richfield Co. Дата публикации: 1981-07-28.

Parallel processing with switch block execution

Номер патента: WO2024107390A1. Автор: Peter Foley. Владелец: Ascenium, Inc.. Дата публикации: 2024-05-23.

Video analytic processing with neuro-symbolic artificial intelligence

Номер патента: US20220114369A1. Автор: Yi Yang,Srimat Chakradhar,Biplob Debnath,Neisarg Dave. Владелец: NEC Laboratories America Inc. Дата публикации: 2022-04-14.

Circuit for handling processing with outliers

Номер патента: EP4113278A1. Автор: Ali Shafiee Ardestani,Joseph HASSOUN,Hamzah Ahmed Ali Abdelaziz. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-01-04.

Biogas production process with enzymatic pre-treatment

Номер патента: WO2011092136A1. Автор: Hans Sejr Olsen. Владелец: NOVOZYMES A/S. Дата публикации: 2011-08-04.

Wastewater treatment process with cooperating velocity equalization, aeration and decanting means

Номер патента: US5186821A. Автор: D. Thomas Murphy. Владелец: Individual. Дата публикации: 1993-02-16.

Air separation process with turbine exhaust desuperheat

Номер патента: CA1173737A. Автор: Ravindra F. Pahade. Владелец: Union Carbide Corp. Дата публикации: 1984-09-04.

Alkylation process with improved octane number

Номер патента: CA3054985C. Автор: Emanuel Hermanus Van Broekhoven,Ruben Theodoor Pronk,Jackeline Medina. Владелец: LUMMUS TECHNOLOGY LLC. Дата публикации: 2022-03-01.

Casting process with forced and controlled vortex at sprue intake

Номер патента: GB2284168A. Автор: John Campbell,Tomoo Isawa. Владелец: Nippon Kokan Ltd. Дата публикации: 1995-05-31.

Steelmaking process with separate refining steps

Номер патента: CA1166018A. Автор: HIROKI Goto,Hiroyuki Nakashima,Morihiro Sumida. Владелец: Nippon Steel Corp. Дата публикации: 1984-04-24.

Agroindustrial process with minimal environmental impact

Номер патента: EP3346823A1. Автор: Gian Paolo Rolli. Владелец: Industrie Rolli Alimentari SpA. Дата публикации: 2018-07-18.

Reengineering event-driven field processes with a self-managed team approach

Номер патента: US20080183513A1. Автор: Brian Keedwell. Владелец: Individual. Дата публикации: 2008-07-31.

Fluidized catalytic cracking process with improved cycle gas oil stripping

Номер патента: CA1085332A. Автор: Dale Williams,Frederick C. Jahnke,James H. Colvert. Владелец: Texaco Development Corp. Дата публикации: 1980-09-09.

Thermal transfer printing processes with electroerosion and materials therefor

Номер патента: CA1212581A. Автор: Ari Aviram,Mitchell S. Cohen. Владелец: International Business Machines Corp. Дата публикации: 1986-10-14.

Water treatment system and method using high pressure advanced oxidation process with unreacted ozone reusing

Номер патента: US8926842B2. Автор: Jong Seob Shim. Владелец: Individual. Дата публикации: 2015-01-06.

Query processing with restrictions in a database clean room

Номер патента: US11928115B2. Автор: Justin Langseth,Michael Earle Rainey,Rachel Frances Blum. Владелец: Snowflake Inc. Дата публикации: 2024-03-12.

Multi-threaded processing with reduced context switching

Номер патента: WO2009023461A1. Автор: John P. Bates. Владелец: SONY COMPUTER ENTERTAINMENT INC.. Дата публикации: 2009-02-19.

Scheduling multiple processes with varying delay sensitivity

Номер патента: US11726817B2. Автор: Jered J. Floyd,Ali Ok. Владелец: Red Hat Inc. Дата публикации: 2023-08-15.

Method and system of image processing with multi-skeleton tracking

Номер патента: US20240161316A1. Автор: Yikai Fang,Longwei FANG,Hongzhai Tao. Владелец: Intel Corp. Дата публикации: 2024-05-16.

Scheduling multiple processes with varying delay sensitivity

Номер патента: US20220100572A1. Автор: Jered J. Floyd,Ali Ok. Владелец: Red Hat Inc. Дата публикации: 2022-03-31.

Scheduling multiple processes with varying delay sensitivity

Номер патента: US20230333890A1. Автор: Jered J. Floyd,Ali Ok. Владелец: Red Hat Inc. Дата публикации: 2023-10-19.

Neural network processing with quantization

Номер патента: WO2024121620A1. Автор: Amit Weizner,Mattan WINAVER. Владелец: Mobileye Vision Technologies Ltd.. Дата публикации: 2024-06-13.

Partition migration with critical task prioritization

Номер патента: US12050930B2. Автор: Bret R. Olszewski,Peter J. Heyrman,Arnold Flores,Tommy Tse. Владелец: International Business Machines Corp. Дата публикации: 2024-07-30.

Water application device with critical area protectors

Номер патента: EP3119527A1. Автор: Reiner Frey,Edmond Wenzel,Benjamin Asal,Matthias MÜLLER-BRAUN. Владелец: HUSQVARNA AB. Дата публикации: 2017-01-25.

Dynamic memory power capping with criticality awareness

Номер патента: WO2018052520A1. Автор: Yasuko ECKERT. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2018-03-22.

Scheduling execution contexts with critical regions

Номер патента: US09304831B2. Автор: William R. Messmer. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2016-04-05.

Systems and methods for monitoring a surgical procedure with critical regions

Номер патента: EP2969410A1. Автор: Feimo Shen,In K. MUN,Denise A. MILLER,Bryan Z. Wei,James P. Morash. Владелец: Think Surgical Inc. Дата публикации: 2016-01-20.

METHOD AND A SYSTEM FOR MANAGING TIME-CRITICAL EVENTS ASSOCIATED WITH CRITICAL DEVICES

Номер патента: US20200211718A1. Автор: Ramachandra Iyer Manjunath,Sundaram Murugeshan Meenakshi. Владелец: . Дата публикации: 2020-07-02.

Lime device is criticized with criticizing to black brick wall

Номер патента: CN111962888B. Автор: 陈来. Владелец: Anhui Qiansheng Construction Engineering Co ltd. Дата публикации: 2022-01-25.

Explosion Indicators For Use In Explosion-Proof Enclosures With Critical Equipment

Номер патента: US20100242830A1. Автор: Joseph Michael Manahan. Владелец: Cooper Technologies Co. Дата публикации: 2010-09-30.

Method of Critical Dimension Control by Oxygen and Nitrogen Plasma Treatment in EUV Mask

Номер патента: US20210033960A1. Автор: Lee Hsin-Chang,Hsu Pei-Cheng,Lien Ta-Cheng,Yang Chun-Fu. Владелец: . Дата публикации: 2021-02-04.

Critical Dimension Control in Photo-Sensitized Chemically-Amplified Resist

Номер патента: US20160048080A1. Автор: deVilliers Anton J.. Владелец: . Дата публикации: 2016-02-18.

DEVELOPER CRITICAL DIMENSION CONTROL WITH PULSE DEVELOPMENT

Номер патента: US20200089188A1. Автор: Tang Hao,Xu Yongan,Johnson Richard C.. Владелец: . Дата публикации: 2020-03-19.

DEVELOPER CRITICAL DIMENSION CONTROL WITH PULSE DEVELOPMENT

Номер патента: US20190121316A1. Автор: Tang Hao,Xu Yongan,Johnson Richard C.. Владелец: . Дата публикации: 2019-04-25.

Critical dimension control in photo-sensitized chemically-amplified resist

Номер патента: WO2016025210A1. Автор: Anton J. deVilliers. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2016-02-18.

critical dimension measuring method and image processing device for measuring critical dimension

Номер патента: CN110553581A. Автор: 黄靖雅,洪佐桦. Владелец: Winbond Electronics Corp. Дата публикации: 2019-12-10.

Critical dimension measuring method and image processing device for measuring critical dimension

Номер патента: CN110553581B. Автор: 黄靖雅,洪佐桦. Владелец: Winbond Electronics Corp. Дата публикации: 2022-01-14.

Stereoscopic endoscope with critical structure depth estimation

Номер патента: US12075984B2. Автор: Robert M. Trusty,Dillon C. Karg. Владелец: Cilag GmbH International. Дата публикации: 2024-09-03.

Wide adder with critical path of three gates

Номер патента: TW200405197A. Автор: Nghia Van Phan,David Arnold Luick,David Michael Friend. Владелец: Ibm. Дата публикации: 2004-04-01.

Wide adder with critical path of three gates

Номер патента: TWI299134B. Автор: Michael Friend David,Arnold Luick David,Van Phan Nghia. Владелец: Ibm. Дата публикации: 2008-07-21.

Ranking-based training of classification model for use with critical rare cases

Номер патента: US20240161008A1. Автор: He Zhao,Mengyao ZHAI,Frederick TUNG,Kiarash Mohammadi. Владелец: ROYAL BANK OF CANADA. Дата публикации: 2024-05-16.

Ranking-based training of classification model for use with critical rare cases

Номер патента: CA3217232A1. Автор: He Zhao,Mengyao ZHAI,Frederick TUNG,Kiarash Mohammadi. Владелец: ROYAL BANK OF CANADA. Дата публикации: 2024-05-11.

Acid and alkali resistant Ni—Cr—Mo—Cu alloys with critical contents of chromium and copper

Номер патента: US09938609B2. Автор: Paul Crook,Vinay P. Deodeshmukh. Владелец: Haynes International Inc. Дата публикации: 2018-04-10.

In-situ gas-measuring system for gas reactors with critical environments

Номер патента: US09915605B2. Автор: Patrick SCHMIDT-KAEDING. Владелец: Draeger Safety AG and Co KGaA. Дата публикации: 2018-03-13.

Evolving serial comparison system with critical alert notifications

Номер патента: US09408550B2. Автор: Alan Risse,James Stanczak,Russell Deremer. Владелец: Epiphany Cardiography Products LLC. Дата публикации: 2016-08-09.

DYNAMIC MEMORY POWER CAPPING WITH CRITICALITY AWARENESS

Номер патента: US20190065243A1. Автор: Eckert Yasuko. Владелец: . Дата публикации: 2019-02-28.

IN-SITU GAS-MEASURING SYSTEM FOR GAS REACTORS WITH CRITICAL ENVIRONMENTS

Номер патента: US20170268992A1. Автор: SCHMIDT-KAEDING Patrick. Владелец: . Дата публикации: 2017-09-21.

Acid and Alkali Resistant Ni-Cr-Mo-Cu Alloys with Critical Contents of Chromium and Copper

Номер патента: US20160289799A1. Автор: Paul Crook,Vinay P. Deodeshmukh. Владелец: Haynes International Inc. Дата публикации: 2016-10-06.

PROJECT MANAGEMENT WITH CRITICAL PATH SCHEDULING AND RELEASING OF RESOURCES

Номер патента: US20160364674A1. Автор: Macatangay Lee Mark. Владелец: . Дата публикации: 2016-12-15.

Ejector cycle system with critical refrigerant pressure

Номер патента: US20020184903A1. Автор: Hiroshi Ishikawa,Hirotsugu Takeuchi,Kunio Iritani. Владелец: Kunio Iritani. Дата публикации: 2002-12-12.

Stable liquid cleaning compositions with critical window of hydrogenated triglyceride oils

Номер патента: DE202011110828U1. Автор: . Владелец: Unilever NV. Дата публикации: 2016-08-26.

Sulfidation-resistant Co-Cr-Ni alloy with critical contents of silicon and cobalt

Номер патента: US4711763A. Автор: George Y. Lai. Владелец: Cabot Corp. Дата публикации: 1987-12-08.

Cleaning of hydrocarbon-containing materials with critical and supercritical solents

Номер патента: CA2436821A1. Автор: Ian Tunnicliffe,Raymond Mt. Joy. Владелец: Individual. Дата публикации: 2003-08-07.

Cleaning of hydrocarbon-containing materials with critical and supercritical solvents

Номер патента: WO2003063998A8. Автор: Ian Tunnicliffe,Joy Raymond Mt. Владелец: Lobo Liquids Llc. Дата публикации: 2003-11-13.

A method of reducing mortality and morbidity associated with critical illnesses

Номер патента: CN1561224A. Автор: S·埃芬迪克,J·A·亚库波夫斯基. Владелец: Eli Lilly and Co. Дата публикации: 2005-01-05.

Method and system for tissue treatment with critical/supercritical carbon dioxide

Номер патента: US20220017868A1. Автор: Guobao Wei,Mark Decaro. Владелец: WARSAW ORTHOPEDIC INC. Дата публикации: 2022-01-20.

Method and system for tissue treatment with critical/supercritical carbon dioxide

Номер патента: US20180044639A1. Автор: Guobao Wei,Mark Decaro. Владелец: WARSAW ORTHOPEDIC INC. Дата публикации: 2018-02-15.

Acid and Alkali Resistant Ni-Cr-Mo-Cu Alloys with Critical Contents of Chromium and Copper

Номер патента: US20140044587A1. Автор: Crook Paul,Deodeshmukh Vinay. Владелец: HAYNES INTERNATIONAL, INC.. Дата публикации: 2014-02-13.

METHOD AND SYSTEM FOR TISSUE TREATMENT WITH CRITICAL/SUPERCRITICAL CARBON DIOXIDE

Номер патента: US20220017868A1. Автор: Wei Guobao,DeCaro Mark. Владелец: Warsaw Orthopedic, Inc.. Дата публикации: 2022-01-20.

SYSTEMS AND METHODS FOR MONITORING A SURGICAL PROCEDURE WITH CRITICAL REGIONS

Номер патента: US20160030115A1. Автор: Mun In K.,SHEN Feimo,Miller Denise A.,Wei Bryan Z.,Morash James P.. Владелец: . Дата публикации: 2016-02-04.

METHOD AND SYSTEM FOR TISSUE TREATMENT WITH CRITICAL/SUPERCRITICAL CARBON DIOXIDE

Номер патента: US20180044639A1. Автор: Wei Guobao,DeCaro Mark. Владелец: . Дата публикации: 2018-02-15.

Evolving Serial Comparison System with Critical Alert Notifications

Номер патента: US20150133805A1. Автор: Stanczak James,Deremer Russell,Risse Alan. Владелец: . Дата публикации: 2015-05-14.

TREATMENT STRATEGIES AGAINST ANTHRAX BY INTERFERING WITH CRITICAL HOST FACTORS

Номер патента: US20200123545A1. Автор: Zeng Mingtao,Wu Lihong. Владелец: . Дата публикации: 2020-04-23.

METHOD AND SYSTEM FOR TISSUE TREATMENT WITH CRITICAL/SUPERCRITICAL CARBON DIOXIDE

Номер патента: US20190367878A1. Автор: Wei Guobao,DeCaro Mark. Владелец: . Дата публикации: 2019-12-05.

Ejector cycle system with critical refrigerant pressure

Номер патента: US6574987B2. Автор: Hiroshi Ishikawa,Hirotsugu Takeuchi,Kunio Iritani. Владелец: Denso Corp. Дата публикации: 2003-06-10.

FLUID VEIN REGULATOR WITH CRITICAL DIFFERENTIAL PRESSURE TEST.

Номер патента: FR2904987A1. Автор: Thomas Jean Marie Rauzy. Владелец: Individual. Дата публикации: 2008-02-22.

Stereoscopic endoscope with critical structure depth estimation

Номер патента: US20230020780A1. Автор: Robert M. Trusty,Dillon C. Karg. Владелец: Cilag GmbH International. Дата публикации: 2023-01-19.

Treatment strategies against anthrax by interfering with critical host factors

Номер патента: US11946047B2. Автор: Lihong Wu,Mingtao Zeng. Владелец: Texas Tech University System. Дата публикации: 2024-04-02.

Stereoscopic endoscope with critical structure depth estimation

Номер патента: EP4228492A1. Автор: Robert M. Trusty,Dillon C. Karg. Владелец: Cilag GmbH International. Дата публикации: 2023-08-23.

Stereoscopic endoscope with critical structure depth estimation

Номер патента: WO2023285960A1. Автор: Robert M. Trusty,Dillon C. Karg. Владелец: Cilag GmbH International. Дата публикации: 2023-01-19.

Flexible penetration resistant composite materials structure with critical gap geometry in a solids layer

Номер патента: EP1682348A4. Автор: Charles A Howland. Владелец: Warwick Mills Inc. Дата публикации: 2010-04-14.

Method and system for tissue treatment with critical/supercritical carbon dioxide

Номер патента: US20190367878A1. Автор: Guobao Wei,Mark Decaro. Владелец: WARSAW ORTHOPEDIC INC. Дата публикации: 2019-12-05.

Method for forming a critical dimension test structure and its use

Номер патента: TW448338B. Автор: Kazuo Ushida,Kyoichi Suwa,Ilya Grodnensky,Eric R Johnson. Владелец: Nikon Prec Inc. Дата публикации: 2001-08-01.

Method and device to improve the uniformity of critical dimensions among different patterns of semiconductor devices

Номер патента: TW200522149A. Автор: Ching-Yu Chang. Владелец: Macronix Int Co Ltd. Дата публикации: 2005-07-01.

Critical dimension optimisation in lithography

Номер патента: EP1517189A3. Автор: Todd David HIAR,Theodore Allen Paxton,Wim Tijibbo Tel,Todd J. David. Владелец: ASML Netherlands BV. Дата публикации: 2006-02-15.

Adaptive lithographic critical dimension enhancement

Номер патента: TWI245976B. Автор: Wim Tjibbo Tel,Todd David HIAR,Theodore Allen Paxton,Todd J Davis. Владелец: ASML Netherlands BV. Дата публикации: 2005-12-21.

Adaptive lithographic critical dimension enhancement

Номер патента: SG110201A1. Автор: Wim Tjibbo Tel,Todd David HIAR,Theodore Allen Paxton,Todd J Davis. Владелец: ASML Netherlands BV. Дата публикации: 2005-04-28.

Critical dimension uniformity

Номер патента: US11763057B2. Автор: Chi-Ming Tsai,Chi-Ta Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-19.

Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction

Номер патента: TW201113931A. Автор: qiao-lin Zhang. Владелец: Synopsys Inc. Дата публикации: 2011-04-16.

Apparatus and method for compensating critical dimension deviations across photomask

Номер патента: TW516096B. Автор: David H Ziger. Владелец: Konink Philips Electronic Nv. Дата публикации: 2003-01-01.

Ecological and sustainable indigo dyeing process with less water

Номер патента: WO2019045660A3. Автор: Fatih ÇAM,Ali CIFCI,Umit Can KILIC. Владелец: Orta Anadolu Ticaret Ve Sanayi Isletmesi Turk A.S.. Дата публикации: 2019-05-09.

Ecological and sustainable indigo dyeing process with less water

Номер патента: WO2019045660A2. Автор: Fatih ÇAM,Ali CIFCI,Umit Can KILIC. Владелец: Orta Anadolu Ticaret Ve Sanayi Isletmesi Turk A.S.. Дата публикации: 2019-03-07.

METHOD AND SYSTEM FOR MEASURING CRITICAL DIMENSION AND MONITORING FABRICATION UNIFORMITY

Номер патента: US20130202186A1. Автор: Xiao Hong,Jau Jack,Fang Wei. Владелец: HERMES MICROVISION INC.. Дата публикации: 2013-08-08.

ACCURATE AND FAST NEURAL NETWORK TRAINING FOR LIBRARY-BASED CRITICAL DIMENSION (CD) METROLOGY

Номер патента: US20140032463A1. Автор: Poslavsky Leonid,Vuong Vi,Bao Junwei,Lee Lie-Quan,JIN Wen. Владелец: . Дата публикации: 2014-01-30.

OPTICAL CRITICAL DIMENSION TARGET DESIGN

Номер патента: US20170024509A1. Автор: Liu Zhuan,HU Jiangtao,Li Bingqing. Владелец: . Дата публикации: 2017-01-26.

INSPECTION-GUIDED CRITICAL SITE SELECTION FOR CRITICAL DIMENSION MEASUREMENT

Номер патента: US20190041202A1. Автор: SARASWATULA Jagdish Chandra,Pathangi Hari,Yati Arpit. Владелец: . Дата публикации: 2019-02-07.

Critical Dimension Uniformity

Номер патента: US20200057833A1. Автор: TSAI CHI-MING,LU CHI-TA. Владелец: . Дата публикации: 2020-02-20.

CRITICAL DIMENSION UNIFORMITY MONITORING FOR EXTREME ULTRAVIOLET RETICLES

Номер патента: US20150144798A1. Автор: Shi Rui-fang,SEZGINER Abdurrahman,Sousa Weston L.,Pokrovskiy Alex. Владелец: . Дата публикации: 2015-05-28.

System and Method for Shifting Critical Dimensions of Patterned Films

Номер патента: US20150212421A1. Автор: deVilliers Anton J.,FULFORD Daniel. Владелец: . Дата публикации: 2015-07-30.

CRITICAL DIMENSION SHRINK THROUGH SELECTIVE METAL GROWTH ON METAL HARDMASK SIDEWALLS

Номер патента: US20180223522A1. Автор: Yang Chih-Chao,Yin Yunpeng,He Hong,Li Juntao,Chen Hsueh-Chung H.. Владелец: . Дата публикации: 2018-08-09.

OPTICAL CRITICAL DIMENSION METROLOGY

Номер патента: US20160363484A1. Автор: Barak Gilad,Grossman Danny,SHAFIR DROR. Владелец: . Дата публикации: 2016-12-15.

Method for improving consistency of critical dimension

Номер патента: TW497138B. Автор: Yi-Yu Hsu,Kuo-Chen Wang,Yao-Ting Shao. Владелец: Winbond Electronics Corp. Дата публикации: 2002-08-01.

System and method for shifting critical dimensions of patterned films

Номер патента: TWI550687B. Автор: 安東J 德維利耶,丹尼爾 富爾福德. Владелец: 東京威力科創股份有限公司. Дата публикации: 2016-09-21.

Defect and critical dimension analysis systems and methods for a semiconductor lithographic process

Номер патента: US8422761B2. Автор: Tadashi Kitamura,Akio Ishikawa. Владелец: NGR Inc. Дата публикации: 2013-04-16.

System and method for controlling critical dimension in a semiconductor manufacturing process

Номер патента: US20030115005A1. Автор: Stacy Firth,W. Campbell. Владелец: Yield Dynamics Inc. Дата публикации: 2003-06-19.

Apparatus and method for forming photoresist pattern with target critical dimension

Номер патента: US6590219B1. Автор: David H. Ziger. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2003-07-08.

Critical dimension monitoring from latent image

Номер патента: US20030002878A1. Автор: Bharath Rangarajan,Bhanwar Singh,Ramkumar Subramanian,Michael Templeton. Владелец: Advanced Micro Devices Inc. Дата публикации: 2003-01-02.

Differential critical dimension and overlay metrology apparatus and measurement method

Номер патента: US20100103433A1. Автор: Christopher Ausschnitt. Владелец: International Business Machines Corp. Дата публикации: 2010-04-29.

Dental Images Processed With Deep Learning For National Security

Номер патента: US20210142885A1. Автор: Richard Ricci,Andrea Cambria. Владелец: Individual. Дата публикации: 2021-05-13.

Uv curing system and process with increased light intensity

Номер патента: EP1871608A2. Автор: Stephen B. Siegel. Владелец: Con Trol Cure Inc. Дата публикации: 2008-01-02.

Registration of a process with a database manager

Номер патента: US20020116381A1. Автор: Chad Thompson. Владелец: Individual. Дата публикации: 2002-08-22.

CRITICAL DIMENSION UNIFORMITY CORRECTION BY SCANNER SIGNATURE CONTROL

Номер патента: US20130077101A1. Автор: Dmitriev Vladimir,Ben-Zvi Guy,Sharoni Ofir,Chason Eran,Varvaruk Igor. Владелец: . Дата публикации: 2013-03-28.

METHOD AND SYSTEM FOR MEASURING CRITICAL DIMENSION AND MONITORING FABRICATION UNIFORMITY

Номер патента: US20130182939A1. Автор: Xiao Hong,Jau Jack,Fang Wei. Владелец: HERMES MICROVISION INC.. Дата публикации: 2013-07-18.

Calibration Of An Optical Metrology System For Critical Dimension Application Matching

Номер патента: US20130245985A1. Автор: Flock Klaus,Rotter Lawrence,Arain Muzammil. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2013-09-19.

Global Landmark Method For Critical Dimension Uniformity Reconstruction

Номер патента: US20130263061A1. Автор: Dmitriev Vladimir,Sharoni Ofir. Владелец: CARL ZEISS SMS LTD.. Дата публикации: 2013-10-03.

Model-Based Registration and Critical Dimension Metrology

Номер патента: US20140086475A1. Автор: DaneshPanah Mohammad Mehdi,SEZGINER Abdurrahman. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2014-03-27.

AZIMUTHAL CRITICAL DIMENSION NON-UNIFORMITY FOR DOUBLE PATTERNING PROCESS

Номер патента: US20200002815A1. Автор: LaVoie Adrien,Agarwal Pulkit,Kumar Ravi,PASQUALE Frank Loren. Владелец: . Дата публикации: 2020-01-02.

SYSTEMS AND METHODS FOR PREDICTING DEFECTS AND CRITICAL DIMENSION USING DEEP LEARNING IN THE SEMICONDUCTOR MANUFACTURING PROCESS

Номер патента: US20190004504A1. Автор: Yati Arpit. Владелец: . Дата публикации: 2019-01-03.

MODELING POST-LITHOGRAPHY STOCHASTIC CRITICAL DIMENSION VARIATION WITH MULTI-TASK NEURAL NETWORKS

Номер патента: US20200026962A1. Автор: De Silva Ekmini A.,Sha Jing,Dunn Derren N.. Владелец: . Дата публикации: 2020-01-23.

METHOD AND SYSTEM FOR IMPROVING CRITICAL DIMENSION UNIFORMITY USING SHAPED BEAM LITHOGRAPHY

Номер патента: US20140127628A1. Автор: Fujimura Akira,Aadamov Anatoly,Pearman Ryan. Владелец: D2S, INC.. Дата публикации: 2014-05-08.

OPTICAL CRITICAL DIMENSION METROLOGY

Номер патента: US20190063999A1. Автор: Barak Gilad,Grossman Danny,SHAFIR DROR. Владелец: . Дата публикации: 2019-02-28.

DEVICE FOR MEASURING CRITICAL DIMENSION OF PATTERN AND METHOD THEREOF

Номер патента: US20150098643A1. Автор: Lee Young Suk,Lee Jong Soo,PARK Yong Jun. Владелец: Samsung Display Co., Ltd.. Дата публикации: 2015-04-09.

CRITICAL DIMENSION UNIFORMITY ENHANCEMENT TECHNIQUES AND APPARATUS

Номер патента: US20160110858A1. Автор: Liu Yanwei,Fang Hawren. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2016-04-21.

ACHIEVING A CRITICAL DIMENSION TARGET BASED ON RESIST CHARACTERISTICS

Номер патента: US20160125121A1. Автор: Liu Huang,Ning Guoxiang,DAI Xintuo,LIM Chin Teong. Владелец: . Дата публикации: 2016-05-05.

Multi-Function Overlay Marks for Reducing Noise and Extracting Focus and Critical Dimension Information

Номер патента: US20210165315A1. Автор: Huang Te-Chih,Lee Yu-Ching,FANG Yu-Piao. Владелец: . Дата публикации: 2021-06-03.

APPARATUS FOR CRITICAL-DIMENSION LOCALIZATION MICROSCOPY

Номер патента: US20200132437A1. Автор: Stavis Samuel Martin,COPELAND CRAIG ROBERT. Владелец: . Дата публикации: 2020-04-30.

METHOD AND APPARATUS FOR MEASURING CRITICAL DIMENSION OF SEMICONDUCTOR

Номер патента: US20150198434A1. Автор: CHEN Huiping,SHI Yaoming,XU Yiping. Владелец: . Дата публикации: 2015-07-16.

Measurement Method and Measurement Device of Critical Dimension of Sub-pixel

Номер патента: US20170193653A1. Автор: SUN Zhiyi,Pang Huashan. Владелец: . Дата публикации: 2017-07-06.

METHOD FOR USING OPTICAL METROLOGY TO MONITOR CRITICAL DIMENSION UNIFORMITY

Номер патента: US20140297223A1. Автор: Hibbs Michael S.,Stobert Ian P.,Tirapu-Azpiroz Jaione. Владелец: . Дата публикации: 2014-10-02.

Automated Accuracy-Oriented Model Optimization System for Critical Dimension Metrology

Номер патента: US20180232630A1. Автор: Li Xin,Chen Yuerui. Владелец: . Дата публикации: 2018-08-16.

Critical Dimension Uniformity

Номер патента: US20210326507A1. Автор: TSAI CHI-MING,LU CHI-TA. Владелец: . Дата публикации: 2021-10-21.

Scatterometry-Based Imaging and Critical Dimension Metrology

Номер патента: US20150300965A1. Автор: SEZGINER Abdurrahman,Bakeman Michael S.,Hench John. Владелец: . Дата публикации: 2015-10-22.

Critical Dimension Measurements With Gaseous Adsorption

Номер патента: US20170314913A1. Автор: Krishnan Shankar. Владелец: . Дата публикации: 2017-11-02.

OPTICAL METHOD AND SYSTEM FOR CRITICAL DIMENSIONS AND THICKNESS CHARACTERIZATION

Номер патента: US20150345934A1. Автор: Barak Gilad,Wolfling Shay,SHAFIR DROR. Владелец: . Дата публикации: 2015-12-03.

DETERMINING A CRITICAL DIMENSION VARIATION OF A PATTERN

Номер патента: US20200327652A1. Автор: Schwarzband Ishai,Cohen Boaz,Kris Roman,Vereschagin Vadim,SHKALIM Ariel,BAL Evgeny. Владелец: . Дата публикации: 2020-10-15.

Post Development Treatment Method and Material for Shrinking Critical Dimension of Photoresist Layer

Номер патента: US20180335700A1. Автор: Chang Ching-Yu,Wang Siao-Shan. Владелец: . Дата публикации: 2018-11-22.

CRITICAL DIMENSION VARIATION CORRECTION IN EXTREME ULTRAVIOLET LITHOGRAPHY

Номер патента: US20160370697A1. Автор: Oshemkov Sergey,Kruglyakov Vladimir,PERETS Yuval,Blumrich Frederik. Владелец: . Дата публикации: 2016-12-22.

New Surface Treatment Method for Dielectric Anti-Reflective Coating (DARC) to Shrink Photoresist Critical Dimension (CD)

Номер патента: US20170371247A1. Автор: Zhong Tom,Haq Jesmin. Владелец: . Дата публикации: 2017-12-28.

EXTRUDED POLYMER FOAM PROCESS WITH STAGED FORMING

Номер патента: US20120004333A1. Автор: Vo Van-Chau,Wurtz Bertrand. Владелец: . Дата публикации: 2012-01-05.

Distillation process with high thermo-dynamic efficiencies

Номер патента: CA1272948A. Автор: William R. Stothers. Владелец: Ultimate Process Systems Ltd.. Дата публикации: 1990-08-21.

Critical dimension control wafer and method of making the same

Номер патента: TW586175B. Автор: Tien-Chi Wu,Yu-Hui Lu. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2004-05-01.

Critical dimension control wafer and method of making the same

Номер патента: TW200425368A. Автор: Tien-Chi Wu,Yu-Hui Lu. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2004-11-16.

Monitor pattern of critical dimension control device and method of using the same

Номер патента: JP3151791B2. Автор: 坤榮 林,智強 劉,雲雄 李. Владелец: 聯華電子股▲分▼有限公司. Дата публикации: 2001-04-03.

Novel embedded NOR flash memory process with NAND cell and true logic compatible low voltage device

Номер патента: US20120001233A1. Автор: Lee Peter Wung,Hsu Fu-Chang,Ma Han-Rei. Владелец: . Дата публикации: 2012-01-05.

PACKET PROTOCOL PROCESSING WITH PRECISION TIMING PROTOCOL SUPPORT

Номер патента: US20120002558A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

IMPLANT WITH CRITICAL RATIO OF LOAD BEARING SURFACE AREA TO CENTRAL OPENING AREA

Номер патента: US20120158144A1. Автор: Ullrich,JR. Peter F.,Patterson Chad J.,Schneider Jennifer M.. Владелец: . Дата публикации: 2012-06-21.

Power transmission for tap changer operating mechanism at loading time with critical stopper

Номер патента: JPS5457118A. Автор: Keijiro Sogabe. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1979-05-08.

Construction method for livestock animal model with critical lack of Vitamin A

Номер патента: CN103141433A. Автор: 李学民,刘文华,张金玲,刘宗柱. Владелец: Qingdao Agricultural University. Дата публикации: 2013-06-12.

Flyback converter with critical connection mode

Номер патента: CN103501114A. Автор: 李泽宏,曾智,刘广涛,吴明进,蒋汇. Владелец: University of Electronic Science and Technology of China. Дата публикации: 2014-01-08.

Tile is with criticizing sword of being convenient for adjust

Номер патента: CN209799275U. Автор: 刘海霞. Владелец: Individual. Дата публикации: 2019-12-17.

Method for reducing critical dimensions of semiconductor chip

Номер патента: TW425610B. Автор: Chan-Lon Yang,Tsu-An Lin,Jui-Tsen Huang. Владелец: United Microelectronics Corp. Дата публикации: 2001-03-11.

Manufacturing method of photo mask for increasing critical dimension uniformity

Номер патента: TW413741B. Автор: Shr-Jie Lin,Sheng-Ji Chin. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2000-12-01.

Method for measuring critical dimension of semiconductor device

Номер патента: TW200905768A. Автор: Yu-Chang Lin,Shao-Kang Chang. Владелец: Nanya Technology Corp. Дата публикации: 2009-02-01.

Critical dimension design to prevent misreading

Номер патента: TW498474B. Автор: Yuan-Shou Choi. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2002-08-11.

Etching method of controlling contact hole critical dimension

Номер патента: TW533496B. Автор: Bei-Hung Ju. Владелец: Macronix Int Co Ltd. Дата публикации: 2003-05-21.

Manufacturing method of mask to increase uniformity of critical dimension

Номер патента: TW454105B. Автор: Jeng-Hung Chen,Shr-Jie Lin. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2001-09-11.

Method for measuring critical dimension of semiconductor device

Номер патента: TWI340422B. Автор: Yu Chang Lin,Shao Kang Chang. Владелец: Nanya Technology Corp. Дата публикации: 2011-04-11.

Two-dimensional critical dimension monitor pattern

Номер патента: TW508713B. Автор: George Liu. Владелец: United Microelectronics Corp. Дата публикации: 2002-11-01.

Method and system for measuring a critical dimension of a mask design pattern

Номер патента: TWI249802B. Автор: Ming-Feng Ho,Hua-Jen Chen. Владелец: Allied Integrated Patterning C. Дата публикации: 2006-02-21.

Method and system for measuring a critical dimension of a mask design pattern

Номер патента: TW200623295A. Автор: Ming-Feng Ho,Hua-Jen Chen. Владелец: Allied Integrated Patterning Corp. Дата публикации: 2006-07-01.

OPTIMIZATION OF CRITICAL DIMENSIONS AND PITCH OF PATTERNED FEATURES IN AND ABOVE A SUBSTRATE

Номер патента: US20130009230A1. Автор: . Владелец: . Дата публикации: 2013-01-10.

METHODS TO REDUCE THE CRITICAL DIMENSION OF SEMICONDUCTOR DEVICES AND RELATED SEMICONDUCTOR DEVICES

Номер патента: US20130009283A1. Автор: Zhou Baosuo. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2013-01-10.

VAPOR TREATMENT PROCESS FOR PATTERN SMOOTHING AND INLINE CRITICAL DIMENSION SLIMMING

Номер патента: US20130171571A1. Автор: DUNN Shannon W.,HETZER Dave. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2013-07-04.

Electronic emitter and its formation process options

Номер патента: RU2083018C1. Автор: К.Кейн Роберт,И.Джаски Джеймс. Владелец: МОТОРОЛА, ИНК.. Дата публикации: 1997-06-27.

Method for reducing critical dimension of contact hole

Номер патента: CN102024748B. Автор: 韩宝东,赵林林. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2014-01-01.

Methods and systems for controlling critical dimensions in track lithography tools

Номер патента: TW200818259A. Автор: Nikolaos Bekiaris,Tim Michaelson. Владелец: Sokudo Co Ltd. Дата публикации: 2008-04-16.

Method of Controlling Critical Dimensions of Vias in a Metallization System of a Semiconductor Device During Silicon-ARC Etch

Номер патента: US20120028472A1. Автор: . Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-02-02.

METHOD FOR CORRECTING CRITICAL DIMENSION OF PHASE SHIFT MASK AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120061349A1. Автор: RYU Choong Han. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-03-15.

METHOD OF MEASURING CRITICAL DIMENSION OF PATTERN AND APPARATUS FOR PERFORMING THE SAME

Номер патента: US20120105617A1. Автор: . Владелец: . Дата публикации: 2012-05-03.

SIDEWALL IMAGE TRANSFER PITCH DOUBLING AND INLINE CRITICAL DIMENSION SLIMMING

Номер патента: US20120128935A1. Автор: DUNN Shannon W.,HETZER Dave. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-05-24.

DOUBLE PATTERNING WITH INLINE CRITICAL DIMENSION SLIMMING

Номер патента: US20120128942A1. Автор: . Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-05-24.

Virtual Photo-Mask Critical-Dimension Measurement

Номер патента: US20120137260A1. Автор: . Владелец: . Дата публикации: 2012-05-31.

METHOD AND SYSTEM FOR MEASURING CRITICAL DIMENSION AND MONITORING FABRICATION UNIFORMITY

Номер патента: US20120212601A1. Автор: Xiao Hong,Jau Jack,Fang Wei. Владелец: HERMES MICROVISION INC.. Дата публикации: 2012-08-23.

SYSTEM AND METHOD FOR CRITICAL DIMENSION REDUCTION AND PITCH REDUCTION

Номер патента: US20120279656A9. Автор: Charatan Robert. Владелец: . Дата публикации: 2012-11-08.

CRITICAL DIMENSION REDUCTION AND ROUGHNESS CONTROL

Номер патента: US20120309201A1. Автор: . Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2012-12-06.

METHOD OF OPTIMIZING AN OPTICAL PARAMETRIC MODEL FOR STRUCTURAL ANALYSIS USING OPTICAL CRITICAL DIMENSION (OCD) METROLOGY

Номер патента: US20120323356A1. Автор: . Владелец: . Дата публикации: 2012-12-20.

Measurement of Critical Dimension

Номер патента: US20130003068A1. Автор: . Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2013-01-03.

STRUCTURE FOR CRITICAL DIMENSION AND OVERLAY MEASUREMENT

Номер патента: US20130120739A1. Автор: WANG Jian,MAO ZhiBiao,Dai Yunqing. Владелец: . Дата публикации: 2013-05-16.

METHODS OF POLYMERS DEPOSITION FOR FORMING REDUCED CRITICAL DIMENSIONS

Номер патента: US20130122707A1. Автор: SHIMIZU Daisuke,Kim Jong Mun. Владелец: . Дата публикации: 2013-05-16.

NUMERICAL APERTURE INTEGRATION FOR OPTICAL CRITICAL DIMENSION (OCD) METROLOGY

Номер патента: US20130211760A1. Автор: Chu Hanyou,Jiang Peilin. Владелец: . Дата публикации: 2013-08-15.

METHODS OF IMPROVING TUNGSTEN CONTACT RESISTANCE IN SMALL CRITICAL DIMENSION FEATURES

Номер патента: US20140030889A1. Автор: Chen Feng,Yang Tsung-Han,Gao Juwen,Danek Michal. Владелец: . Дата публикации: 2014-01-30.