• Главная
  • CRITICAL DIMENSION AND PATTERN RECOGNITION STRUCTURES FOR DEVICES MANUFACTURED USING DOUBLE PATTERNING TECHNIQUES

CRITICAL DIMENSION AND PATTERN RECOGNITION STRUCTURES FOR DEVICES MANUFACTURED USING DOUBLE PATTERNING TECHNIQUES

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method for directed self-assembly and pattern curing

Номер патента: US09478435B2. Автор: Nihar Mohanty. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-10-25.

Forming contact holes with controlled local critical dimension uniformity

Номер патента: US20220181152A1. Автор: Junling Sun,Angelique RALEY,Andrew Metz,Katie Lutker-Lee. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-06-09.

Forming contact holes with controlled local critical dimension uniformity

Номер патента: US11978631B2. Автор: Junling Sun,Angelique RALEY,Andrew Metz,Katie Lutker-Lee. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-05-07.

Methods of forming features having differing pitch spacing and critical dimensions

Номер патента: US09449835B2. Автор: Ryan Ryoung-Han Kim,Linus Jang. Владелец: Globalfoundries Inc. Дата публикации: 2016-09-20.

Method and system for controlling profile of critical dimension

Номер патента: US11908754B2. Автор: Jun Shimada,Chih-Teng Liao,Chen-Fon CHANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-20.

Integrated circuit fabrication critical dimension control using self-limiting resist etch

Номер патента: US6121155A. Автор: Qi Xiang,Scott Bell,Chih-Yuh Yang. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-09-19.

System and method for critical dimension reduction and pitch reduction

Номер патента: MY139835A. Автор: Robert Charatan. Владелец: Lam Res Corp. Дата публикации: 2009-10-30.

Semiconductor device manufacturing methods

Номер патента: US09768031B2. Автор: Cheng-Hsiung Tsai,Chung-Ju Lee,Tsung-Min Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-19.

Method for forming self-aligned double pattern and semiconductor structures

Номер патента: US12100593B2. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Display device manufacturing apparatus and method

Номер патента: US20190341277A1. Автор: Juhee Lee,Myungsoo Huh,TaeJong Kim,Haeyoung YOO,Jaekyu Park,Hyunwoo Joo. Владелец: Samsung Display Co Ltd. Дата публикации: 2019-11-07.

Method for forming self-aligned double pattern, and semiconductor structure

Номер патента: EP4181172A1. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-05-17.

Line-end cutting method for fin structures of FinFETs formed by double patterning technology

Номер патента: US09536987B2. Автор: Ming Li,Chunyan Yi. Владелец: Shanghai IC R&D Center Co Ltd. Дата публикации: 2017-01-03.

Self-aligned double patterning with spacer-merge region

Номер патента: US11749529B2. Автор: ZHENG Xu,Kafai Lai,Rasit Onur Topaloglu,Dongbing Shao. Владелец: International Business Machines Corp. Дата публикации: 2023-09-05.

Self-aligned double patterning with spacer-merge region

Номер патента: US20210272806A1. Автор: ZHENG Xu,Kafai Lai,Rasit Onur Topaloglu,Dongbing Shao. Владелец: International Business Machines Corp. Дата публикации: 2021-09-02.

Semiconductor device manufacturing method

Номер патента: US20160071739A1. Автор: Tsubasa IMAMURA,Keisuke Kikutani. Владелец: Toshiba Corp. Дата публикации: 2016-03-10.

Semiconductor device manufacturing method

Номер патента: US09887093B1. Автор: Mitsuhiro Omura. Владелец: Toshiba Memory Corp. Дата публикации: 2018-02-06.

Processing method for producing photomask with double patterns and storage medium thereof

Номер патента: US20200152474A1. Автор: Chien-Chin Huang,Shih-Min Tseng. Владелец: Winbond Electronics Corp. Дата публикации: 2020-05-14.

Processing method for producing photomask with double patterns and storage medium thereof

Номер патента: US10957555B2. Автор: Chien-Chin Huang,Shih-Min Tseng. Владелец: Winbond Electronics Corp. Дата публикации: 2021-03-23.

Semiconductor device manufacturing method and semiconductor device manufacturing apparatus

Номер патента: US8101507B2. Автор: Shigeru Tahara,Ryuichi Asako,Gousuke Shiraishi. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-01-24.

Semiconductor device manufacturing apparatus

Номер патента: US20120132365A1. Автор: Shigeru Tahara,Ryuichi Asako,Gousuke Shiraishi. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-05-31.

Semiconductor device manufacturing method

Номер патента: US8124492B2. Автор: Hitoshi Itoh,Hiroshi Sato,Kenji Matsumoto. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-02-28.

Semiconductor device manufacturing method

Номер патента: US8314004B2. Автор: Hitoshi Itoh,Hiroshi Sato,Kenji Matsumoto. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-11-20.

Semiconductor device manufacturing method

Номер патента: US20120122289A1. Автор: Hitoshi Itoh,Hiroshi Sato,Kenji Matsumoto. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-05-17.

Semiconductor device manufacturing method

Номер патента: US20100233865A1. Автор: Hitoshi Itoh,Hiroshi Sato,Kenji Matsumoto. Владелец: Tokyo Electron Ltd. Дата публикации: 2010-09-16.

Metal fill optimization for self-aligned double patterning

Номер патента: US09735029B1. Автор: Lawrence A. Clevenger,Myung-Hee Na,Albert M. Chu,Ximeng Guan. Владелец: International Business Machines Corp. Дата публикации: 2017-08-15.

Method of self-aligned double patterning

Номер патента: US10734284B2. Автор: Chieh-Te Chen,Feng-Yi Chang,Fu-Che Lee,Ying-Chih Lin,Gang-Yi Lin,Yi-Ching Chang. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2020-08-04.

Semiconductor device manufacturing method

Номер патента: US20100216300A1. Автор: Tadahiro Ohmi,Toshihisa Nozawa,Akinobu Teramoto,Takaaki Matsuoka,Hirokazu Ueda. Владелец: Tohoku University NUC. Дата публикации: 2010-08-26.

Self-aligned double patterning (sadp) method

Номер патента: US20200098580A1. Автор: Jui-Yu Pan,Kuo-Chyuan Tzeng,Lee-Chuan Tseng,Ying-Hua Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-26.

Double-pattern gate formation processing with critical dimension control

Номер патента: US20140220767A1. Автор: Xiang Hu. Владелец: Globalfoundries Inc. Дата публикации: 2014-08-07.

Method for using disposable hard mask for gate critical dimension control

Номер патента: US5670423A. Автор: Chue-San Yoo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 1997-09-23.

Spacer double patterning that prints multiple CD in front-end-of-line

Номер патента: US8450833B2. Автор: Ryoung-han Kim. Владелец: Globalfoundries Inc. Дата публикации: 2013-05-28.

Conductive feature with non-uniform critical dimension and method of manufacturing the same

Номер патента: US11935816B2. Автор: Shing-Yih Shih,Jheng-Ting JHONG. Владелец: Nanya Technology Corp. Дата публикации: 2024-03-19.

Semiconductor device with reduced critical dimensions

Номер патента: US12009212B2. Автор: Kuo-Hui Su. Владелец: Nanya Technology Corp. Дата публикации: 2024-06-11.

Method for establishing mapping relation in sti etch and controlling critical dimension of sti

Номер патента: US20170025304A1. Автор: JIN Xu,Xusheng Zhang,Yu Ren,Yukun LV,Qiyan Feng. Владелец: Individual. Дата публикации: 2017-01-26.

Process for forming photoresist mask over integrated circuit structures with critical dimension control

Номер патента: US5902704A. Автор: Philippe Schoenborn,John Haywood. Владелец: LSI Logic Corp. Дата публикации: 1999-05-11.

Double patterning lithography techniques

Номер патента: US20140017899A1. Автор: Matthew L. Tingey,Charles H. Wallace,Swaminathan Sivakumar,Nadia M. Rahhal-Orabi,Chanaka D. Munasinghe. Владелец: Intel Corp. Дата публикации: 2014-01-16.

Methods to reduce the critical dimension of semiconductor devices

Номер патента: US7807575B2. Автор: Baosuo Zhou. Владелец: Micron Technology Inc. Дата публикации: 2010-10-05.

Methods for adjusting critical dimension uniformity in an etch process

Номер патента: SG153011A1. Автор: Changhun Lee,Guowen Ding,Teh-Tien Su. Владелец: Applied Materials Inc. Дата публикации: 2009-06-29.

Process for variable fin pitch and critical dimension

Номер патента: US20180330994A1. Автор: Hui Zang,Jinping Liu. Владелец: Globalfoundries Inc. Дата публикации: 2018-11-15.

Process for variable fin pitch and critical dimension

Номер патента: US10192786B2. Автор: Hui Zang,Jinping Liu. Владелец: Globalfoundries Inc. Дата публикации: 2019-01-29.

Semiconductor device manufacturing method and pattern forming method

Номер патента: US09685331B1. Автор: Yusuke KASAHARA,Ayako KAWANISHI,Hiroki YONEMITSU. Владелец: Toshiba Corp. Дата публикации: 2017-06-20.

Double patterning method

Номер патента: US20140024215A1. Автор: Ying Zhang,Kangguo Cheng,Bruce B. Doris,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2014-01-23.

Double patterning method

Номер патента: US9431266B2. Автор: Ying Zhang,Kangguo Cheng,Bruce B. Doris,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2016-08-30.

Double patterning method

Номер патента: US20150056809A1. Автор: Ying Zhang,Kangguo Cheng,Bruce B. Doris,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2015-02-26.

Nor flash device manufacturing method

Номер патента: US20140154878A1. Автор: Yawei Chen,Zhihon Jian. Владелец: CSMC Technologies Fab2 Co Ltd. Дата публикации: 2014-06-05.

Double Patterning Method Of Forming Semiconductor Active Areas And Isolation Regions

Номер патента: US20150206788A1. Автор: Chien-Sheng Su,Jeng-Wei Wang. Владелец: Silicon Storage Technology Inc. Дата публикации: 2015-07-23.

Semiconductor device manufacturing method and semiconductor wafer

Номер патента: US20170186725A1. Автор: Takehiro Oura,Yuichi Ota,Kohei Yoshida,Kentaro Kita. Владелец: Renesas Electronics Corp. Дата публикации: 2017-06-29.

Semiconductor device manufacturing method and semiconductor wafer

Номер патента: US09786630B2. Автор: Takehiro Oura,Yuichi Ota,Kohei Yoshida,Kentaro Kita. Владелец: Renesas Electronics Corp. Дата публикации: 2017-10-10.

Semiconductor device manufacturing method

Номер патента: US20200303221A1. Автор: Kazuyuki Fukuyama,Masaru Hatano. Владелец: Toshiba Electronic Devices and Storage Corp. Дата публикации: 2020-09-24.

Semiconductor Device Manufacturing Method

Номер патента: US20170077396A1. Автор: Mitsuru Yamazaki,Koji Yamashita. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-03-16.

Semiconductor device manufacturing method

Номер патента: US11756793B2. Автор: Yohei Ishii,Kathryn Maier,Medhat Khalil. Владелец: Hitachi High Tech Corp. Дата публикации: 2023-09-12.

Semiconductor device manufacturing method

Номер патента: US09893211B2. Автор: Takashi Yoshimura,Yuichi Onozawa,Hiroshi TAKISHITA. Владелец: Fuji Electric Co Ltd. Дата публикации: 2018-02-13.

Method for shrinking critical dimension

Номер патента: US20020055252A1. Автор: Ching-Yu Chang. Владелец: Macronix International Co Ltd. Дата публикации: 2002-05-09.

EPI T-GATE STRUCTURE FOR CoSi2 EXTENDIBILITY

Номер патента: WO2007136884A3. Автор: Dharmesh Jawarani,Mark D Hall,Mehul D Shroff,Edward O Travis. Владелец: Edward O Travis. Дата публикации: 2008-11-06.

EPI T-GATE STRUCTURE FOR CoSi2 EXTENDIBILITY

Номер патента: WO2007136884A2. Автор: Edward O. Travis,Dharmesh Jawarani,Mehul D. Shroff,Mark D. Hall. Владелец: Freescale Semiconductor Inc.. Дата публикации: 2007-11-29.

Silylation method for reducing critical dimension loss and resist loss

Номер патента: US6107177A. Автор: Zhijian Lu,Wayne Moreau. Владелец: International Business Machines Corp. Дата публикации: 2000-08-22.

Global landmark method for critical dimension uniformity reconstruction

Номер патента: WO2012046233A3. Автор: Vladimir Dmitriev,Ofir Sharoni. Владелец: CARL ZEISS SMS LTD.. Дата публикации: 2012-07-05.

Global landmark method for critical dimension uniformity reconstruction

Номер патента: WO2012046233A2. Автор: Vladimir Dmitriev,Ofir Sharoni. Владелец: CARL ZEISS SMS LTD.. Дата публикации: 2012-04-12.

Shallow trench isolation (sti) structure for cmos image sensor

Номер патента: US20210225924A1. Автор: Seong Yeol Mun. Владелец: Omnivision Technologies Inc. Дата публикации: 2021-07-22.

Semiconductor device having controlled final metal critical dimension

Номер патента: US20140273389A1. Автор: Nam Sung Kim,Baofu ZHU,Bingwu Liu. Владелец: Globalfoundries Inc. Дата публикации: 2014-09-18.

Critical dimension variation correction in extreme ultraviolet lithography

Номер патента: IL239577B. Автор: Sergey Oshemkov,Vladimir Kruglyakov,Frederik Blumrich,Yuval PERETS. Владелец: Yuval PERETS. Дата публикации: 2020-10-29.

Multiple critical dimension power rail

Номер патента: US20230411292A1. Автор: Tao Li,Ruilong Xie,Kisik Choi,Liqiao QIN. Владелец: International Business Machines Corp. Дата публикации: 2023-12-21.

Multiple critical dimension power rail

Номер патента: WO2023241932A1. Автор: Tao Li,Ruilong Xie,Kisik Choi,Liqiao QIN. Владелец: Ibm United Kingdom Limited. Дата публикации: 2023-12-21.

Method of manufacturing fan-out packaging device and fan-out packaging device manufactured thereby

Номер патента: US20240347433A1. Автор: Sehat Sutardja. Владелец: Silicon Box Pte Ltd. Дата публикации: 2024-10-17.

Lithography system and method for device manufacture

Номер патента: WO2002093254A3. Автор: David A Markle,Dan Meisburger. Владелец: Ultratech Stepper Inc. Дата публикации: 2009-06-11.

Lithography system and method for device manufacture

Номер патента: WO2002093254A1. Автор: David A Markle,Dan Meisburger. Владелец: Ultratech Stepper Inc. Дата публикации: 2002-11-21.

Dummy Gate Structure for Semiconductor Devices

Номер патента: US20160005814A1. Автор: Shih-Chi Fu,Chien-Chih Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-01-07.

Dummy gate structure for semiconductor devices

Номер патента: US09627475B2. Автор: Shih-Chi Fu,Chien-Chih Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-18.

Method and structure for double lining for shallow trench isolation

Номер патента: US20070087519A1. Автор: Liu Chi-Kang,XIN Wang,Ze Li. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2007-04-19.

Integrated circuit device manufacturing method

Номер патента: US20240361546A1. Автор: Hui Yu Lee,Yu-Hao Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Schottky barrier structure for silicon carbide (SiC) power devices

Номер патента: US09960247B2. Автор: Ruigang Li,Zheng Zuo,Bochao Huang,Da Teng. Владелец: Individual. Дата публикации: 2018-05-01.

Lithographic apparatus and device manufacturing method

Номер патента: SG128586A1. Автор: Dirk-Jan Bijvoet. Владелец: ASML Netherlands BV. Дата публикации: 2007-01-30.

Methods for forming and etching structures for patterning processes

Номер патента: US20200058503A1. Автор: Rajinder Dhindsa,Vinay Shankar VIDYARTHI. Владелец: Applied Materials Inc. Дата публикации: 2020-02-20.

Forming a fin using double trench epitaxy

Номер патента: US09923084B2. Автор: Shogo Mochizuki,Pouya Hashemi,Alexander Reznicek,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2018-03-20.

Method of forming a temporary test structure for device fabrication

Номер патента: US09735071B2. Автор: Charles L. Arvin,Gary W. Maier,Brian Michael Erwin. Владелец: International Business Machines Corp. Дата публикации: 2017-08-15.

Forming a Fin using double trench epitaxy

Номер патента: US09653582B2. Автор: Shogo Mochizuki,Pouya Hashemi,Alexander Reznicek,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-05-16.

Forming a fin using double trench epitaxy

Номер патента: US09583599B2. Автор: Shogo Mochizuki,Pouya Hashemi,Alexander Reznicek,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-02-28.

Method for double patterning a thin film

Номер патента: US20080076075A1. Автор: Sandra L. Hyland,Shannon W. Dunn. Владелец: Tokyo Electron Ltd. Дата публикации: 2008-03-27.

Method of forming a temporary test structure for device fabrication

Номер патента: US09899280B2. Автор: Charles L. Arvin,Gary W. Maier,Brian Michael Erwin. Владелец: International Business Machines Corp. Дата публикации: 2018-02-20.

Dummy Gate Structure for Semiconductor Devices

Номер патента: US20130175660A1. Автор: Shih-Chi Fu,Chien-Chih Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-07-11.

Passivation of metal structures for harsh environments

Номер патента: WO2024188852A1. Автор: Roy Knechtel,Sophia Dempwolf. Владелец: X-FAB Global Services GmbH. Дата публикации: 2024-09-19.

Semiconductor device manufacturing apparatus and operating method thereof

Номер патента: US7556711B2. Автор: Hidenori Miyoshi. Владелец: Tokyo Electron Ltd. Дата публикации: 2009-07-07.

Semiconductor device manufacturing method and semiconductor device

Номер патента: US20220293581A1. Автор: Hideo Numata. Владелец: Kioxia Corp. Дата публикации: 2022-09-15.

Semiconductor device manufacturing apparatus and operating method thereof

Номер патента: US20060289297A1. Автор: Hidenori Miyoshi. Владелец: Tokyo Electron Ltd. Дата публикации: 2006-12-28.

Semiconductor device manufacturing method and semiconductor device manufacturing apparatus

Номер патента: US20150235850A1. Автор: Hidetami Yaegashi. Владелец: Tokyo Electron Ltd. Дата публикации: 2015-08-20.

Semiconductor device manufacturing method

Номер патента: US20190221430A1. Автор: Fu Hai Liu. Владелец: Semconductor Manufacturing International (shanghai) Corp. Дата публикации: 2019-07-18.

Semiconductor device manufacturing method

Номер патента: US20040097074A1. Автор: So Suzuki. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2004-05-20.

Semiconductor device and semiconductor device manufacturing method

Номер патента: US09741587B2. Автор: Yoshikazu Takahashi,Tsunehiro Nakajima,Norihiro NASHIDA. Владелец: Fuji Electric Co Ltd. Дата публикации: 2017-08-22.

Electronic device manufacturing system

Номер патента: US09717147B2. Автор: Jeffrey C. Hudgens,Michael Robert Rice. Владелец: Applied Materials Inc. Дата публикации: 2017-07-25.

Low-cost semiconductor device manufacturing method

Номер патента: US09691893B2. Автор: Francois Hebert,Ju Ho Kim,Seong Min Cho,Yu Shin RYU,Yon Sup PANG. Владелец: MagnaChip Semiconductor Ltd. Дата публикации: 2017-06-27.

Semiconductor device manufacturing method and semiconductor device manufacturing apparatus

Номер патента: US09679770B2. Автор: Hidetami Yaegashi. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-06-13.

Bilayer rdl structure for bump count reduction

Номер патента: US20230395486A1. Автор: Dian-Hau Chen,Tsung-Chieh Hsiao,Liang-Wei WANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-07.

Self-aligned double patterning with mandrel manipulation

Номер патента: US20240162090A1. Автор: David Pritchard,Elizabeth Strehlow,Hongru Ren,James Mazza,Romain Feuillette. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-05-16.

Semiconductor device manufacturing method

Номер патента: US20120077341A1. Автор: Masamichi Suzuki,Atsuhiro Kinoshita,Hirotaka Nishino,Yoshifumi Nishi. Владелец: Toshiba Corp. Дата публикации: 2012-03-29.

Semiconductor device manufacturing method and design support apparatus

Номер патента: US20100190327A1. Автор: Yuji Setta. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2010-07-29.

Semiconductor device and semiconductor device manufacturing method

Номер патента: US8466518B2. Автор: Tomoyuki Kirimura. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2013-06-18.

Semiconductor device and semiconductor device manufacturing method

Номер патента: US20120038000A1. Автор: Tomoyuki Kirimura. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2012-02-16.

Semiconductor device manufacturing method

Номер патента: US9899301B2. Автор: Katsuhito Kamachi,Hideaki Tamimoto. Владелец: Renesas Electronics Corp. Дата публикации: 2018-02-20.

Semiconductor device manufacturing method

Номер патента: US20180019189A1. Автор: Katsuhito Kamachi,Hideaki Tamimoto. Владелец: Renesas Electronics Corp. Дата публикации: 2018-01-18.

Semiconductor device manufacturing method

Номер патента: US9806007B2. Автор: Katsuhito Kamachi,Hideaki Tamimoto. Владелец: Renesas Electronics Corp. Дата публикации: 2017-10-31.

Method and apparatus for sweeping overflowed resin on semiconductor device manufacturing process

Номер патента: US6116487A. Автор: Nobuhiro Nagamoto. Владелец: Sony Corp. Дата публикации: 2000-09-12.

Semiconductor device manufacturing method

Номер патента: US20230282510A1. Автор: Kazuhiro Takahata. Владелец: Kioxia Corp. Дата публикации: 2023-09-07.

Mini-environment pod device, an exposure apparatus and a device manufacturing method using the same

Номер патента: US20070175838A1. Автор: Mitsuji Marumo. Владелец: Canon Inc. Дата публикации: 2007-08-02.

Semiconductor device manufacturing method and semiconductor device

Номер патента: US20120199946A1. Автор: Satoshi Kageyama. Владелец: ROHM CO LTD. Дата публикации: 2012-08-09.

Ion implantation method and semiconductor device manufacturing method

Номер патента: US7785994B2. Автор: Hideki Okai. Владелец: Panasonic Corp. Дата публикации: 2010-08-31.

Semiconductor device manufacturing method

Номер патента: US20120190186A1. Автор: Fuminobu NAKASHIMA. Владелец: Renesas Electronics Corp. Дата публикации: 2012-07-26.

Semiconductor device and semiconductor device manufacturing method

Номер патента: US20020127869A1. Автор: Taizo Oku,Junichi Aoki,Youichi Yamamoto,Takashi Koromokawa. Владелец: Individual. Дата публикации: 2002-09-12.

Semiconductor device manufacturing method

Номер патента: US20060281248A1. Автор: Yukinobu Hikosaka,Hirotoshi Tachibana. Владелец: Fujitsu Ltd. Дата публикации: 2006-12-14.

Semiconductor device manufacturing method

Номер патента: US20180082893A1. Автор: Masayuki Kitamura,Hisashi Okuchi,Yasuhito Yoshimizu,Fuyuma ITO,Yuya Akeboshi. Владелец: Toshiba Memory Corp. Дата публикации: 2018-03-22.

Semiconductor device manufacturing method

Номер патента: US8062973B2. Автор: Kazuhiko Nakamura,Koji Matsuo,Takaharu Itani. Владелец: Toshiba Corp. Дата публикации: 2011-11-22.

Semiconductor device manufacturing method

Номер патента: US20100190336A1. Автор: Kazuhiko Nakamura,Koji Matsuo,Takaharu Itani. Владелец: Individual. Дата публикации: 2010-07-29.

Semiconductor device manufacturing method and semiconductor device

Номер патента: US9013048B2. Автор: Norio Kainuma. Владелец: Fujitsu Ltd. Дата публикации: 2015-04-21.

Semiconductor device manufacturing method and semiconductor device

Номер патента: US20140339713A1. Автор: Norio Kainuma. Владелец: Fujitsu Ltd. Дата публикации: 2014-11-20.

Device manufacturing method

Номер патента: US20090239356A1. Автор: Takafumi YAO,Meoung-Whan Cho. Владелец: Individual. Дата публикации: 2009-09-24.

Semiconductor device manufacturing method and semiconductor device

Номер патента: US20020024095A1. Автор: Katsuomi Shiozawa,Yasuyoshi Itoh,Syuichi Ueno. Владелец: Mitsubishi Electric Corp. Дата публикации: 2002-02-28.

Semiconductor device manufacturing method

Номер патента: US20240313083A1. Автор: Tatsuo Shimizu. Владелец: Toshiba Corp. Дата публикации: 2024-09-19.

Semiconductor device manufacture method

Номер патента: US09991159B2. Автор: Masayuki Kitamura,Hisashi Okuchi,Yasuhito Yoshimizu,Fuyuma ITO,Yuya Akeboshi. Владелец: Toshiba Memory Corp. Дата публикации: 2018-06-05.

Semiconductor device manufacturing method

Номер патента: US09966311B2. Автор: Shingo Masuko. Владелец: Toshiba Corp. Дата публикации: 2018-05-08.

Semiconductor device manufacturing method

Номер патента: US09922858B2. Автор: Tsunehiro Nakajima,Masaaki TACHIOKA. Владелец: Fuji Electric Co Ltd. Дата публикации: 2018-03-20.

Semiconductor device manufacturing method, semiconductor device, and wire bonding apparatus

Номер патента: US09887174B2. Автор: Naoki Sekine. Владелец: Shinkawa Ltd. Дата публикации: 2018-02-06.

Pattern recognition and metrology structure for an x-initiative layout design

Номер патента: WO2005022269A3. Автор: Bharath Rangarajan,Bhanwar Singh,Khoi A Phan. Владелец: Khoi A Phan. Дата публикации: 2005-04-21.

Pattern recognition and metrology structure for an x-initiative layout design

Номер патента: WO2005022269A2. Автор: Bharath Rangarajan,Bhanwar Singh,Khoi A. Phan. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2005-03-10.

Critical dimension prediction system and operation method thereof

Номер патента: US20240332093A1. Автор: In Seok Park,Younghoon Sohn,Souk Kim,Jaehyung Ahn,Wan-Sik Nam. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-10-03.

Control method and system for critical dimension (cd)

Номер патента: US20230063001A1. Автор: Zhimin Wu,Junjun Zhang,Fufang CHAO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-03-02.

Spacer double patterning for lithography operations

Номер патента: US8278156B2. Автор: Christophe Pierrat. Владелец: Cadence Design Systems Inc. Дата публикации: 2012-10-02.

Method for circuit design on a spherical semiconductor having critical dimensions

Номер патента: WO2001022301A1. Автор: Nobuo Takeda,Yasunaga Suzuki,Eiji Matsunaga. Владелец: Ball Semiconductor, Inc.. Дата публикации: 2001-03-29.

Optical critical dimension target design

Номер патента: US09824176B2. Автор: Jiangtao Hu,Zhuan Liu,Bingqing Li. Владелец: Nanometrics Inc. Дата публикации: 2017-11-21.

Optical critical dimension target design

Номер патента: US20170024509A1. Автор: Jiangtao Hu,Zhuan Liu,Bingqing Li. Владелец: Nanometrics Inc. Дата публикации: 2017-01-26.

Resist Material And Patterning Process

Номер патента: US20240027903A1. Автор: Jun Hatakeyama,Masahiro Fukushima. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-01-25.

Critical dimension for trench and vias

Номер патента: SG152190A1. Автор: Cong Hai,HSIA Liang Choo,Yelehanka Ramachandramurthy Pradeep,Li Yan San,Low Chun Hui. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2009-05-29.

Techniques and systems for model-based critical dimension measurements

Номер патента: US09875534B2. Автор: Abdurrahman Sezginer,Balaji Ganapathy,Yanwei Liu,Eric Vella. Владелец: KLA Tencor Corp. Дата публикации: 2018-01-23.

Monitoring structure for critical dimension of lithography process

Номер патента: US20210166983A1. Автор: Meng-Hsien Tsai,Li-Chien Wang,Cheng-Hsiang Liu. Владелец: Winbond Electronics Corp. Дата публикации: 2021-06-03.

Structure for decreasing minimum feature size in an integrated circuit

Номер патента: US20070052059A1. Автор: Lee Jacobson,Andre Labonte. Владелец: Individual. Дата публикации: 2007-03-08.

Controlling critical dimensions in track lithography tools

Номер патента: WO2008019362A2. Автор: Nikolaos Bekiaris,Tim Michaelson. Владелец: SOKUDO CO., LTD.. Дата публикации: 2008-02-14.

Controlling critical dimensions in track lithography tools

Номер патента: WO2008019362A3. Автор: Nikolaos Bekiaris,Tim Michaelson. Владелец: Tim Michaelson. Дата публикации: 2008-10-16.

Finfet formation using double patterning memorization

Номер патента: US20140141605A1. Автор: Jin Cho,Chang Seo Park,Linus Jang. Владелец: Globalfoundries Inc. Дата публикации: 2014-05-22.

Techniques for device fabrication with self-aligned electrodes

Номер патента: EP2005499A2. Автор: Henning Sirringhaus,Paul A. Cain,Yong-Young Noh. Владелец: Plastic Logic Ltd. Дата публикации: 2008-12-24.

Mask assembly and organic light emitting display device manufactured using the same

Номер патента: US20190305222A1. Автор: Sungwoo Jung,Soonjung WANG. Владелец: Samsung Display Co Ltd. Дата публикации: 2019-10-03.

Light emitting device manufacturing method and apparatus thereof

Номер патента: US20180294426A1. Автор: Cheng-Hsin Chen,Huei-Siou CHEN,Li-Chen Wei. Владелец: INT Tech Co Ltd. Дата публикации: 2018-10-11.

Low capacitance floating diffusion structure for a solid state image sensor

Номер патента: US5621230A. Автор: Robert M. Guidash,Antonio S. Ciccarelli. Владелец: Eastman Kodak Co. Дата публикации: 1997-04-15.

Methods for fabricating planar heater structures for ejection devices

Номер патента: US20130084662A1. Автор: Yimin Guan,II Burton Joyner,Zach Reitmeier. Владелец: Individual. Дата публикации: 2013-04-04.

Semiconductor device manufacture with in-line hotspot detection

Номер патента: US12057355B2. Автор: Michael Shifrin,Avron GER. Владелец: Nova Ltd. Дата публикации: 2024-08-06.

Method for manufacturing OLED device and OLED device manufactured therewith

Номер патента: US09660210B2. Автор: Yifan Wang,Yawei Liu. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2017-05-23.

Method for manufacturing OLED device and OLED device manufactured therewith

Номер патента: US09660209B2. Автор: Yifan Wang,Yawei Liu. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2017-05-23.

Double patterning process

Номер патента: US8129099B2. Автор: Kazuhiro Katayama,Jun Hatakeyama,Tsunehiro Nishi,Toshinobu Ishihara,Katsuya Takemura. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2012-03-06.

Pattern recognition apparatus and a pattern recognition method

Номер патента: US4651341A. Автор: Hiroyuki Tsukahara,Masato Nakashima,Tetsuo Koezuka,Takefumi Inagaki. Владелец: Fujitsu Ltd. Дата публикации: 1987-03-17.

Double patterning epitaxy fin

Номер патента: US20190214486A1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2019-07-11.

Double patterning epitaxy Fin

Номер патента: US10593784B2. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2020-03-17.

Treatment liquid and pattern forming method

Номер патента: US20180101100A1. Автор: Hideaki Tsubaki,Toru Tsuchihashi,Wataru Nihashi. Владелец: Fujifilm Corp. Дата публикации: 2018-04-12.

Method for forming extension by using double etch spacer

Номер патента: US20020102801A1. Автор: Tao-Cheng Lu,Hung-Sui Lin,Han-Chao Lai. Владелец: Macronix International Co Ltd. Дата публикации: 2002-08-01.

Composites for resist removal and methods of electric devices manufacturing

Номер патента: RU2551841C2. Автор: Андреас КЛИПП. Владелец: БАСФ СЕ. Дата публикации: 2015-05-27.

Resist structure for forming bumps

Номер патента: US20210242164A1. Автор: Eiji Nakamura,Takashi Hisada,Toyohiro Aoki,Risa Miyazawa. Владелец: International Business Machines Corp. Дата публикации: 2021-08-05.

Method and structure for high q varactor

Номер патента: US20120139020A1. Автор: Zhen Chen,Yung Feng Lin. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2012-06-07.

Semiconductor light emitting device manufacture method

Номер патента: US20110027922A1. Автор: Hiroyuki Kato,Michihiro Sano,Naochika Horio. Владелец: Stanley Electric Co Ltd. Дата публикации: 2011-02-03.

Semiconductor light emitting device manufacture method

Номер патента: US8043879B2. Автор: Hiroyuki Kato,Michihiro Sano,Naochika Horio. Владелец: Stanley Electric Co Ltd. Дата публикации: 2011-10-25.

Double patterned stacking technique

Номер патента: US20150287709A1. Автор: Son Le,Ohsang Kwon,HariKrishna Chintarlapalli Reddy,Vijayalakshmi Ranganna. Владелец: Qualcomm Inc. Дата публикации: 2015-10-08.

Semiconductor device manufacturing method

Номер патента: US20150333070A1. Автор: Takeshi Nagai,Kanta Saino. Владелец: PS4 Luxco SARL. Дата публикации: 2015-11-19.

Test structure for charged particle beam inspection and method for fabricating the same

Номер патента: US20100102316A1. Автор: HONG Xiao. Владелец: Hermes Microvision Inc. Дата публикации: 2010-04-29.

Display device manufacturing method

Номер патента: US20190378760A1. Автор: Sang Youl Lee,Ji Hyung Moon,Sun Woo Park,Chung Song Kim,Hyeon Min Cho. Владелец: LG Innotek Co Ltd. Дата публикации: 2019-12-12.

Electronic device and electronic device manufacturing method

Номер патента: US20230269913A1. Автор: Kumiko YOSHINAGA. Владелец: Hitachi Astemo Ltd. Дата публикации: 2023-08-24.

Semiconductor device manufacturing method

Номер патента: US20150380595A1. Автор: Hiroaki Sano,Takashi Usui. Владелец: Canon Inc. Дата публикации: 2015-12-31.

Oled device manufacture method and oled device

Номер патента: US20180342678A1. Автор: CHAO Xu. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-11-29.

Device manufacturing methods

Номер патента: US12078935B2. Автор: Cedric Desire Grouwstra,Hakki Ergün Cekli,Rizvi RAHMAN. Владелец: ASML Netherlands BV. Дата публикации: 2024-09-03.

Solid state imaging device, solid state imaging device manufacturing method, and electronic apparatus

Номер патента: US12119366B2. Автор: Naoki Komai. Владелец: Sony Semiconductor Solutions Corp. Дата публикации: 2024-10-15.

Imaging device manufacturing method

Номер патента: US09859329B2. Автор: Satoshi Ogawa,Nobutaka Ukigaya,Katsunori Hirota. Владелец: Canon Inc. Дата публикации: 2018-01-02.

Methods and apparatus for measurement of relative critical dimensions

Номер патента: WO2014085343A1. Автор: HONG Xiao. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2014-06-05.

Vehicle mounting structure for device for noncontact power reception

Номер патента: RU2717610C1. Автор: Акихиро АСАИ. Владелец: Ниссан Мотор Ко., Лтд.. Дата публикации: 2020-03-24.

Electron emitting device manufacture method and image display apparatus manufacture method

Номер патента: US20070059439A1. Автор: Yasuko Tomida,Taku Shimoda. Владелец: Canon Inc. Дата публикации: 2007-03-15.

Electron emitting device manufacture method and image display apparatus manufacture method

Номер патента: US20040022933A1. Автор: Yasuko Tomida,Taku Shimoda. Владелец: Canon Inc. Дата публикации: 2004-02-05.

Multimode oscillators for pattern recognition

Номер патента: US3822381A. Автор: A Scott. Владелец: WISCONSIN ALUMNI RESEARCH FOUNDATION. Дата публикации: 1974-07-02.

Radiation pattern recognition system and method for a mobile communications device

Номер патента: CA2818888A1. Автор: Shirook Ali,Huanhuan Gu,Houssam Kanj. Владелец: Research in Motion Ltd. Дата публикации: 2012-05-31.

Electronic device manufacturing method and lithography control processor

Номер патента: US20240219846A1. Автор: Koichi Fujii. Владелец: GIGAPHOTON INC. Дата публикации: 2024-07-04.

Array device manufacturing method, manufacturing apparatus, and storage medium

Номер патента: US20220115833A1. Автор: Ryosuke Kubota. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2022-04-14.

Array device manufacturing method, manufacturing apparatus, and storage medium

Номер патента: US12107382B2. Автор: Ryosuke Kubota. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2024-10-01.

Antenna for device of control and diagnostics in power supply line

Номер патента: RU2545058C1. Автор: Кванхэ НАМ,Уллок БЭ. Владелец: Кхватек Ко., Лтд.. Дата публикации: 2015-03-27.

Structure for antennae

Номер патента: NL2033771B1. Автор: Fennis Robert. Владелец: Thales Nederland BV. Дата публикации: 2024-06-27.

Structure for antennae

Номер патента: WO2024133791A1. Автор: Robert FENNIS. Владелец: Thales Nederland B.V.. Дата публикации: 2024-06-27.

Semiconductor laser device manufacturing method and semiconductor laser device

Номер патента: US20060121633A1. Автор: Tadashi Takeoka,Takuroh Ishikura. Владелец: Sharp Corp. Дата публикации: 2006-06-08.

Method for a tension proof closure of the end of an energy cable, and device manufactured by the method

Номер патента: US20150255899A1. Автор: Volker Aue,Eduard Schramm. Владелец: Nexans SA. Дата публикации: 2015-09-10.

Electronic device manufacturing system and method

Номер патента: US20240235141A1. Автор: Hsien-Te Chen. Владелец: Ultra Display Technology Corp. Дата публикации: 2024-07-11.

Method and system for measuring critical dimension and monitoring fabrication uniformity

Номер патента: US20120212601A1. Автор: Wei Fang,HONG Xiao,Jack Jau. Владелец: Hermes Microvision Inc. Дата публикации: 2012-08-23.

Method and system for measuring critical dimension and monitoring fabrication uniformity

Номер патента: US20130182939A1. Автор: Wei Fang,HONG Xiao,Jack Jau. Владелец: Hermes Microvision Inc. Дата публикации: 2013-07-18.

Method and system for measuring critical dimension and monitoring fabrication uniformity

Номер патента: US20130188037A1. Автор: Wei Fang,HONG Xiao,Jack Jau. Владелец: Hermes Microvision Inc. Дата публикации: 2013-07-25.

Low-power always-on image sensor and pattern recognizer

Номер патента: US20230412917A1. Автор: Alexander Hunt,Andreas KRISTENSSON,Magnus Olsson,Fredrik Dahlgren. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2023-12-21.

Low-power always-on image sensor and pattern recognizer

Номер патента: EP4248643A1. Автор: Alexander Hunt,Andreas KRISTENSSON,Magnus Olsson,Fredrik Dahlgren. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2023-09-27.

Apparatus using double focusing type fly-back transformer

Номер патента: MY120758A. Автор: Choi Sung Yoon. Владелец: Samsung Electro Mech. Дата публикации: 2005-11-30.

Combined Spectroscopic Reflectometry And Pattern Recognition Based Measurements Of Semiconductor Structures

Номер патента: US20240353321A1. Автор: David Y. Wang,Shankar Krishnan. Владелец: KLA Corp. Дата публикации: 2024-10-24.

System for electroencephalogram patterning recognition for authentication

Номер патента: US20190132733A1. Автор: Joseph Benjamin Castinado,Charles Russell Kendall. Владелец: Bank of America Corp. Дата публикации: 2019-05-02.

Fast search method for vector quantizer communication and pattern recognition systems

Номер патента: US4907276A. Автор: Shabtai Aldersberg. Владелец: DSP Group Israel Ltd. Дата публикации: 1990-03-06.

Multi-level hierarchical routing matrices for pattern-recognition processors

Номер патента: US11768798B2. Автор: Harold B Noyes,David R. Brown. Владелец: Micron Technology Inc. Дата публикации: 2023-09-26.

Method and apparatus for automating threat model generation and pattern identification

Номер патента: US09742794B2. Автор: Luis Felipe Cabrera,M. Shannon Lietz,Javier Godinez. Владелец: Intuit Inc. Дата публикации: 2017-08-22.

On-the-fly pattern recognition with configurable bounds

Номер патента: US20170249377A1. Автор: John Gmuender,Roman Yanovsky,Boris Yanovsky,Shunhui Zhu,Thomas E. Raffill. Владелец: SonicWall US Holdings Inc. Дата публикации: 2017-08-31.

Detection, characterization, and prediction of recurring events with missing occurrences using pattern recognition

Номер патента: EP4102802A1. Автор: Fabrice Pelloin. Владелец: EXFO Solutions SAS. Дата публикации: 2022-12-14.

Identity-based distributed computing for device resources

Номер патента: US09886309B2. Автор: David Alles,George M. Moore. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2018-02-06.

On-the fly pattern recognition with configurable bounds

Номер патента: US09654446B2. Автор: John Gmuender,Roman Yanovsky,Boris Yanovsky,Shunhui Zhu,Thomas E. Raffill. Владелец: SonicWall LLC. Дата публикации: 2017-05-16.

Hopping structures for broadband pilot signals

Номер патента: CA2675694C. Автор: Durga Prasad Malladi. Владелец: Qualcomm Inc. Дата публикации: 2015-04-28.

Display device manufacturing method and display device

Номер патента: US20230284509A1. Автор: Hiroshi Ogawa,Isao Suzumura,Fumiya Kimura. Владелец: Japan Display Inc. Дата публикации: 2023-09-07.

Light-emitting device manufacturing method and light-emitting device

Номер патента: US20240172468A1. Автор: Takahiro Adachi,Yasushi Asaoka. Владелец: Sharp Corp. Дата публикации: 2024-05-23.

Support-retaining structure for interface card

Номер патента: US20140293567A1. Автор: Yu-Ching Kuo. Владелец: Lite On Technology Corp. Дата публикации: 2014-10-02.

Log complex color for visual pattern recognition of total sound

Номер патента: US20180152799A1. Автор: Wayne Garver,Philip Fraundorf,Stephen Wedekind. Владелец: University of Missouri System. Дата публикации: 2018-05-31.

Processing an event stream using pattern recognition

Номер патента: WO2020159686A1. Автор: Jarlath TRAINOR. Владелец: ORACLE INTERNATIONAL CORPORATION. Дата публикации: 2020-08-06.

Location determination using sequential pattern recognition

Номер патента: SG146461A1. Автор: Goh Pong Chai. Владелец: Agis Pte Ltd. Дата публикации: 2008-10-30.

Multi-level hierarchical routing matrices for pattern-recognition processors

Номер патента: US20240012787A1. Автор: David R. Brown,Harold B. Noyes. Владелец: Micron Technology Inc. Дата публикации: 2024-01-11.

Imaging device manufacturing apparatus, method for manufacturing imaging device, and imaging device

Номер патента: US12028625B2. Автор: Hideki Oyaizu,Ilya Reshetouski. Владелец: Sony Group Corp. Дата публикации: 2024-07-02.

Methods and patterns of electric energy distribution in spd-loads

Номер патента: RU2384928C2. Автор: Алберт П. МАЛВИНО. Владелец: Ресерч Франтиерс Инк.. Дата публикации: 2010-03-20.

Communication pattern recognition

Номер патента: US20180211019A1. Автор: Andrew Johnson,Ben SASSON,Fenglian Xu,Peter J. Johnson,Timothy J. Baldwin. Владелец: International Business Machines Corp. Дата публикации: 2018-07-26.

Method and system for device monitoring and diagnostics

Номер патента: US20230419806A1. Автор: Elijah L. ROSENBERG,Frank DIMARTINO. Владелец: Serverli Inc. Дата публикации: 2023-12-28.

On-the fly pattern recognition with configurable bounds

Номер патента: US9075804B2. Автор: John Gmuender,Roman Yanovsky,Boris Yanovsky,Shunhui Zhu,Thomas E. Raffill. Владелец: Dell Software Inc. Дата публикации: 2015-07-07.

On-the-fly pattern recognition with configurable bounds

Номер патента: US20150074099A1. Автор: John Gmuender,Roman Yanovsky,Boris Yanovsky,Shunhui Zhu,Thomas E. Raffill. Владелец: SonicWall LLC. Дата публикации: 2015-03-12.

On-the-fly pattern recognition with configurable bounds

Номер патента: US7996415B1. Автор: John Gmuender,Roman Yanovsky,Boris Yanovsky,Shunhui Zhu,Thomas E. Raffill. Владелец: SonicWall LLC. Дата публикации: 2011-08-09.

Method and system for high performance real time pattern recognition

Номер патента: WO2017039781A3. Автор: Jamieson T. OLSEN,Tiehui Ted LIU,James R. HOFF. Владелец: Fermi Research Alliance, LLC. Дата публикации: 2017-05-11.

On-the-fly pattern recognition with configurable bounds

Номер патента: US20130275423A1. Автор: John Gmuender,Roman Yanovsky,Boris Yanovsky,Shunhui Zhu,Thomas E. Raffill. Владелец: Individual. Дата публикации: 2013-10-17.

On-the-fly pattern recognition with configurable bounds

Номер патента: US8370374B1. Автор: John Gmuender,Roman Yanovsky,Boris Yanovsky,Shunhui Zhu,Thomas E. Raffill. Владелец: SonicWall LLC. Дата публикации: 2013-02-05.

Method and system for high performance real time pattern recognition

Номер патента: US20190012293A1. Автор: Jamieson T. OLSEN,Tiehui Ted LIU,James R. HOFF. Владелец: Fermi Research Alliance LLC. Дата публикации: 2019-01-10.

Zero-overhead method for sequence reversible and pattern independent orthogonal multiplexing

Номер патента: US20030086365A1. Автор: David Horne. Владелец: Intel Corp. Дата публикации: 2003-05-08.

Method, equipment, and system for device management

Номер патента: RU2630170C2. Автор: Юн Чэнь,Пэнфэй ЧЗАН,Идун ВАН,Бо ЮЙ,Юй Го,Вэйян ЧЭНЬ. Владелец: Сяоми Инк.. Дата публикации: 2017-09-05.

Protocol for device to station association

Номер патента: RU2512118C2. Автор: Нараянан ГАНАПАТХИ. Владелец: МАЙКРОСОФТ КОРПОРЕЙШН. Дата публикации: 2014-04-10.

System and method for device label scan based zero touch device onboarding and device directory service

Номер патента: US11882117B1. Автор: Srinivas Kumar. Владелец: Individual. Дата публикации: 2024-01-23.

Resource configuration and selection for device-to-device links

Номер патента: US12028834B2. Автор: Lin Chen,Wei Luo,Boyuan ZHANG,Mengzhen WANG. Владелец: ZTE Corp. Дата публикации: 2024-07-02.

Systems and methods for device selection and modified output upon receiving notifications

Номер патента: US20210377202A1. Автор: Benjamin Holms Maughan,Colleen Sullivan. Владелец: Rovi Guides Inc. Дата публикации: 2021-12-02.

Secure pairing for devices with near field communication tags equipped with authentication

Номер патента: EP3824656A1. Автор: Daniel Grobe Sachs. Владелец: Motorola Solutions Inc. Дата публикации: 2021-05-26.

Secure pairing for devices with near field communication tags equipped with authentication

Номер патента: AU2019329412A1. Автор: Daniel Grobe Sachs. Владелец: Motorola Solutions Inc. Дата публикации: 2021-03-11.

Secure pairing for devices with near field communication tags equipped with authentication

Номер патента: AU2019329412B2. Автор: Daniel Grobe Sachs. Владелец: Motorola Solutions Inc. Дата публикации: 2022-03-17.

Secure pairing for devices with near field communication tags equipped with authentication

Номер патента: WO2020046573A1. Автор: Daniel Grobe Sachs. Владелец: MOTOROLA SOLUTIONS, INC.. Дата публикации: 2020-03-05.

Revocation list update for devices

Номер патента: US20130185551A1. Автор: Alexander Medvinsky,Eric J. Sprunk,Tat Keung Chan. Владелец: General Instrument Corp. Дата публикации: 2013-07-18.

Revocation list update for devices

Номер патента: WO2013106530A1. Автор: Alexander Medvinsky,Eric J. Sprunk,Tat Keung Chan. Владелец: GENERAL INSTRUMENT CORPORATION. Дата публикации: 2013-07-18.

System for device-to-device media capturing

Номер патента: US12035216B2. Автор: Owen Benjamin PARRY. Владелец: SPOTIFY AB. Дата публикации: 2024-07-09.

Method and apparatus for device-to-device key management

Номер патента: EP2550789A1. Автор: Timo Koskela,Sami-Jukka Hakola,Henri Markus Koskinen. Владелец: Nokia Oyj. Дата публикации: 2013-01-30.

Methods and apparatus for device applet management on smart cards

Номер патента: US20130337869A1. Автор: Nakul Duggal,Carl Qu,Bryan Chuck Gurganus. Владелец: Qualcomm Inc. Дата публикации: 2013-12-19.

Mobility Management for Device-to-Device Communications

Номер патента: US20160157283A1. Автор: Yang Liu,Ling Yu,Zexian Li,Haitao Li,Vinh Van Phan. Владелец: NOKIA SOLUTIONS AND NETWORKS OY. Дата публикации: 2016-06-02.

Systems and methods for device selection and modified output upon receiving notifications

Номер патента: EP3689011A1. Автор: Benjamin Holms Maughan,Colleen Sullivan. Владелец: Rovi Guides Inc. Дата публикации: 2020-08-05.

Systems and methods for device selection and modified output upon receiving notifications

Номер патента: US20230198930A1. Автор: Benjamin Holms Maughan,Colleen Sullivan. Владелец: Rovi Guides Inc. Дата публикации: 2023-06-22.

Verifying subscriber information for device-based authentication

Номер патента: US12052258B2. Автор: Stacy Lyn Stubblefield. Владелец: Telesign Corp. Дата публикации: 2024-07-30.

System and method for simulating human manual input for devices using capacitive touchscreens

Номер патента: US11573669B2. Автор: Dan Kikinis. Владелец: Future Dial Inc. Дата публикации: 2023-02-07.

Methods and Apparatus For Device Applet Management on Smart Cards

Номер патента: US20110246547A1. Автор: Nakul Duggal,Carl Qu,Bryan Chuck Gurganus. Владелец: Qualcomm Inc. Дата публикации: 2011-10-06.

Systems and methods for device selection and modified output upon receiving notifications

Номер патента: US11558336B2. Автор: Benjamin Holms Maughan,Colleen Sullivan. Владелец: Rovi Guides Inc. Дата публикации: 2023-01-17.

Method, device and system for device trigger in iot

Номер патента: US20150312351A1. Автор: ZHI Wang,Yigang Cai. Владелец: Alcatel Lucent SAS. Дата публикации: 2015-10-29.

Reuse of transmission resources for device to device communication

Номер патента: US12034471B2. Автор: Martin Hans,Andreas Schmidt,Maik Bienas. Владелец: Ipcom GmbH and Co KG. Дата публикации: 2024-07-09.

An access system and method for device control

Номер патента: WO2009044175A3. Автор: Kevin Taylor,Kevin Griffiths,Gary Aston. Владелец: Softgate Ltd. Дата публикации: 2009-05-28.

Millimeter wave access system for device to device communication

Номер патента: US12089234B2. Автор: Volkan Sevindik. Владелец: Charter Communications Operating LLC. Дата публикации: 2024-09-10.

Methods and systems for device-to-device positioning

Номер патента: EP4445673A1. Автор: Jie Chen,JUAN Liu,Chuangxin JIANG,Haigang HE,Junpeng LOU. Владелец: ZTE Corp. Дата публикации: 2024-10-16.

Method, apparatus and system for device identification

Номер патента: US20180152293A1. Автор: Tao Wei,YU Ding,Yulong Zhang. Владелец: Baidu Online Network Technology Beijing Co Ltd. Дата публикации: 2018-05-31.

Methods and systems for device-to-device positioning

Номер патента: US20240340925A1. Автор: Jie Chen,JUAN Liu,Chuangxin JIANG,Haigang HE,Junpeng LOU. Владелец: ZTE Corp. Дата публикации: 2024-10-10.

Method, apparatus and system for device discovery

Номер патента: US09961151B2. Автор: Wanqiang Zhang,Xiaobo Wu,Weihua Zhou,Yali Guo. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2018-05-01.

Systems and methods for device provisioning

Номер патента: US09955293B2. Автор: Mats AGERSTAM,Xintian E. Lin,Robert A. COLBY,David J. McCall. Владелец: Intel Corp. Дата публикации: 2018-04-24.

Diagnostic service for devices that employ a device agent

Номер патента: US09935867B2. Автор: Shailesh Jain,Prashanth Devendrappa. Владелец: Dell Products LP. Дата публикации: 2018-04-03.

Synchronization signal design for device to device operation

Номер патента: US09930631B2. Автор: Zexian Li,Weidong Yang,Lars Lindh. Владелец: NOKIA SOLUTIONS AND NETWORKS OY. Дата публикации: 2018-03-27.

Timing synchronization for device-to-device discovery for asynchronous LTE deployments

Номер патента: US09854506B2. Автор: Kapil Gulati,Shailesh Patil,Libin Jiang. Владелец: Qualcomm Inc. Дата публикации: 2017-12-26.

Mobility management for device-to-device communications

Номер патента: US09794974B2. Автор: Yang Liu,Ling Yu,Zexian Li,Vinh Van Phan,Hai Tao LI. Владелец: NOKIA SOLUTIONS AND NETWORKS OY. Дата публикации: 2017-10-17.

Management of radio resource control for device-to-device communication

Номер патента: US09775185B2. Автор: Yang Liu,Ling Yu,Zexian Li,Haitao Li,Vinh Van Phan. Владелец: NOKIA SOLUTIONS AND NETWORKS OY. Дата публикации: 2017-09-26.

Managing network interaction for devices

Номер патента: US09742773B2. Автор: Dennis V. Pollutro,Guy Levy-Yurista,Daniel A. Madey. Владелец: Sysorex USA. Дата публикации: 2017-08-22.

System and method for device authentication

Номер патента: US09729522B2. Автор: Charles McCoy,True Xiong,Clay Fisher. Владелец: Sony Corp. Дата публикации: 2017-08-08.

Method, apparatus and system for device discovery

Номер патента: US09692836B2. Автор: Wanqiang Zhang,Xiaobo Wu,Weihua Zhou,Yali Guo. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2017-06-27.

Method and apparatus for device-to-device communication in wireless communication system

Номер патента: US09635632B2. Автор: Hangyu Cho,Jinyoung Chun,Suhwook Kim. Владелец: LG ELECTRONICS INC. Дата публикации: 2017-04-25.

Apparatus and method ofscheduling resources for device-to-device communications

Номер патента: EP2384598A1. Автор: Tao Chen,Sami Hakola,Gilles Charbit,Timo Koskela. Владелец: Nokia Oyj. Дата публикации: 2011-11-09.

Method for device to device communication and control node using the same

Номер патента: US09554406B2. Автор: Tzu-Ming Lin,Ting-Yu Lin. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2017-01-24.

Method and apparatus for generating forwarding entries for devices in optical network

Номер патента: US09525625B2. Автор: Ruobin Zheng. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2016-12-20.

Process and structure for embedded DRAM

Номер патента: US5998251A. Автор: Shih-Wei Sun,Tri-Rung Yew,Jacob Chen,H. J. Wu. Владелец: United Microelectronics Corp. Дата публикации: 1999-12-07.

Manufacturing device, manufacturing system, and correction method

Номер патента: US20200282656A1. Автор: Takahisa Yoshigae. Владелец: Ricoh Co Ltd. Дата публикации: 2020-09-10.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2032043B1. Автор: Miyashita Koutaro. Владелец: GIGAPHOTON INC. Дата публикации: 2024-05-17.

Display device manufacturing method and display device

Номер патента: US20240081137A1. Автор: Joonhyung Kim,Heekyun Shin,Kiseok Choi,Sukbong LEE,Seungil Jang,Jin Hyun Jun. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-03-07.

Method and apparatus for device-to-device communication based on a threshold

Номер патента: US11777668B2. Автор: Jing HAN,Haipeng Lei,Zhennian SUN,Lianhai WU,Xiaodong Yu. Владелец: Lenovo Beijing Ltd. Дата публикации: 2023-10-03.

Saw device manufacturing method

Номер патента: US11894823B2. Автор: Kenya Kai. Владелец: Disco Corp. Дата публикации: 2024-02-06.

Communication method and apparatus for device-to-device (D2D)

Номер патента: US9572048B2. Автор: Kyung Hun Jang,Won Jong Noh,Won Jae SHIN,Jong Bu Lim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-02-14.

Method and device for device to device communication

Номер патента: US12075498B2. Автор: ANIL Agiwal,Young-Bin Chang,June Hwang,Hyun-Seok Ryu. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-27.

Display device manufacturing method

Номер патента: US20030176137A1. Автор: Toru Sasatani,Tetsuji Omura. Владелец: Sanyo Electric Co Ltd. Дата публикации: 2003-09-18.

Dynamic Runtime Interface for Device Management

Номер патента: US20200228622A1. Автор: Shravan Shantharam,Kalyan Regula,Varun Murthy,Yogesh Govind Hande,Bhuvanesh Shanmuga Sundaram. Владелец: VMware LLC. Дата публикации: 2020-07-16.

Methods and systems for timing synchronization for device-to-device positioning

Номер патента: US20240276409A1. Автор: QI Yang,JUAN Liu,Chuangxin JIANG,Mengzhen LI,Junpeng LOU. Владелец: ZTE Corp. Дата публикации: 2024-08-15.

Methods and systems for device maintenance

Номер патента: US20240082890A1. Автор: Cody Small,Brendan Thomas Hunt. Владелец: McKesson Corp. Дата публикации: 2024-03-14.

Methods and systems for timing synchronization for device-to-device positioning

Номер патента: EP4413685A1. Автор: QI Yang,JUAN Liu,Chuangxin JIANG,Mengzhen LI,Junpeng LOU. Владелец: ZTE Corp. Дата публикации: 2024-08-14.

Method and apparatus for device-to-device network coordination

Номер патента: EP2586267A1. Автор: Mika Rinne,Jarkko Kneckt,Klaus Doppler. Владелец: Nokia Oyj. Дата публикации: 2013-05-01.

Method for device interaction and identification

Номер патента: US20180033293A1. Автор: Jan ROD,Rafael Ferrin,Tõnu SAMUEL. Владелец: 16Lab Inc. Дата публикации: 2018-02-01.

Semiconductor device manufacturing method

Номер патента: US20240324170A1. Автор: Kazuhiro Matsuo,Kota Takahashi,Masaya Toda,Wakako Moriyama,Kenichiro TORATANI,Ha Hoang. Владелец: Kioxia Corp. Дата публикации: 2024-09-26.

Method for device interaction and identification

Номер патента: US09978264B2. Автор: Jan ROD,Rafael Ferrin,Tõnu SAMUEL. Владелец: 16Lab Inc. Дата публикации: 2018-05-22.

Systems, methods, and devices for distributed scheduling for device-to-device interference mitigation

Номер патента: US09974048B2. Автор: Rongzhen Yang,Hujun Yin,Huaning Niu. Владелец: Intel IP Corp. Дата публикации: 2018-05-15.

Pattern forming method and pattern forming apparatus, exposure method and exposure apparatus, and device manufacturing method

Номер патента: JP5182558B2. Автор: 祐一 柴崎. Владелец: Nikon Corp. Дата публикации: 2013-04-17.

Apparatus and method for compensating critical dimension deviations across photomask

Номер патента: WO2002001294A1. Автор: David H. Ziger. Владелец: Philips Electronics North America Corporation. Дата публикации: 2002-01-03.

Apparatus and method for compensating critical dimension deviations across photomask

Номер патента: EP1204902A1. Автор: David H. Ziger. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2002-05-15.

System and method for shifting critical dimensions of patterned films

Номер патента: US09977339B2. Автор: Anton J. deVilliers,Daniel Fulford. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-05-22.

Method for forming a critical dimension test structure and its use

Номер патента: WO2000019270A1. Автор: Kazuo Ushida,Kyoichi Suwa,Ilya Grodnensky,Eric R. Johnson. Владелец: NIKON PRECISION INC.. Дата публикации: 2000-04-06.

Creating data shapes for pattern recognition systems

Номер патента: US20240265695A1. Автор: Jeffrey Brian Adams. Владелец: Datashapes Inc. Дата публикации: 2024-08-08.

Resist composition and patterning process

Номер патента: US12072627B2. Автор: Takayuki Fujiwara,Masaki Ohashi,Kenichi Oikawa,Tomohiro Kobayashi,Shinya Yamashita,Teppei Adachi. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-08-27.

Method to selectively correct critical dimension errors in the semiconductor industry

Номер патента: US7294440B2. Автор: Jed H. Rankin,Andrew J. Watts. Владелец: International Business Machines Corp. Дата публикации: 2007-11-13.

Stamp treatment to guide solvent removal direction and maintain critical dimension

Номер патента: WO2023114496A1. Автор: Yingdong Luo,Ludovic Godet,Kang LUO,Yongan Xu. Владелец: Applied Materials, Inc.. Дата публикации: 2023-06-22.

Method for measuring critical dimension

Номер патента: US12117733B2. Автор: Chia-Chung LIN. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-15.

Method for measuring critical dimension

Номер патента: US12130559B2. Автор: Chia-Chung LIN. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-29.

Predicting pattern critical dimensions in a lithographic exposure process

Номер патента: US09529253B2. Автор: Jacek K. TYMINSKI,Raluca Popescu. Владелец: Nikon Precision Inc. Дата публикации: 2016-12-27.

Measurement method and measurement device of critical dimension of sub-pixel

Номер патента: US10204407B2. Автор: Zhiyi Sun,Huashan Pang. Владелец: Beijing BOE Display Technology Co Ltd. Дата публикации: 2019-02-12.

Critical dimension control using full phase and trim masks

Номер патента: AU2003223189A1. Автор: Christophe Pierrat. Владелец: Numerical Technologies Inc. Дата публикации: 2003-09-09.

Pattern recognition apparatus and pattern recognition method

Номер патента: WO2015022761A1. Автор: Shinji Watanabe,Yuki Tachioka. Владелец: Mitsubishi Electric Corporation. Дата публикации: 2015-02-19.

Method for circuit design on a spherical semiconductor having critical dimensions

Номер патента: WO2001033439A1. Автор: Nobuo Takeda,Yasunaga Suzuki,Eiji Matsunaga. Владелец: Ball Semiconductor, Inc.. Дата публикации: 2001-05-10.

Electrical critical dimension measurements on photomasks

Номер патента: US20020102472A1. Автор: David Chan. Владелец: Photronics Inc. Дата публикации: 2002-08-01.

Resist composition and patterning process

Номер патента: US20210141306A1. Автор: Takayuki Fujiwara,Masaki Ohashi,Shinya Yamashita,Teppei Adachi. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2021-05-13.

Critical dimension control using full phase and trim masks

Номер патента: WO2003073166A1. Автор: Christophe Pierrat. Владелец: Numerical Technologies, Inc.. Дата публикации: 2003-09-04.

Method for measuring critical dimension

Номер патента: US20230236514A1. Автор: Chia-Chung LIN. Владелец: Nanya Technology Corp. Дата публикации: 2023-07-27.

Method for measuring critical dimension

Номер патента: US20230236513A1. Автор: Chia-Chung LIN. Владелец: Nanya Technology Corp. Дата публикации: 2023-07-27.

Critical dimension control using full phase and trim masks

Номер патента: EP1478976A4. Автор: Christophe Pierrat. Владелец: Numerical Technologies Inc. Дата публикации: 2007-06-06.

Critical dimension control using full phase and trim masks

Номер патента: EP1478976A1. Автор: Christophe Pierrat. Владелец: Numerical Technologies Inc. Дата публикации: 2004-11-24.

Pattern recognition apparatus and pattern recognition method

Номер патента: US20150051909A1. Автор: Shinji Watanabe,Yuki Tachioka. Владелец: Mitsubishi Electric Research Laboratories Inc. Дата публикации: 2015-02-19.

Resist material and patterning process

Номер патента: US20230022129A1. Автор: Jun Hatakeyama. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2023-01-26.

Resist material and patterning process

Номер патента: US20230050585A1. Автор: Jun Hatakeyama. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2023-02-16.

Pattern recognition systems

Номер патента: US11967144B2. Автор: Jeffrey Brian Adams. Владелец: Datashapes Inc. Дата публикации: 2024-04-23.

Creating data shapes for pattern recognition systems

Номер патента: US11967142B2. Автор: Jeffrey Brian Adams. Владелец: Datashapes Inc. Дата публикации: 2024-04-23.

Data retrieval in pattern recognition systems

Номер патента: US11967143B2. Автор: Jeffrey Brian Adams. Владелец: Datashapes Inc. Дата публикации: 2024-04-23.

Audit capabilities in pattern recognition systems

Номер патента: US20170323168A1. Автор: Jeffrey Brian Adams. Владелец: Individual. Дата публикации: 2017-11-09.

Pattern recognition systems

Номер патента: US20220019827A1. Автор: Jeffrey Brian Adams. Владелец: Datashapes Inc. Дата публикации: 2022-01-20.

Data retrieval in pattern recognition systems

Номер патента: US20210342615A1. Автор: Jeffrey Brian Adams. Владелец: Datashapes Inc. Дата публикации: 2021-11-04.

Creating data shapes for pattern recognition systems

Номер патента: US20200372277A1. Автор: Jeffrey Brian Adams. Владелец: Rokio Inc. Дата публикации: 2020-11-26.

Pattern recognition systems

Номер патента: US20240233362A1. Автор: Jeffrey Brian Adams. Владелец: Datashapes Inc. Дата публикации: 2024-07-11.

Data retrieval in pattern recognition systems

Номер патента: US20240233361A1. Автор: Jeffrey Brian Adams. Владелец: Datashapes Inc. Дата публикации: 2024-07-11.

Synergistic Object Tracking and Pattern Recognition for Event Representation

Номер патента: US20230252651A1. Автор: Kevin Prince,Keith Comito. Владелец: Disney Enterprises Inc. Дата публикации: 2023-08-10.

Synergistic Object Tracking and Pattern Recognition for Event Representation

Номер патента: US20220383519A1. Автор: Kevin Prince,Keith Comito. Владелец: Disney Enterprises Inc. Дата публикации: 2022-12-01.

Synergistic object tracking and pattern recognition for event representation

Номер патента: US12125219B2. Автор: Kevin Prince,Keith Comito. Владелец: Disney Enterprises Inc. Дата публикации: 2024-10-22.

Onium Salt, Acid Diffusion Inhibitor, Resist Composition, And Patterning Process

Номер патента: US20240103367A1. Автор: Masahiro Fukushima. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-03-28.

Image processor and pattern recognition apparatus using the image processor

Номер патента: EP1346321A1. Автор: Kazutaka Ikeda,Yoshihito Hashimoto. Владелец: Matsushita Electric Works Ltd. Дата публикации: 2003-09-24.

Management system using behavior pattern recognition

Номер патента: EP3869431A1. Автор: Bongseok JANG. Владелец: Individual. Дата публикации: 2021-08-25.

Management system using behavior pattern recognition

Номер патента: CA3115961A1. Автор: Bongseok JANG. Владелец: Individual. Дата публикации: 2020-04-23.

Management system using behavior pattern recognition

Номер патента: AU2019360680A1. Автор: Bongseok JANG. Владелец: Individual. Дата публикации: 2021-06-03.

Method and device for critical dimension detection by molecular binding

Номер патента: US20070042390A1. Автор: Peter Borden. Владелец: Applied Materials Inc. Дата публикации: 2007-02-22.

Control of critical dimension (CD)

Номер патента: EP1416330B1. Автор: Joseph Pellegrini,David Crow. Владелец: International Business Machines Corp. Дата публикации: 2009-07-29.

Design-driven metal critical dimension (cd) biasing

Номер патента: US20100293514A1. Автор: Yao-Ching Ku,Lee-Chung Lu,Louis Chao-Chiuan Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2010-11-18.

Modeling critical-dimension (cd) scanning-electron-microscopy (cd-sem) cd extraction

Номер патента: WO2010126698A3. Автор: Qiaolin Zhang. Владелец: Synopsys, Inc.. Дата публикации: 2011-01-13.

Stress analysis for plastic material lined tubular structures for boreholes

Номер патента: US11755792B2. Автор: Robello Samuel,Adolfo Gonzales,Zhengchun LIU,Yongfeng KANG. Владелец: Landmark Graphics Corp. Дата публикации: 2023-09-12.

Interpretation of features for signal processing and pattern recognition

Номер патента: WO2002095730A1. Автор: JI Ming,Francis John Smith,Peter Jancovic. Владелец: Queen's University Of Belfast. Дата публикации: 2002-11-28.

Critical dimension uniformity monitoring for extreme ultraviolet reticles

Номер патента: US09863761B2. Автор: Rui-Fang Shi,Abdurrahman Sezginer,Alex Pokrovskiy,Weston L. Sousa. Владелец: KLA Tencor Corp. Дата публикации: 2018-01-09.

Critical dimension control in photo-sensitized chemically-amplified resist

Номер патента: US09645495B2. Автор: Anton J. deVilliers. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-05-09.

Pattern recognition device, pattern recognition method, and pattern recognition program

Номер патента: US20110289028A1. Автор: Atsushi Sato. Владелец: NEC Corp. Дата публикации: 2011-11-24.

Developer critical dimension control with pulse development

Номер патента: US20200089188A1. Автор: Yongan Xu,Hao Tang,Richard C. Johnson. Владелец: International Business Machines Corp. Дата публикации: 2020-03-19.

Detecting access disconnect by pattern recognition

Номер патента: EP2195045B1. Автор: Rodolfo G. Roger,Atif M. Yardimci. Владелец: Baxter International Inc. Дата публикации: 2011-02-23.

Control of critical dimensions through measurement of absorbed radiation

Номер патента: US6063530A. Автор: Andreas Grassmann. Владелец: SIEMENS AG. Дата публикации: 2000-05-16.

Critical dimension uniformity monitoring for extreme ultra-violet reticles

Номер патента: US10288415B2. Автор: Rui-Fang Shi,Abdurrahman Sezginer,Alex Pokrovskiy,Weston L. Sousa. Владелец: KLA Tencor Corp. Дата публикации: 2019-05-14.

Mask alignment and measurement of critical dimensions in integrated circuits

Номер патента: US5109430A. Автор: H. Keith Nishihara,P. A. Crossley. Владелец: Schlumberger Technologies Inc. Дата публикации: 1992-04-28.

Associative memory processing method for natural language parsing and pattern recognition

Номер патента: US5619718A. Автор: Nelson Correa. Владелец: Individual. Дата публикации: 1997-04-08.

Method of reducing critical dimension bias of dense pattern and isolation pattern

Номер патента: US7097945B2. Автор: Ching-Yu Chang,Hsin-Huei Chen,Meng-Wei Chen. Владелец: Macronix International Co Ltd. Дата публикации: 2006-08-29.

Method for Correcting Critical Dimension of Mask Pattern

Номер патента: US20090226827A1. Автор: Soo Kyeong Jeong. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-09-10.

Methods and systems for devices with self-selecting bus decoder

Номер патента: US20230297529A1. Автор: Harold B Noyes,Steven P. King. Владелец: Micron Technology Inc. Дата публикации: 2023-09-21.

Neuronal diversity in spiking neural networks and pattern classification

Номер патента: WO2015088774A3. Автор: Jeffrey Alexander LEVIN,Vikram Gupta,Edward Hanyu LIAO. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2015-08-06.

Methods of generating circuit layouts using self-alligned double patterning (SADP) techniques

Номер патента: US09582629B2. Автор: LI YANG,Lei Yuan,Jongwook Kye. Владелец: Globalfoundries Inc. Дата публикации: 2017-02-28.

Generating an immune response by inducing cd40 and pattern recognition receptors

Номер патента: CA2666667C. Автор: David Spencer,Natalia Lapteva. Владелец: Baylor College of Medicine. Дата публикации: 2023-06-20.

Textile matching using color and pattern recognition and methods of use

Номер патента: US20200057912A1. Автор: Bin Jin,Terrence A. CARROLL,Peter Hussami,András KALECZ-SIMON. Владелец: Individual. Дата публикации: 2020-02-20.

Pattern exposure apparatus, device manufacturing method, and exposure apparatus

Номер патента: US20240248409A1. Автор: Masaki Kato,Yasushi Mizuno,Toshiharu Nakashima,Satoshi KAWADO. Владелец: Nikon Corp. Дата публикации: 2024-07-25.

Well performance classification using artificial intelligence and pattern recognition

Номер патента: EP3583292A1. Автор: Ali Al-Turki,Badr M. Al-Harbi,Amell Ali AL-GHAMDI. Владелец: Saudi Arabian Oil Co. Дата публикации: 2019-12-25.

Detecting access disconnect by pattern recognition

Номер патента: WO2009042259A4. Автор: Rodolfo G Roger,Atif M Yardimci. Владелец: Atif M Yardimci. Дата публикации: 2009-05-28.

Detecting access disconnect by pattern recognition

Номер патента: EP2195045A1. Автор: Rodolfo G. Roger,Atif M. Yardimci. Владелец: Baxter International Inc. Дата публикации: 2010-06-16.

Simplified collaborative searching through pattern recognition

Номер патента: US09552421B2. Автор: Xiaoyuan Cui,Avneesh Sud,Aidan C. Crook,Ohil K. MANYAM. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2017-01-24.

Firearms training method using protocol based upon threat pattern recognition

Номер патента: US09454909B1. Автор: Bruce K. Siddle,Jonathan Siddle. Владелец: Human Factor Research Group Inc. Дата публикации: 2016-09-27.

Apparatus and method for pattern recognition

Номер патента: US8077979B2. Автор: Osamu Yamaguchi,Tomokazu Kawahara. Владелец: Toshiba Corp. Дата публикации: 2011-12-13.

Lithographic apparatus, aberration correction device and device manufacturing method

Номер патента: SG139650A1. Автор: Tammo Uitterdijk,Laurentius Catrinus Jorritsma. Владелец: ASML Netherlands BV. Дата публикации: 2008-02-29.

Buses for pattern-recognition processors

Номер патента: US12067767B2. Автор: J. Thomas Pawlowski. Владелец: Micron Technology Inc. Дата публикации: 2024-08-20.

Micro pattern forming method and semiconductor device manufacturing method

Номер патента: US20040157169A1. Автор: Hiroshi Morioka. Владелец: Fujitsu Ltd. Дата публикации: 2004-08-12.

Pattern recognition apparatus with retrieval reference patterns

Номер патента: US5123049A. Автор: Motoaki Koyama. Владелец: Toshiba Corp. Дата публикации: 1992-06-16.

Pattern recognition: recognition algorithms in a distributed data base

Номер патента: NZ282391A. Автор: Stefan Keh,Drachenfels Heiko Von. Владелец: Licentia Gmbh. Дата публикации: 1997-04-24.

Ink for display device manufacturing and method for manufacturing of the same, method for manufacturing using the same

Номер патента: US09556323B2. Автор: Youngtae Son. Владелец: LG Display Co Ltd. Дата публикации: 2017-01-31.

Method, system and apparatus for pattern recognition

Номер патента: EP3673410A1. Автор: Jiale CAO. Владелец: NOKIA TECHNOLOGIES OY. Дата публикации: 2020-07-01.

Pattern recognition device for performing classification using a candidate table and method thereof

Номер патента: US20010019628A1. Автор: Hiroshi Kamada,Katsuhito Fujimoto. Владелец: Fujitsu Ltd. Дата публикации: 2001-09-06.

Method, system and apparatus for pattern recognition

Номер патента: US11755889B2. Автор: Jiale CAO. Владелец: NOKIA TECHNOLOGIES OY. Дата публикации: 2023-09-12.

Pattern recognition method and system

Номер патента: WO1995027954A1. Автор: Gabriel Ilan,Eran Aharonson. Владелец: Advanced Recognition Technologies, Inc.. Дата публикации: 1995-10-19.

Vehicle and operator guidance by pattern recognition

Номер патента: US09956966B2. Автор: Mark R. J. Versteyhe,Thibaut E. DUCHENE. Владелец: Dana Ltd. Дата публикации: 2018-05-01.

Semi-supervised method for training multiple pattern recognition and registration tool models

Номер патента: US09659236B2. Автор: David J. Michael,Simon Barker. Владелец: Cognex Corp. Дата публикации: 2017-05-23.

Inspection apparatus and method using pattern recognition

Номер патента: US09446434B2. Автор: Gabriel Hamid,Charles Dean Mallah. Владелец: Buhler Sortex Ltd. Дата публикации: 2016-09-20.

Apparatus and method for pattern recognition

Номер патента: US20090232399A1. Автор: Osamu Yamaguchi,Tomokazu Kawahara. Владелец: Individual. Дата публикации: 2009-09-17.

Accessing data storage provided using double-stranded nucleic acid molecules

Номер патента: GB2576304A. Автор: James Hayes Matthew,Maria Sanches-Kuiper Raquel. Владелец: Evonetix Ltd. Дата публикации: 2020-02-19.

Method, System And Apparatus For Pattern Recognition

Номер патента: US20200193213A1. Автор: Jiale CAO. Владелец: NOKIA TECHNOLOGIES OY. Дата публикации: 2020-06-18.

Pattern Recognition for Face-Authentication Anti-Spoofing

Номер патента: US20240221429A1. Автор: Patrick M. Amihood,Octavio Ponce Madrigal. Владелец: Google LLC. Дата публикации: 2024-07-04.

Lip seal panel for air conditioning equipment and housing case manufactured using the same

Номер патента: EP4394277A1. Автор: Eun Suk Leem. Владелец: SNT Co Ltd. Дата публикации: 2024-07-03.

Lip Seal Panel For Air Conditioning Equipment And Housing Case Manufactured Using The Same

Номер патента: US20240210070A1. Автор: Eun Suk Leem. Владелец: SNT Co Ltd. Дата публикации: 2024-06-27.

Pattern recognition with n processors

Номер патента: AU1947295A. Автор: Stefan Keh,Heiko Von Drachenfels. Владелец: SIEMENS AG. Дата публикации: 1995-09-11.

Semi-supervised method for training multiple pattern recognition and registration tool models

Номер патента: US20160155022A1. Автор: David J. Michael,Simon Barker. Владелец: Cognex Corp. Дата публикации: 2016-06-02.

Pattern recognition apparatus and method therefor

Номер патента: US20070258644A1. Автор: Kenichi Maeda,Osamu Yamaguchi,Tomokazu Kawahara. Владелец: Individual. Дата публикации: 2007-11-08.

Method and apparatus for providing low cost programmable pattern recognition

Номер патента: WO2012042501A4. Автор: Mika Heino Laaksonen,Vesa Eelis Koivuaho. Владелец: Nokia Corporation. Дата публикации: 2012-05-31.

Semi-supervised method for training multiple pattern recognition and registration tool models

Номер патента: US09679224B2. Автор: David J. Michael,Simon Barker. Владелец: Cognex Corp. Дата публикации: 2017-06-13.

Method of patterning a lapping plate, and patterned lapping plates

Номер патента: US09522454B2. Автор: Raymond Leroy Moudry,Joel William Hoehn. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2016-12-20.

Method and apparatus for pattern recognition employing the Hidden Markov Model

Номер патента: US5638489A. Автор: Eiichi Tsuboka. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1997-06-10.

Dual level pattern recognition system

Номер патента: US4521909A. Автор: Patrick S. Wang. Владелец: Wang Laboratories Inc. Дата публикации: 1985-06-04.

Dual level pattern recognition system

Номер патента: USRE33536E. Автор: Patrick S. Wang. Владелец: Wang Laboratories Inc. Дата публикации: 1991-02-12.

Pattern recognition alignment system

Номер патента: US5621813A. Автор: David A. Markle,Hwan J. Jeong,Robert L. Brown,Richard B. Ward,David S. Pan,Mark S. Wanta. Владелец: Ultratech Inc. Дата публикации: 1997-04-15.

Planar heater structures for ejection devices

Номер патента: US20130083130A1. Автор: Yimin Guan,II Burton Joyner,Zach Reitmeier. Владелец: Individual. Дата публикации: 2013-04-04.

Exposure apparatus, exposure method and device manufacturing method

Номер патента: WO2009078489A1. Автор: Takahisa Kikuchi. Владелец: NIKON CORPORATION. Дата публикации: 2009-06-25.

System and method facilitating pattern recognition

Номер патента: EP1345161A3. Автор: John C. Platt,Patrice Y. Simard,David Willard Steinkraus. Владелец: Microsoft Corp. Дата публикации: 2011-01-19.

Image pattern recognition system and method

Номер патента: MY182556A. Автор: Gerardus Johannes Burghouts. Владелец: Tno. Дата публикации: 2021-01-25.

Method and system for selecting pattern recognition training vectors

Номер патента: WO1997035264A1. Автор: James H. Errico,Theodore Mazurkiewicz. Владелец: MOTOROLA, INC.. Дата публикации: 1997-09-25.

Image pattern recognition system and method

Номер патента: EP2936392A1. Автор: Gerardus Johannes Burghouts. Владелец: Nederlandse Organisatie voor Toegepast Natuurwetenschappelijk Onderzoek TNO. Дата публикации: 2015-10-28.

Structures for electrostatic v-bank air filters

Номер патента: WO2001045851A1. Автор: George Robert Summers,Forwood Cloud Wiser, III. Владелец: Engineering Dynamics Ltd.. Дата публикации: 2001-06-28.

Structures for electrostatic v-bank air filters

Номер патента: AU2138901A. Автор: George Robert Summers,Forwood Cloud Wiser Iii. Владелец: Engineering Dynamics Ltd. Дата публикации: 2001-07-03.

Feature pattern recognition system, method, and program

Номер патента: US8244474B2. Автор: Yasuo Matsuyama,Ryo Kawamura,Keita Shimoda. Владелец: WASEDA UNIVERSITY. Дата публикации: 2012-08-14.

Composition for pattern recognition based targeting and activating an innate immune response

Номер патента: WO2024049790A3. Автор: Lawrence Chan,Kevin Lau. Владелец: Phyto42. Дата публикации: 2024-04-11.

Root cause pattern recognition based model training

Номер патента: US12050509B2. Автор: Dong Yuan,Mo Zhou,Allison Mae Giddings. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2024-07-30.

Pattern recognition

Номер патента: EP2678790A1. Автор: Doron Shaked,Ruth Bergman,Ira Cohen,Michal Aharon. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2014-01-01.

Pattern recognition

Номер патента: WO2012115662A1. Автор: Doron Shaked,Ruth Bergman,Ira Cohen,Michal Aharon. Владелец: Hewlett-Packard Development Company, L.P.. Дата публикации: 2012-08-30.

Pattern recognition

Номер патента: US20120215736A1. Автор: Doron Shaked,Ruth Bergman,Ira Cohen,Michal Aharon. Владелец: Individual. Дата публикации: 2012-08-23.

Colored and patterned printing technique applied between coated layers forming artificial leather

Номер патента: WO2009110853A1. Автор: Rafet Tukek. Владелец: Flokser Tekstil Sanayi Ve Ticaret A.S.. Дата публикации: 2009-09-11.

Pattern recognition oriented cluster analysis

Номер патента: WO2000036489A3. Автор: Xiaofeng Yang,Jianpeng Shi,Molly B Schmid,Donald Beik. Владелец: Microcide Pharmaceuticals Inc. Дата публикации: 2000-11-30.

Composition for pattern recognition based targeting and activating an innate immune response

Номер патента: US20240066097A1. Автор: Lawrence Chan,Kevin Lau. Владелец: Phyto42. Дата публикации: 2024-02-29.

Composition for pattern recognition based targeting and activating an innate immune response

Номер патента: WO2024049790A2. Автор: Lawrence Chan,Kevin Lau. Владелец: Phyto42. Дата публикации: 2024-03-07.

Pattern recognition apparatus, method, and program using domain adaptation

Номер патента: US10614343B2. Автор: Takafumi Koshinaka,Qiongqiong Wang. Владелец: NEC Corp. Дата публикации: 2020-04-07.

Conductive-pattern recognition apparatus

Номер патента: US6188229B1. Автор: Satoshi Nakamura. Владелец: NEC Corp. Дата публикации: 2001-02-13.

Structures for electrostatic v-bank air filters

Номер патента: EP1239967A1. Автор: George Robert Summers,Forwood Cloud Wiser, III. Владелец: Engineering Dynamics Ltd. Дата публикации: 2002-09-18.

Automated pattern recognition and scoring method of histological images

Номер патента: AU2018351635A1. Автор: John BROZEK,Benoit Noel,Nathalie DEGALLAIX,Elton Rexhepaj. Владелец: Genfit SA. Дата публикации: 2020-05-07.

Root cause pattern recognition based model training

Номер патента: US20240320081A1. Автор: Dong Yuan,Mo Zhou,Allison Mae Giddings. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2024-09-26.

Behavioral pattern recognition to initiate a charging process

Номер патента: US20240308368A1. Автор: Matthias Schneider,Kerstin Baskakow. Владелец: Audi AG. Дата публикации: 2024-09-19.

Electromagnetic interference pattern recognition tomography

Номер патента: US20240310423A1. Автор: Serguei Y. Semenov. Владелец: EMTensor GmbH. Дата публикации: 2024-09-19.

Optical pattern recognition for locating objects in an environment

Номер патента: US12136064B1. Автор: Matthias BLOCH,Iciar Martinez,Nurie Jeong. Владелец: Scandit AG. Дата публикации: 2024-11-05.

Methods and apparatuses for reducing power consumption in a pattern recognition processor

Номер патента: US09501705B2. Автор: Harold B Noyes,David R. Brown. Владелец: Micron Technology Inc. Дата публикации: 2016-11-22.

Method of making patterned floor covering and patterned floor covering

Номер патента: RU2705353C1. Автор: Марио КРЕГЕР,Дитер РИШЕР. Владелец: Нора Системз Гмбх. Дата публикации: 2019-11-06.

Automatic pattern recognition using category dependent feature selection

Номер патента: US20080147402A1. Автор: Woojay Jeon,Biing-Hwang Juang. Владелец: Georgia Tech Research Corp. Дата публикации: 2008-06-19.

Determining distortion measures in a pattern recognition process

Номер патента: US7912715B2. Автор: Marcel Vasilache. Владелец: Nokia Oyj. Дата публикации: 2011-03-22.

Combined pattern holder and pattern position indicator

Номер патента: CA1147142A. Автор: Manny C. Hamburger. Владелец: Individual. Дата публикации: 1983-05-31.

Methods and Devices for Saving and/or Restoring a State of a Pattern-Recognition Processor

Номер патента: US20100332809A1. Автор: David R. Brown,Harold B. Noyes. Владелец: Micron Technology Inc. Дата публикации: 2010-12-30.

Hierarchical optimization method and system for pattern recognition and edge detection

Номер патента: US20060002609A1. Автор: Stefano Casadei. Владелец: Individual. Дата публикации: 2006-01-05.

Mutual information derived tree structure in an adaptive pattern recognition system

Номер патента: US3588823A. Автор: Chao N Liu,Chao K Chow. Владелец: International Business Machines Corp. Дата публикации: 1971-06-28.

Automatic seismic pattern recognition method

Номер патента: CA2205457C. Автор: Naamen Keskes. Владелец: Societe Nationale Elf Aquitaine Production SA. Дата публикации: 2003-11-18.

Pattern recognition equipment

Номер патента: GB1082885A. Автор: . Владелец: International Business Machines Corp. Дата публикации: 1967-09-13.

Three-dimensional puzzle and pattern-pasting method

Номер патента: GB2432794A. Автор: Chih-Chung Fang. Владелец: Individual. Дата публикации: 2007-06-06.

Programmable pattern recognition compositions

Номер патента: WO2024026465A1. Автор: Feng Zhang,Jonathan STRECKER,Max WILKINSON,Alex GAO. Владелец: THE BROAD INSTITUTE, INC.. Дата публикации: 2024-02-01.

Pattern recognition apparatus, pattern recognition method, and storage medium

Номер патента: US11817103B2. Автор: Takafumi Koshinaka,Qiongqiong Wang. Владелец: NEC Corp. Дата публикации: 2023-11-14.

Pattern recognition apparatus, pattern recognition method, and storage medium

Номер патента: WO2019053898A1. Автор: Takafumi Koshinaka,Qiongqiong Wang. Владелец: NEC Corporation. Дата публикации: 2019-03-21.

User interaction pattern extraction for device personalization

Номер патента: EP3245579A1. Автор: LIN Jiang,Susan Chory,Chan Chaiyochlarb,Shriram Nanjundaiah. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2017-11-22.

Resist material and patterning process

Номер патента: US20230296981A1. Автор: Tomohiro Kobayashi,Yutaro OTOMO,Gentaro Hida,Tomonari NOGUCHI. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2023-09-21.

Pattern recognition system and method

Номер патента: EP3077959A1. Автор: Hans Geiger. Владелец: ZINTERA CORP. Дата публикации: 2016-10-12.

Pattern recognition system

Номер патента: WO2003073366A1. Автор: Christopher Reginald Chatwin,Aristodimos Kouris. Владелец: The University of Sussex. Дата публикации: 2003-09-04.

Pattern recognition system

Номер патента: EP1479037A1. Автор: Christopher Reginald Chatwin,Aristodimos Kouris. Владелец: University of Sussex. Дата публикации: 2004-11-24.

Image pattern recognition system and method

Номер патента: WO2014098604A1. Автор: Gerardus Johannes Burghouts. Владелец: Nederlandse Organisatie voor toegepast-natuurwetenschappelijk Onderzoek TNO. Дата публикации: 2014-06-26.

Pattern recognition apparatus, method, and program using domain adaptation

Номер патента: US20180253628A1. Автор: Takafumi Koshinaka,Qiongqiong Wang. Владелец: NEC Corp. Дата публикации: 2018-09-06.

Pattern recognition oriented cluster analysis

Номер патента: WO2000036489A9. Автор: Xiaofeng Yang,Jianpeng Shi,Molly B Schmid,Donald Beik. Владелец: Microcide Pharmaceuticals Inc. Дата публикации: 2001-05-10.

Pattern recognition processes and apparatus

Номер патента: US3601803A. Автор: Julian Richard Ullmann. Владелец: Post Office. Дата публикации: 1971-08-24.

Parallelized tree-based pattern recognition for tissue characterization

Номер патента: EP2967499A1. Автор: Anuja Nair,Russell J. Fedewa,Miklos Z. Kiss. Владелец: Volcano Corp. Дата публикации: 2016-01-20.

Routing analysis with double pattern lithography

Номер патента: US20120216157A1. Автор: Gang Chen,Jianfeng Luo. Владелец: Individual. Дата публикации: 2012-08-23.

Exponential pattern recognition based cellular targeting, compositions, methods and anticancer applications

Номер патента: EP1409017A2. Автор: Arnold Glazier. Владелец: Drug Innovation and Design Inc. Дата публикации: 2004-04-21.

Pattern recognition oriented cluster analysis

Номер патента: WO2000036489A2. Автор: Xiaofeng Yang,Molly B. Schmid,Jianpeng Shi,Donald Beik. Владелец: Microcide Pharmaceuticals, Inc.. Дата публикации: 2000-06-22.

Pattern recognition receptor agonist prodrugs and methods of use thereof

Номер патента: US12065649B2. Автор: John T. Wilson,Christian Palmer. Владелец: VANDERBILT UNIVERSITY. Дата публикации: 2024-08-20.

Automated pattern recognition and scoring method of histological images

Номер патента: WO2019077108A3. Автор: John BROZEK,Benoit Noel,Nathalie DEGALLAIX,Elton Rexhepaj. Владелец: GENFIT. Дата публикации: 2019-06-20.

Automated pattern recognition and scoring method of histological images

Номер патента: US20210192722A1. Автор: John BROZEK,Benoit Noel,Nathalie DEGALLAIX,Elton Rexhapaj. Владелец: Genfit SA. Дата публикации: 2021-06-24.

Method of Pattern Recognition for Artificial Intelligence

Номер патента: US20130054504A1. Автор: Steven Howard Snyder. Владелец: Individual. Дата публикации: 2013-02-28.

Resist composition and patterning process

Номер патента: US09921479B2. Автор: Kenji Yamada,Satoshi Watanabe. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2018-03-20.

Systems and methods for facilitating transactions using pattern recognition

Номер патента: US09734499B2. Автор: Puvanenthiran SUBBARAJ. Владелец: PayPal Inc. Дата публикации: 2017-08-15.

Smart key system using movement pattern recognition of mobile device and operation method thereof

Номер патента: US09478088B2. Автор: Sang Gwon LEE. Владелец: Hyundai Mobis Co Ltd. Дата публикации: 2016-10-25.

Pattern recognition system providing automated techniques for training classifiers for non stationary elements

Номер патента: US5838816A. Автор: Bart A. Holmberg. Владелец: Hughes Electronics Corp. Дата публикации: 1998-11-17.

Pattern-recognition processor with results buffer

Номер патента: US9639493B2. Автор: Harold B Noyes. Владелец: Micron Technology Inc. Дата публикации: 2017-05-02.

System and method for parameter estimation for pattern recognition

Номер патента: US20030055640A1. Автор: David Burshtein,Assaf Ben-Yishai. Владелец: Ramot at Tel Aviv University Ltd. Дата публикации: 2003-03-20.

Apparatus for pattern recognition

Номер патента: CA1102451A. Автор: Percy E. Argyle. Владелец: NATIONAL RESEARCH COUNCIL OF CANADA. Дата публикации: 1981-06-02.

Lumber defect scanning including multi-dimensional pattern recognition

Номер патента: CA2146911C. Автор: Jon F. Soest. Владелец: USNR LLC. Дата публикации: 2006-08-29.

Barrier film material and pattern formation method using the same

Номер патента: EP1788440A2. Автор: Masayuki Endo,Masaru Sasago. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2007-05-23.

Continuous cardiac output derived from arterial pressure waveform using pattern recognition

Номер патента: US5797395A. Автор: James F. Martin. Владелец: Eli Lilly and Co. Дата публикации: 1998-08-25.

Pattern recognition system utilizing self-replicating nodes

Номер патента: US20220035675A1. Автор: Enric GUINOVART. Владелец: Avatar Cognition Barcelona SL. Дата публикации: 2022-02-03.

Apparatus and method for a vehicle recognizing an object using pattern recognition

Номер патента: US20240071032A1. Автор: Seung-Pyo Hong. Владелец: Kia Corp. Дата публикации: 2024-02-29.

Pattern recognition apparatus, pattern recognition method, and computer program product

Номер патента: US20180137410A1. Автор: Takashi Masuko. Владелец: Toshiba Corp. Дата публикации: 2018-05-17.

Pattern recognition receptor expression as a measure of systemic health

Номер патента: EP2935616A1. Автор: Samer Al-Murrani,Dale S. Scherl. Владелец: Hills Pet Nutrition Inc. Дата публикации: 2015-10-28.

Pattern Recognition Receptor Expression As a Measure of Systemic Health

Номер патента: US20150329908A1. Автор: Samer Al-Murrani,Dale S. Scherl. Владелец: Hills Pet Nutrition Inc. Дата публикации: 2015-11-19.

Pattern Recognition Receptor Expression as a Measure of Systemic Health

Номер патента: US20210071254A1. Автор: Samer Al-Murrani,Dale S. Scherl. Владелец: Hills Pet Nutrition Inc. Дата публикации: 2021-03-11.

Pattern recognition receptor expression as a measure of systemic health

Номер патента: US11767561B2. Автор: Samer Al-Murrani,Dale S. Scherl. Владелец: Hills Pet Nutrition Inc. Дата публикации: 2023-09-26.

Inspection apparatus and method using pattern recognition

Номер патента: EP2454028A1. Автор: Gabriel Hamid,Charles Dean Mallah. Владелец: Buhler Sortex Ltd. Дата публикации: 2012-05-23.

Pattern recognition of serum proteins for the diagnosis or treatment of physiologic conditions

Номер патента: EP1711811A1. Автор: David B. Agus,Mark D. Kvamme. Владелец: Cedars Sinai Medical Center. Дата публикации: 2006-10-18.

Method, system and apparatus for pattern recognition

Номер патента: EP3695347A1. Автор: Jiale CAO. Владелец: NOKIA TECHNOLOGIES OY. Дата публикации: 2020-08-19.

Patterning material and patterned film

Номер патента: US20230168583A1. Автор: Yu Zhang,Huihui Zhou,Zhixiong Zeng. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-06-01.

Pattern recognition for face-authentication anti-spoofing

Номер патента: EP4281947A1. Автор: Patrick M. Amihood,Octavio Ponce Madrigal. Владелец: Google LLC. Дата публикации: 2023-11-29.

Pattern recognition for face-authentication anti-spoofing

Номер патента: WO2022245349A1. Автор: Patrick M. Amihood,Octavio Ponce Madrigal. Владелец: Google LLC. Дата публикации: 2022-11-24.

Pattern recognition using contextual correlation

Номер патента: WO1998055959A1. Автор: Dirk Ernest Maria Van Dyck. Владелец: Dirk Ernest Maria Van Dyck. Дата публикации: 1998-12-10.

Methods and apparatus for hand printing designs and patterns

Номер патента: US11993091B2. Автор: Darin A. Grassman. Владелец: Individual. Дата публикации: 2024-05-28.

Microtransfer molding process and patterned substrate obtainable therefrom

Номер патента: US20200174363A1. Автор: David Grosso,Thomas BOTTEIN. Владелец: Aix Marseille Universite. Дата публикации: 2020-06-04.

A method of syntactic pattern recognition of sequences

Номер патента: WO2006130947A8. Автор: John B Oommen,Ghada Badr. Владелец: 3618633 Canada Inc. Дата публикации: 2007-12-27.

Microtransfer molding process and patterned substrate obtainable therefrom

Номер патента: WO2019001934A1. Автор: David Grosso,Thomas BOTTEIN. Владелец: Université D'aix-Marseille. Дата публикации: 2019-01-03.

Printing Cone for Hand Printing Designs and Patterns

Номер патента: US20240217251A1. Автор: Darin A. Grassman. Владелец: Individual. Дата публикации: 2024-07-04.

Modulating gene expression in insects by using double-stranded rna (dsrna)

Номер патента: WO2002046432A3. Автор: Nikolas Gunkel. Владелец: Nikolas Gunkel. Дата публикации: 2002-10-17.

Optical device using double-groove grating

Номер патента: US09500784B2. Автор: Hideo Iizuka,Nader Engheta. Владелец: University of Pennsylvania Penn. Дата публикации: 2016-11-22.

System and method for the detection of a circle image for pattern recognition

Номер патента: US6021222A. Автор: Hideaki Yamagata. Владелец: Ricoh Co Ltd. Дата публикации: 2000-02-01.

Pattern recognition using multiple reference models

Номер патента: CA2304747C. Автор: Mark Pawlewski,Aladdin Mohammad Ariyaeeinia,Perasiriyan Sivakumaran. Владелец: British Telecommunications plc. Дата публикации: 2007-08-14.

Pattern recognition system having electronically controllable aperture shape, scan shape, and scan position

Номер патента: US3593286A. Автор: Norman G Altman. Владелец: Individual. Дата публикации: 1971-07-13.

Pattern recognition system and method

Номер патента: CA1164551A. Автор: William I. Miller,Robert D. Reimiller. Владелец: MICRO AUTOMATION Inc. Дата публикации: 1984-03-27.

Pattern recognition system utilizing a plurality of partial standard patterns

Номер патента: US4014000A. Автор: Hirotada Ueda,Takeshi Uno,Sadahiro Ikeda. Владелец: HITACHI LTD. Дата публикации: 1977-03-22.

Digital information processing apparatus for pattern recognition

Номер патента: US3849762A. Автор: Y Fujimoto,T Hananoi,M Yasuda,M Ota,H Kokido,H Makihara,M Kuramizu,S Kadota. Владелец: HITACHI LTD. Дата публикации: 1974-11-19.

Die casting process incorporating computerized pattern recognition techniques

Номер патента: US7958927B2. Автор: Yan Zhu,Arnie Fulton,Michael Bomar. Владелец: Pace Industries LLC. Дата публикации: 2011-06-14.

Road Surface Condition Identification Based on Statistical Pattern Recognition

Номер патента: US20100250086A1. Автор: Weiwen Deng,Qingrong Zhao. Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2010-09-30.

Pattern recognition

Номер патента: US11442724B2. Автор: Jing Wu,Zhi Peng JIA,Xiang Yu Yang,Zhi CAO,De Shuo Kong,Rong Fu He. Владелец: International Business Machines Corp. Дата публикации: 2022-09-13.

Electronic article surveillance deactivator using visual pattern recognition system for triggering

Номер патента: CA2749128C. Автор: Stewart E. Hall. Владелец: Tyco Fire and Security GmbH. Дата публикации: 2017-07-04.

Pattern recognition systems

Номер патента: US4092631A. Автор: Chuji Suzuki,Yoshiki Nishioka,Syoichi Yasuda. Владелец: Sharp Corp. Дата публикации: 1978-05-30.

Method and apparatus for automated pattern recognition

Номер патента: US5784485A. Автор: Chein-I Chang,Youguang Xu. Владелец: Individual. Дата публикации: 1998-07-21.

Pattern recognition receptor agonist prodrugs and methods of use thereof

Номер патента: WO2019140001A1. Автор: John T. Wilson. Владелец: VANDERBILT UNIVERSITY. Дата публикации: 2019-07-18.

Pattern-bearing sheet for use in simultaneous injection-molding and pattern-forming method

Номер патента: US5843555A. Автор: Kazuhisa Kobayashi,Hiroyuki Atake. Владелец: DAI NIPPON PRINTING CO LTD. Дата публикации: 1998-12-01.

Pattern recognition apparatus

Номер патента: US4672678A. Автор: Hiroyuki Tsukahara,Noriyuki Hiraoka,Masato Nakashima,Tetsuo Koezuka. Владелец: Fujitsu Ltd. Дата публикации: 1987-06-09.

Pattern recognition and learning apparatus

Номер патента: CA1261068A. Автор: Hiroshi Matsuura,Shoichi Hirai. Владелец: Toshiba Corp. Дата публикации: 1989-09-26.

Pattern recognition system

Номер патента: US3967241A. Автор: Ryuichi Kawa. Владелец: Ricoh Co Ltd. Дата публикации: 1976-06-29.

Pattern recognition constraint network

Номер патента: US6122399A. Автор: Michael C. Moed. Владелец: NCR Corp. Дата публикации: 2000-09-19.

System and method for pattern recognition

Номер патента: CA1190977A. Автор: William Masaitis,Robert O. Canada. Владелец: General Electric Co. Дата публикации: 1985-07-23.

A method and system for pattern recognition and processing

Номер патента: CA2315833C. Автор: Randell L. Mills. Владелец: Individual. Дата публикации: 2009-07-14.

Multispectral/spatial pattern recognition system

Номер патента: US4731859A. Автор: Marvin J. Holter,David Zuk,Vernon Larrowe,Robert J. Maxwell. Владелец: Environmental Research Institute of Michigan. Дата публикации: 1988-03-15.

Pattern recognition apparatus

Номер патента: CA1258710A. Автор: Hiroshi Shimizu,Masafumi Yano,Youko Yamaguchi,Ichiro Tsuda,Tokiko Okumura. Владелец: Research Development Corp of Japan. Дата публикации: 1989-08-22.

Sub-circuit pattern recognition in integrated circuit design

Номер патента: US20100131908A1. Автор: Sandeep Shylaja Krishnan. Владелец: Texas Instruments Inc. Дата публикации: 2010-05-27.

Pattern recognition apparatus, method, and program

Номер патента: US20190347565A1. Автор: Takafumi Koshinaka,Qiongqiong Wang. Владелец: NEC Corp. Дата публикации: 2019-11-14.

Dynamic pattern recognition in spreadsheets

Номер патента: WO2019103866A1. Автор: Nerya COHEN,Eyal GABER,Shani KABARITI. Владелец: Microsoft Technology Licensing, LLC. Дата публикации: 2019-05-31.

Adaptive pattern recognition for psychosis risk modelling

Номер патента: US20160192889A1. Автор: Nikolaos KOUTSOULERIS,Eva MEISENZAHL-LECHNER. Владелец: Individual. Дата публикации: 2016-07-07.

Electromagnetic interference pattern recognition tomography

Номер патента: US11892491B2. Автор: Serguei Y. Semenov. Владелец: EMTensor GmbH. Дата публикации: 2024-02-06.

Adaptive pattern recognition for psychosis risk modelling

Номер патента: WO2015018517A8. Автор: Nikolaos KOUTSOULERIS,Eva MEISENZAHL-LECHNER. Владелец: MEISENZAHL-LECHNER Eva. Дата публикации: 2015-04-02.

Systems and methods providing pattern recognition and data analysis in welding and cutting

Номер патента: EP3696750A1. Автор: Joseph A. Daniel. Владелец: Lincoln Global Inc. Дата публикации: 2020-08-19.

Root cause pattern recognition based model training

Номер патента: WO2022164549A1. Автор: Dong Yuan,Mo Zhou,Allison Mae Giddings. Владелец: Microsoft Technology Licensing, LLC. Дата публикации: 2022-08-04.

Systems and methods for color and pattern analysis of images of wearable items

Номер патента: US10528814B1. Автор: Steven Sesshu SHIMOZAKI. Владелец: Caastle Inc. Дата публикации: 2020-01-07.

Root cause pattern recognition based model training

Номер патента: EP4285272A1. Автор: Dong Yuan,Mo Zhou,Allison Mae Giddings. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2023-12-06.

Resist composition and patterning process

Номер патента: EP3088955A3. Автор: Kenji Yamada,Satoshi Watanabe. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2017-06-14.

An embedded bayesian network for pattern recognition

Номер патента: WO2004034312A1. Автор: Ara Nefian. Владелец: Intel Corporation. Дата публикации: 2004-04-22.

Behavior pattern recognition method, system and computer application program thereof

Номер патента: US20120136890A1. Автор: Min-Siong LIANG,Yung-Chuan Wen. Владелец: INSTITUTE FOR INFORMATION INDUSTRY. Дата публикации: 2012-05-31.

Pattern recognition apparatus, method, and program

Номер патента: US11403545B2. Автор: Takafumi Koshinaka,Qiongqiong Wang. Владелец: NEC Corp. Дата публикации: 2022-08-02.

Image pattern recognition system and method

Номер патента: US9959485B2. Автор: Gerardus Johannes Burghouts. Владелец: Nederlandse Organisatie voor Toegepast Natuurwetenschappelijk Onderzoek TNO. Дата публикации: 2018-05-01.

Pattern recognition using active media

Номер патента: US8620843B2. Автор: Alexandre M. Bratkovski,Viatcheslav Osipov,Ekaterina V. Ponizovskaya Devine. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2013-12-31.

Method and device for pattern recognition in acoustic recordings

Номер патента: US20070044642A1. Автор: Rainer Schierle. Владелец: Individual. Дата публикации: 2007-03-01.

Gnss multipath mitigation via pattern recognition

Номер патента: US20190257953A1. Автор: Gary Lennen. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-08-22.

System and method for locating color and pattern match regions in a target image

Номер патента: EP1218851A2. Автор: Nicolas Vazquez,Dinesh Nair,Darren Schmidt,Siming Lin. Владелец: National Instruments Corp. Дата публикации: 2002-07-03.

Masks for double patterning photolithography

Номер патента: US20140047398A1. Автор: Carlos R. Castro-Pareja,Allan Xiao Yu Gu. Владелец: Intel Corp. Дата публикации: 2014-02-13.

An embedded bayesian network for pattern recognition

Номер патента: EP1552467A1. Автор: Ara Nefian. Владелец: Intel Corp. Дата публикации: 2005-07-13.

Incorporating dyslexia detection in handwriting pattern recognition

Номер патента: WO2003015014A1. Автор: Carmen Reitano. Владелец: Carmen Reitano. Дата публикации: 2003-02-20.

Method of designing an exposure mask, exposure method, pattern forming method and device manufacturing method

Номер патента: EP1642171B1. Автор: Takako Yamaguchi,Yasuhisa Inao. Владелец: Canon Inc. Дата публикации: 2011-06-15.

Anti-vibration device manufacturing method

Номер патента: US20180178334A1. Автор: Kei Oyaizu. Владелец: Toyo Tire and Rubber Co Ltd. Дата публикации: 2018-06-28.

Fender structure for an all terrain vehicle

Номер патента: US20020047257A1. Автор: Pierre Rondeau,Martin Aube,Martin Portelance. Владелец: Individual. Дата публикации: 2002-04-25.

Porous membrane patterning technique

Номер патента: EP3111278A1. Автор: Hongyi Zhou,Matthew Jeremiah Misner,Jason Michael Nichols. Владелец: General Electric Co. Дата публикации: 2017-01-04.

Porous membrane patterning technique

Номер патента: WO2015128256A1. Автор: Hongyi Zhou,Matthew Jeremiah Misner,Jason Michael Nichols. Владелец: GE Healthcare UK Limited. Дата публикации: 2015-09-03.

Contextual item discovery and pattern inculcated reminder mechanism

Номер патента: US20240304075A1. Автор: Shikhar KWATRA,Amitava Kundu,Pritesh Patel,Sujatha B. Perepa. Владелец: Kyndryl Inc. Дата публикации: 2024-09-12.

Curved display device manufacturing method

Номер патента: US12105368B2. Автор: Daehong Kim. Владелец: LG ELECTRONICS INC. Дата публикации: 2024-10-01.

Gutter debris preclusion device with multiple manipulations and patterns thereof

Номер патента: US09976309B2. Автор: Robert C. Lenney. Владелец: Gutterglove Inc. Дата публикации: 2018-05-22.

Fluid handling structure, a lithographic apparatus and a device manufacturing method

Номер патента: US09625829B2. Автор: David Bessems,Cornelius Maria Rops. Владелец: ASML Netherlands BV. Дата публикации: 2017-04-18.

Method of decomposing design layout for double patterning process

Номер патента: US09355204B2. Автор: Jeong-Hoon Lee,Sang-Wook Seo,Hye-Soo Shin. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-05-31.

Power supply for devices supported by aircraft engine rotor

Номер патента: RU2566590C2. Автор: Седрик ДЮВАЛЬ,ВЕРЖИФОСС Эрик ДЕ. Владелец: Испано-Сюиза. Дата публикации: 2015-10-27.

Device to feed tapes of wiping materials designed for devices of such wiping materials dispensing

Номер патента: RU2419372C2. Автор: Морис ГРАНЖЕ. Владелец: Морис ГРАНЖЕ. Дата публикации: 2011-05-27.

Double patterning method for fine patterning

Номер патента: KR20110008495A. Автор: 심연아. Владелец: 주식회사 동부하이텍. Дата публикации: 2011-01-27.

Managing engine firing patterns and patterns transitions during skip fire engine operation

Номер патента: WO2014151019A1. Автор: Mark A. Shost. Владелец: TULA TECHNOLOGY, INC.. Дата публикации: 2014-09-25.

System and method for behavioral pattern recognition

Номер патента: CA3095362A1. Автор: Kostya Belezko,Brechann MCGOEY. Владелец: ROYAL BANK OF CANADA. Дата публикации: 2021-04-03.

System and Method for Device Tracking in Magnetic Resonance Imaging Guided Inerventions

Номер патента: US20240206907A1. Автор: Holden H. Wu,Xinzhou LI,David S. Lu. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2024-06-27.

Methods and arrangements for devices to share a common address on a bus

Номер патента: WO2008005900A2. Автор: Robert A. Dunstan. Владелец: Intel Corporation. Дата публикации: 2008-01-10.

Alignment-tolerant lens structures for acoustic force actuation of cantilevers

Номер патента: US7467542B2. Автор: Scott A. Elrod. Владелец: Palo Alto Research Center Inc. Дата публикации: 2008-12-23.

Method and apparatus for device activity mapping with device placement

Номер патента: US09696953B1. Автор: Kevin DODD. Владелец: Toshiba TEC Corp. Дата публикации: 2017-07-04.

Preparation of lactone polymers using double metal cyanide catalysts

Номер патента: US5032671A. Автор: Stephen D. Harper. Владелец: Arco Chemical Technology LP. Дата публикации: 1991-07-16.

Dust catchers for device of mechanical processing

Номер патента: RU2455130C2. Автор: Тосиюки КАНИ,Кендзи АБЕ. Владелец: Макита Корпорейшн. Дата публикации: 2012-07-10.

Door structure for a thermal demand printer

Номер патента: US5790162A. Автор: Vincent C. Adams,Michael K. Platt,David L. Poole. Владелец: Zebra Technologies Corp. Дата публикации: 1998-08-04.

Structure and method for simultaneously determining an overlay accuracy and pattern placement error

Номер патента: GB2446314A. Автор: Bernd Schulz. Владелец: Advanced Micro Devices Inc. Дата публикации: 2008-08-06.

Methods and compositions for high throughput sample preparation using double unique dual indexing

Номер патента: AU2020315955A1. Автор: Keith Brown. Владелец: iGenomx International Genomics Corp. Дата публикации: 2022-03-03.

Contextual item discovery and pattern inculcated reminder mechanism

Номер патента: US20230306837A1. Автор: Shikhar KWATRA,Amitava Kundu,Pritesh Patel,Sujatha B. Perepa. Владелец: Kyndryl Inc. Дата публикации: 2023-09-28.

Hierarchical trim management for self-aligned double patterning

Номер патента: US20190179994A1. Автор: David Wolpert,Laura R. Darden. Владелец: International Business Machines Corp. Дата публикации: 2019-06-13.

System and methods for streaming string similarity and pattern matching

Номер патента: US20230401246A1. Автор: Anup Rao,Tung Mai,Ryan A. Rossi. Владелец: Adobe Inc. Дата публикации: 2023-12-14.

Accessing data storage provided using double-stranded nucleic acid molecules

Номер патента: US11854668B2. Автор: Matthew James Hayes,Raquel Maria SANCHES-KUIPER. Владелец: Evonetix Ltd. Дата публикации: 2023-12-26.

Accessing data storage provided using double-stranded nucleic acid molecules

Номер патента: WO2020021221A1. Автор: Matthew James Hayes,Raquel Maria SANCHES-KUIPER. Владелец: Evonetix Ltd. Дата публикации: 2020-01-30.

Lithographic apparatus, device manufacturing method, and device manufactured thereby

Номер патента: US20050035307A1. Автор: Martinus Verhagen. Владелец: ASML Netherlands BV. Дата публикации: 2005-02-17.

Optical device using double-groove grating

Номер патента: US20120038987A1. Автор: Hideo Iizuka,Nader Engheta. Владелец: University of Pennsylvania Penn. Дата публикации: 2012-02-16.

Accessing data storage provided using double-stranded nucleic acid molecules

Номер патента: EP3827435A1. Автор: Matthew James Hayes,Raquel Maria SANCHES-KUIPER. Владелец: Evonetix Ltd. Дата публикации: 2021-06-02.

Accessing data storage provided using double-stranded nucleic acid molecules

Номер патента: CA3105954A1. Автор: Matthew James Hayes,Raquel Maria SANCHES-KUIPER. Владелец: Evonetix Ltd. Дата публикации: 2020-01-30.

Contextual item discovery and pattern inculcated reminder mechanism

Номер патента: US12014617B2. Автор: Shikhar KWATRA,Amitava Kundu,Pritesh Patel,Sujatha B. Perepa. Владелец: Kyndryl Inc. Дата публикации: 2024-06-18.

Near-field exposure method and apparatus, near-field exposure mask, and device manufacturing method

Номер патента: WO2005015311A2. Автор: Natsuhiko Mizutani. Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2005-02-17.

Liquid Crystal Device Manufacturing Method and Liquid Crystal Device

Номер патента: US20200257147A1. Автор: Naoki Mitsui. Владелец: JVCKenwood Corp. Дата публикации: 2020-08-13.

A method for device localization using magnetic resonance imaging

Номер патента: US20180292475A1. Автор: Sascha Krueger. Владелец: Koninklijke Philips NV. Дата публикации: 2018-10-11.

Semiconductor device manufacturing method

Номер патента: US7914958B2. Автор: Hirofumi Inoue,Ryoichi Inanami,Shinji Mikami. Владелец: Toshiba Corp. Дата публикации: 2011-03-29.

Data storage device and method for device-initiated hibernation

Номер патента: US12045508B2. Автор: Judah Gamliel Hahn,Ariel Navon,Shay Benisty. Владелец: SanDisk Technologies LLC. Дата публикации: 2024-07-23.

Anti-condensation control system for devices driven by compressed air

Номер патента: WO2004049085A3. Автор: William A Cline,Michael R Bonner. Владелец: Michael R Bonner. Дата публикации: 2004-12-09.

Download service for device drivers

Номер патента: CA2633688A1. Автор: Esaias E. Greeff. Владелец: Individual. Дата публикации: 2007-08-09.

Method for generating a control scheme and device manufacturing method

Номер патента: US12085913B2. Автор: Marc Hauptmann,Amir Bin ISMAIL,Rizvi RAHMAN,Jiapeng Li. Владелец: ASML Netherlands BV. Дата публикации: 2024-09-10.

Refill for devices for evaporating volatile substances

Номер патента: EP3565610A1. Автор: Cedric GOBBER,Jordi Maso Sabate,Moisés Caballero Tapia. Владелец: ZOBELE HOLDING SPA. Дата публикации: 2019-11-13.

Refill for devices for evaporating volatile substances

Номер патента: WO2018127402A1. Автор: Cedric GOBBER,Jordi Maso Sabate,Moisés Caballero Tapia. Владелец: Zobele Holding S.P.A.. Дата публикации: 2018-07-12.

Method and system for managing power consumption for devices

Номер патента: US20240353913A1. Автор: Parminder Singh Sethi,Lakshmi Saroja Nalam. Владелец: Dell Products LP. Дата публикации: 2024-10-24.

System and method for providing active refraction feedback for devices with variable index of refraction

Номер патента: US09971174B2. Автор: Gerald P. Uyeno,Sean D. Keller. Владелец: Raytheon Co. Дата публикации: 2018-05-15.

GRAPHENE PROCESSING FOR DEVICE AND SENSOR APPLICATIONS

Номер патента: US20120003438A1. Автор: . Владелец: UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INC.. Дата публикации: 2012-01-05.

ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, AND RESIST FILM AND PATTERN FORMING METHOD USING THE SAME

Номер патента: US20120003590A1. Автор: . Владелец: FUJIFILM Corporation. Дата публикации: 2012-01-05.

PHOTORESIST AND PATTERNING PROCESS

Номер патента: US20120003582A1. Автор: Wang Chien-Wei,Huang Chun-Ching. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-01-05.

METHOD AND STRUCTURE FOR NASAL DILATOR

Номер патента: US20120004683A1. Автор: Gray David,Litman Mark A.. Владелец: . Дата публикации: 2012-01-05.

Pattern recognition method and system

Номер патента: WO1995027954B1. Автор: . Владелец: . Дата публикации: 1995-11-02.

SOLID-STATE IMAGING DEVICE MANUFACTURING METHOD, SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS

Номер патента: US20120001290A1. Автор: Sawada Ken. Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD

Номер патента: US20120001304A1. Автор: . Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE MANUFACTURE METHOD AND SEMICONDUCTOR DEVICE

Номер патента: US20120001344A1. Автор: IDANI Naoki,TAKESAKO Satoshi. Владелец: FUJITSU SEMICONDUCTOR LIMITED. Дата публикации: 2012-01-05.

Pattern recognition apparatus and method for making same

Номер патента: CA1195779A. Автор: Kenichi Maeda,Tsuneo Nitta. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1985-10-22.