• Главная
  • ACTUATOR TO ADJUST DYNAMICALLY SHOWERHEAD TILT IN A SEMICONDUCTOR- PROCESSING APPARATUS

ACTUATOR TO ADJUST DYNAMICALLY SHOWERHEAD TILT IN A SEMICONDUCTOR- PROCESSING APPARATUS

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Semiconductor processing apparatus and semiconductor processing method using the same

Номер патента: US20230002903A1. Автор: Minju Lee,Sangyub IE,Sookyeom Yong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-01-05.

Automated showerhead tilt adjustment

Номер патента: US20230272533A1. Автор: Bin Luo,John Wiltse,Jacob Lee Hiester,Sam Jafarian Tehrani,Bryan Anthony Cmelak. Владелец: Lam Research Corp. Дата публикации: 2023-08-31.

Image processing apparatus with improved slide printout based on layout data

Номер патента: US09953829B2. Автор: Hideaki Masuda,Nobuhide Yamada. Владелец: Toshiba Memory Corp. Дата публикации: 2018-04-24.

Heat processing apparatus for semiconductor process

Номер патента: US8002895B2. Автор: Atsushi Endo,Hisashi Inoue. Владелец: Tokyo Electron Ltd. Дата публикации: 2011-08-23.

Semiconductor Processing System, and Control Assembly and Method Thereof

Номер патента: US20230399746A1. Автор: Julian Juuchuan Hsieh. Владелец: Enchip Enterprise LLC. Дата публикации: 2023-12-14.

Semiconductor processing

Номер патента: WO2009045250A1. Автор: Shyam Surthi. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2009-04-09.

Substrate processing apparatus and substrate processing method

Номер патента: US20240309506A1. Автор: Sung Hwan Lee,Kun Woo Park,Woo Young Park,Jae Jin Han. Владелец: Wonik Ips Co Ltd. Дата публикации: 2024-09-19.

Gas supply apparatus, gas supply method, and substrate processing apparatus

Номер патента: US12024776B2. Автор: Yuji Obata. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-07-02.

Gas distribution apparatus for semiconductor processing

Номер патента: WO2001003159A9. Автор: Rajinder Dhindsa,Eric Lenz,Fangli Hao. Владелец: Fangli Hao. Дата публикации: 2002-05-02.

Gas distribution apparatus for semiconductor processing

Номер патента: EP1200981A1. Автор: Rajinder Dhindsa,Eric Lenz,Fangli Hao. Владелец: Lam Research Corp. Дата публикации: 2002-05-02.

Method of particle abatement in a semiconductor processing apparatus

Номер патента: US20230127177A1. Автор: Cornelis Thaddeus Herbschleb,Kelly Houben. Владелец: ASM IP Holding BV. Дата публикации: 2023-04-27.

Antenna and plasma processing apparatus

Номер патента: US20240304416A1. Автор: Hitoshi Kato,Hiroyuki Kikuchi. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-09-12.

Substrate processing apparatus

Номер патента: US20240145288A1. Автор: Yihwan Kim,Sangchul Han,Youngbok Lee,Seongkeun CHO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-05-02.

Apparatus for trapping multiple reaction by-products for semiconductor process

Номер патента: US12104247B2. Автор: Jin Woong Kim,Che Hoo CHO,Yeon Ju Lee,Ji Eun Han. Владелец: Milaebo Co Ltd. Дата публикации: 2024-10-01.

Semiconductor processing apparatus

Номер патента: SG160413A1. Автор: Sheng Lin. Владелец: Beijing NMC Co Ltd. Дата публикации: 2010-04-29.

Exhaust deposit buildup monitoring in semiconductor processing

Номер патента: WO2007095487A1. Автор: Raymond Joe,Jonathan Pettit. Владелец: Tokyo Electron America, Inc.. Дата публикации: 2007-08-23.

Showerhead tilt mechanism

Номер патента: US20190032214A1. Автор: Bin Luo,Timothy Scott Thomas,Damien Slevin,Dave Kamp. Владелец: Lam Research Corp. Дата публикации: 2019-01-31.

Showerhead tilt mechanism

Номер патента: WO2019022978A1. Автор: Bin Luo,Timothy Scott Thomas,Damien Slevin,David Kamp. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2019-01-31.

Showerhead tilt mechanism

Номер патента: US20190153601A1. Автор: Bin Luo,Timothy Scott Thomas,Damien Slevin,David Kamp. Владелец: Lam Research Corp. Дата публикации: 2019-05-23.

Semiconductor processing apparatus with improved uniformity

Номер патента: WO2021041002A1. Автор: JIAN Li,Paul Brillhart,Juan Carlos Rocha,Vinay K. PRABHAKAR,Viren KALSEKAR. Владелец: Applied Materials, Inc.. Дата публикации: 2021-03-04.

Simulating a chemical reaction phenomenon in a semiconductor process

Номер патента: US8548787B2. Автор: Takashi Ichikawa,Akio Ui,Toshiro Takase,Naoki Tamaoki. Владелец: Toshiba Corp. Дата публикации: 2013-10-01.

Electrostatic chuck for use in semiconductor processing

Номер патента: US11817341B2. Автор: Troy Alan Gomm. Владелец: Lam Research Corp. Дата публикации: 2023-11-14.

Electrostatic chuck for use in semiconductor processing

Номер патента: EP4258332A2. Автор: Troy Alan Gomm. Владелец: Lam Research Corp. Дата публикации: 2023-10-11.

Electrostatic chuck for use in semiconductor processing

Номер патента: EP3631846A1. Автор: Troy Alan Gomm. Владелец: Lam Research Corp. Дата публикации: 2020-04-08.

Electrostatic chuck for use in semiconductor processing

Номер патента: US20240038568A1. Автор: Troy Alan Gomm. Владелец: Lam Research Corp. Дата публикации: 2024-02-01.

Electrostatic chuck for use in semiconductor processing

Номер патента: EP4258332A3. Автор: Troy Alan Gomm. Владелец: Lam Research Corp. Дата публикации: 2024-01-03.

Semiconductor processing apparatus for processing a plurality of substrates with cross flow

Номер патента: EP4303337A1. Автор: Ivo Raaijmakers,Theodorus G.M. Oosterlaken. Владелец: ASM IP Holding BV. Дата публикации: 2024-01-10.

Plasma processing apparatus

Номер патента: US09574270B2. Автор: Jun Yoshikawa,Michitaka AITA. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-02-21.

Semiconductor processing system with gas line for transporting excited species and related methods

Номер патента: US20230290613A1. Автор: Varun Sharma,Tom Blomberg. Владелец: ASM IP Holding BV. Дата публикации: 2023-09-14.

Apparatus and methods for semiconductor processing

Номер патента: US20240258153A1. Автор: Joseph Yudovsky,Kaushal Gangakhedkar. Владелец: Applied Materials Inc. Дата публикации: 2024-08-01.

Semiconductor process chamber with heat pipe

Номер патента: US20210134565A1. Автор: Che-Fu Chen,Kai-Chin WEI. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-05-06.

Shower plate, plasma processing apparatus and plasma processing method

Номер патента: US12051564B2. Автор: Satoru Kawakami,Masaki Hirayama,Taro Ikeda. Владелец: Tohoku University NUC. Дата публикации: 2024-07-30.

Substrate processing apparatus

Номер патента: US20140346040A1. Автор: Akihiro Yokota,Shinji Himori,Etsuji Ito. Владелец: Tokyo Electron Ltd. Дата публикации: 2014-11-27.

Actuator to adjust dynamically showerhead tilt in a semiconductor- processing apparatus

Номер патента: US20200181776A1. Автор: John Wiltse. Владелец: Lam Research Corp. Дата публикации: 2020-06-11.

Rotating shaft sealing device and processing apparatus for semiconductor substrate using the same

Номер патента: US11764102B2. Автор: Hee Jang Rhee. Владелец: Sealink Corp. Дата публикации: 2023-09-19.

Semiconductor processing apparatus and semiconductor processing system

Номер патента: US20200168494A1. Автор: Hyun Ho CHOI. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-05-28.

Semiconductor processing device and method for monitoring wafer position status

Номер патента: EP4424887A1. Автор: Huan WANG. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2024-09-04.

RF current measurement in semiconductor processing tool

Номер патента: US12051630B2. Автор: Thomas Frederick,Sunil Kapoor. Владелец: Lam Research Corp. Дата публикации: 2024-07-30.

Laser processing apparatus

Номер патента: US20190047090A1. Автор: Masaya Suwa,Junki Sakamoto. Владелец: Shimadzu Corp. Дата публикации: 2019-02-14.

Method of manufacturing semiconductor device and substrate processing apparatus

Номер патента: US09966268B2. Автор: Arito Ogawa,Atsuro Seino. Владелец: HITACHI KOKUSAI ELECTRIC INC. Дата публикации: 2018-05-08.

Semiconductor process chamber contamination prevention system

Номер патента: US12090503B2. Автор: Che-Fu Chen,Kai-Chin WEI. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-17.

Semiconductor processing device

Номер патента: EP4135015A1. Автор: qing She,Jingfeng WEI. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2023-02-15.

Semiconductor processing apparatus

Номер патента: US20230162998A1. Автор: qing She,Jingfeng WEI. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2023-05-25.

Method for repairing semiconductor processing components

Номер патента: US09956589B2. Автор: Joung Il Kim. Владелец: Tokai Carbon Korea Co Ltd. Дата публикации: 2018-05-01.

Wafer carrying mechanism and semiconductor process apparatus

Номер патента: EP4234757A1. Автор: Bin Yu. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2023-08-30.

Substrate processing apparatus, substrate processing method and storage medium

Номер патента: US09790597B2. Автор: Kenichi Yamaga. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-10-17.

Semiconductor processing tool

Номер патента: US12084769B2. Автор: Chyi-Tsong Ni,Chih-Tsung Lee,Kuang-Wei Cheng,Sheng-chun YANG,Yung-Tsun LIU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-10.

Sputter source for semiconductor process chambers

Номер патента: US09620339B2. Автор: Prashanth Kothnur,Tza-Jing Gung,Anantha K. Subramani,Hanbing Wu. Владелец: Applied Materials Inc. Дата публикации: 2017-04-11.

Methods for processing a semiconductor substrate

Номер патента: US20240222097A1. Автор: Yu-Hsiang Cheng,Bo-Lin WU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-04.

Methods for processing a semiconductor substrate

Номер патента: US11972935B2. Автор: Yu-Hsiang Cheng,Bo-Lin WU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-30.

Method for producing a semiconductor device, and semiconductor device

Номер патента: US09754783B2. Автор: Katsunori Ueno,Ryo Tanaka,Masaharu Edo,Shinya Takashima. Владелец: Fuji Electric Co Ltd. Дата публикации: 2017-09-05.

Temperature change rate control device, method, and semiconductor process apparatus

Номер патента: US20230238261A1. Автор: Hongwei GENG. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2023-07-27.

Model-based failure mitigation for semiconductor processing systems

Номер патента: WO2023049285A1. Автор: Binbin Wang,Anshul Ashok VYAS,Liem Ferryanto,Ravi C. EDUPUGANTI. Владелец: Applied Materials, Inc.. Дата публикации: 2023-03-30.

Model-based failure mitigation for semiconductor processing systems

Номер патента: US11955358B2. Автор: Binbin Wang,Anshul Ashok VYAS,Liem Ferryanto,Ravi C. EDUPUGANTI. Владелец: Applied Materials Inc. Дата публикации: 2024-04-09.

Semiconductor processing apparatus and a method for processing a substrate

Номер патента: US12018365B2. Автор: Petri Raisanen,David Marquardt,Thomas Aswad. Владелец: ASM IP Holding BV. Дата публикации: 2024-06-25.

Semiconductor processing chamber with filament lamps having nonuniform heat output

Номер патента: US11842908B2. Автор: Sam Kim,Shiva K. T. Rajavelu Muralidhar. Владелец: ASM IP Holding BV. Дата публикации: 2023-12-12.

Semiconductor processing chamber with filament lamps having nonuniform heat output

Номер патента: US20240055279A1. Автор: Sam Kim,Shiva K.T. Rajavelu Muralidhar. Владелец: ASM IP Holding BV. Дата публикации: 2024-02-15.

Recess filling method and processing apparatus

Номер патента: US09865467B2. Автор: Daisuke Suzuki,Takumi Yamada,Youichirou CHIBA. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-01-09.

Substrate processing apparatus and recording medium

Номер патента: US20200098653A1. Автор: Naofumi Ohashi,Takafumi Sasaki,Kazuhiro Morimitsu,Shun Matsui,Tadashi Takasaki. Владелец: Kokusai Electric Corp. Дата публикации: 2020-03-26.

Method for cleaning components of plasma processing apparatus

Номер патента: US20190218663A1. Автор: Ryuichi Asako,Takao FUNAKUBO. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-07-18.

Optimizing Image Distortion in a Multi Beam Charged Particle Processing Apparatus

Номер патента: US20240304413A1. Автор: Christoph Spengler,Michael Haberler. Владелец: IMS Nanofabrication GmbH. Дата публикации: 2024-09-12.

Optimizing image distortion in a multi-beam charged-particle processing apparatus

Номер патента: EP4439625A1. Автор: Christoph Spengler,Michael Haberler. Владелец: IMS Nanofabrication GmbH. Дата публикации: 2024-10-02.

Semiconductor processing sheet

Номер патента: US20120208012A1. Автор: Keisuke Watanabe,Masakazu Morimoto,Masayoshi Natsume,Junki Mori. Владелец: Nitto Denko Corp. Дата публикации: 2012-08-16.

Purification of nucleic acids in a microfluidic chip by separation

Номер патента: AU2019211356A1. Автор: Derek Troiano. Владелец: PerkinElmer Health Sciences Inc. Дата публикации: 2020-07-30.

Purification of nucleic acids in a microfluidic chip by separation

Номер патента: AU2023266294A1. Автор: Derek Troiano. Владелец: PerkinElmer Health Sciences Inc. Дата публикации: 2023-12-07.

Purification of nucleic acids in a microfluidic chip by separation

Номер патента: AU2019211356B2. Автор: Derek Troiano. Владелец: Revvity Health Sciences Inc. Дата публикации: 2023-08-17.

Pressure-sensitive adhesive sheet for semiconductor processing

Номер патента: EP3919578A1. Автор: Shunpei Tanaka,Hiroki Kono,Taiki Ueno. Владелец: Nitto Denko Corp. Дата публикации: 2021-12-08.

Temperature controlling apparatus for mash in a mashing machine

Номер патента: GB1085150A. Автор: Duncan Grover Thomas. Владелец: LITTLEMILL DISTILLERY Co. Дата публикации: 1967-09-27.

Semiconductor process

Номер патента: US20110244678A1. Автор: Yu-Tsung Lai,Shih-Fang Tzou,Jiunn-Hsiung Liao,Jyh-Cherng Yau,Chang-Hsiao Lee,Ming-Da Hsieh. Владелец: United Microelectronics Corp. Дата публикации: 2011-10-06.

Semiconductor processing tape

Номер патента: MY181315A. Автор: Toru Sano,Masami Aoyama,Jirou Sugiyama. Владелец: Furukawa Electric Co Ltd. Дата публикации: 2020-12-21.

Depression filling method and processing apparatus

Номер патента: US09646879B2. Автор: Daisuke Suzuki,Takumi Yamada,Akinobu Kakimoto,Youichirou CHIBA. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-05-09.

Fabrication method and apparatus for fabricating a spatial structure in a semiconductor substrate

Номер патента: US20030082883A1. Автор: Wolfgang Welser. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2003-05-01.

Method for producing a semiconductor layer

Номер патента: US20140061863A1. Автор: Hans-Joachim Schulze,Rainer Winkler,Hans-Joerg Timme,Helmut Strack. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2014-03-06.

Method and apparatus for plating a semiconductor package

Номер патента: US20080299756A1. Автор: Somchai Nondhasitthichai,Chalermsak Sumithpibul,Apichart Phaowongsa. Владелец: UTAC Thai Ltd. Дата публикации: 2008-12-04.

Method for the purification of a semiconductor material by application of an oxidation-reduction reaction

Номер патента: EP2013379A2. Автор: Uwe Hermann Dobberstein. Владелец: GIRASOLAR BV. Дата публикации: 2009-01-14.

Method for the purification of a semiconductor material by application of an oxidation-reduction reaction

Номер патента: WO2007126309A2. Автор: Uwe Hermann Dobberstein. Владелец: Girasolar B.V.. Дата публикации: 2007-11-08.

System and Method for Controlling Compounding in a Brake Actuator

Номер патента: US20200114892A1. Автор: Christopher H. HUTCHINS,Daniel T. BOYCE. Владелец: BENDIX COMMERCIAL VEHICLE SYSTEMS LLC. Дата публикации: 2020-04-16.

Semiconductor processing apparatus having wafer re-orientation mechanism

Номер патента: EP1034123A1. Автор: Daniel J. Woodruff,Kyle Hanson,Vlad Zila,Mark Dix. Владелец: Semitool Inc. Дата публикации: 2000-09-13.

Information processing apparatus, inspection apparatus, and control method

Номер патента: US20240231713A1. Автор: Yukio Kanakubo. Владелец: Canon Inc. Дата публикации: 2024-07-11.

Information processing apparatus, inspection apparatus, and control method

Номер патента: EP4400961A1. Автор: Yukio Kanakubo. Владелец: Canon Inc. Дата публикации: 2024-07-17.

Image processing apparatus and image processing method

Номер патента: US20130142449A1. Автор: Masayoshi Shimizu,Yuushi Toyoda. Владелец: Fujitsu Ltd. Дата публикации: 2013-06-06.

Information processing apparatus, control method, and storage medium

Номер патента: US20240256257A1. Автор: Ryuhei Wakita,Gou Sasaki. Владелец: Canon Inc. Дата публикации: 2024-08-01.

Image processing apparatus, control method for image processing apparatus, and recording medium

Номер патента: US10129416B1. Автор: Jun Omata,Hiroyoshi Takamiya. Владелец: Canon Inc. Дата публикации: 2018-11-13.

Information processing apparatus, control method, and program

Номер патента: EP4407444A1. Автор: Ryuhei Wakita,Gou Sasaki. Владелец: Canon Inc. Дата публикации: 2024-07-31.

Information processing apparatus, control method therefor, and storage medium

Номер патента: US12113940B2. Автор: Kiichi Hasegawa,Satoshi Okuma. Владелец: Canon Inc. Дата публикации: 2024-10-08.

Information processing apparatus, information processing system, and control method therefor

Номер патента: US09606880B2. Автор: Yuji Aoki,Maya Watanabe. Владелец: Fujitsu Ltd. Дата публикации: 2017-03-28.

Substrate processing apparatus and substrate processing method

Номер патента: US20240096650A1. Автор: Masami Yamashita,Shogo Fukui,Tomofumi EMURA. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-03-21.

Information processing apparatus, information processing method, and program

Номер патента: US20230388732A1. Автор: Toru Nakagawa,Akihito Nakai,Masashi Fujihara. Владелец: Sony Group Corp. Дата публикации: 2023-11-30.

Image processing apparatus, image forming apparatus and program

Номер патента: US20190068802A1. Автор: Yuji Uchida. Владелец: KONICA MINOLTA INC. Дата публикации: 2019-02-28.

Recommendation method and information processing apparatus

Номер патента: US20230131330A1. Автор: Ken Kobayashi,Takuya Takagi,Kentaro Kanamori. Владелец: Fujitsu Ltd. Дата публикации: 2023-04-27.

Information processing apparatus and activation method therefor for processing data of a hibernation image

Номер патента: US09904559B2. Автор: Kensuke Kato. Владелец: Canon Inc. Дата публикации: 2018-02-27.

Signal processing apparatus, signal processing method, and signal processing program

Номер патента: US09715885B2. Автор: Akihiko Sugiyama,Ryoji Miyahara. Владелец: NEC Corp. Дата публикации: 2017-07-25.

Configuring and processing management information base (MIB) in a distributed environment

Номер патента: US09697017B2. Автор: Kentaro Yamada. Владелец: Fuji Xerox Co Ltd. Дата публикации: 2017-07-04.

Signal processing apparatus for wheel speed sensor

Номер патента: US09580053B2. Автор: Chang Woo Lee. Владелец: Mando Corp. Дата публикации: 2017-02-28.

Information processing apparatus, control method for information processing apparatus, and program

Номер патента: US20240220959A1. Автор: Kouta TSUCHIHASHI. Владелец: Fast Retailing Co Ltd. Дата публикации: 2024-07-04.

Substrate processing apparatus

Номер патента: US20240194517A1. Автор: Jong Gun Lee,Minyoung Kim,Jumi Lee,Jaeoh Bang. Владелец: Semes Co Ltd. Дата публикации: 2024-06-13.

Information processing apparatus, method for controlling information processing, and storage medium

Номер патента: US20240259508A1. Автор: Shodai Yano. Владелец: Canon Inc. Дата публикации: 2024-08-01.

Information processing apparatus and control method

Номер патента: US20210352185A1. Автор: Yuki Futatsumori. Владелец: Canon Inc. Дата публикации: 2021-11-11.

Information processing apparatus, method, system and computer program

Номер патента: EP3158724A1. Автор: Keiji Okamoto,Ryogo Ito,Kazuma Akamatsu,Shiro Eshita,Yoko Fukata. Владелец: Sony Corp. Дата публикации: 2017-04-26.

Printing apparatus, server apparatus and information processing apparatus

Номер патента: US20010019421A1. Автор: Makoto Kizawa. Владелец: Matsushita Graphic Communication Systems Inc. Дата публикации: 2001-09-06.

Information processing apparatus, information processing method, and program

Номер патента: US12086994B2. Автор: HIRONORI Hattori,Yuya Yamashita,Suguru YOKOYAMA. Владелец: Sony Group Corp. Дата публикации: 2024-09-10.

Information processing apparatus and method, recording medium, and program

Номер патента: US09785780B2. Автор: Satoshi Yoshida,Isao Itoh. Владелец: Sony Corp. Дата публикации: 2017-10-10.

Plasma processing method and plasma processing apparatus

Номер патента: US12033832B2. Автор: Shinji Kubota,Shinji Himori,Kazuya Nagaseki,Koichi Nagami. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-07-09.

Information processing apparatus, information processing method, and program

Номер патента: US20240046609A1. Автор: Sei Sato,Ryusuke Miyamoto. Владелец: NS Solutions Corp. Дата публикации: 2024-02-08.

Information processing apparatus, information processing method, and storage medium

Номер патента: US20220150365A1. Автор: Yohei Shogaki. Владелец: Canon Inc. Дата публикации: 2022-05-12.

Information processing apparatus, information processing method, and program

Номер патента: US20200217774A1. Автор: Kenji Yamane,Shigeatsu Yoshioka,Rei Murata. Владелец: Sony Corp. Дата публикации: 2020-07-09.

Image processing apparatus

Номер патента: WO2008123733A1. Автор: Nam Jung Her. Владелец: Samjung. Co., Ltd.. Дата публикации: 2008-10-16.

Signal processing apparatus, signal processing method, and signal processing program

Номер патента: US09858946B2. Автор: Akihiko Sugiyama,Ryoji Miyahara,Kwangsoo Park. Владелец: Renesas Electronics Corp. Дата публикации: 2018-01-02.

Plasma processing method and plasma processing apparatus

Номер патента: US12125672B2. Автор: Chishio Koshimizu. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-10-22.

A process and an apparatus to adjust the ignition timing of an internal combustion engine

Номер патента: EP4067639A1. Автор: Daire James CORRIGAN. Владелец: Ferrari SpA. Дата публикации: 2022-10-05.

Information processing apparatus, control method, and program

Номер патента: EP3751387A1. Автор: Hirokazu Sakamoto,Hiroyuki Sanami. Владелец: Canon Inc. Дата публикации: 2020-12-16.

Information processing apparatus, control method, and non-transitory computer-readable medium

Номер патента: US20200396349A1. Автор: Hirokazu Sakamoto,Hiroyuki Sanami. Владелец: Canon Inc. Дата публикации: 2020-12-17.

Information processing apparatus and image forming apparatus

Номер патента: US20200081368A1. Автор: Junichi Goda. Владелец: Canon Inc. Дата публикации: 2020-03-12.

Information processing apparatus and information processing method

Номер патента: US20170150309A1. Автор: Takatoshi Nakamura,Kazuyuki Sakoda,Akihiro Ihori,Erika Saito,Yueting HU. Владелец: Sony Corp. Дата публикации: 2017-05-25.

Information processing apparatus using swap file, control method therefor, and storage medium

Номер патента: US11797292B2. Автор: Yoko Tokumoto. Владелец: Canon Inc. Дата публикации: 2023-10-24.

Information processing apparatus, control method therefor, and storage medium

Номер патента: US20230319194A1. Автор: Kiichi Hasegawa,Satoshi Okuma. Владелец: Canon Inc. Дата публикации: 2023-10-05.

Substrate processing apparatus, substrate processing method, and program storage medium

Номер патента: US20090297705A1. Автор: Jiro Higashijima,Norihiro ITOH. Владелец: Tokyo Electron Ltd. Дата публикации: 2009-12-03.

Method for conveying sheet in post-processing apparatus

Номер патента: US20230331509A1. Автор: Takuro Mita,Taro Ishifune. Владелец: Canon Inc. Дата публикации: 2023-10-19.

Information processing apparatus, activation method of information processing apparatus, and storage medium

Номер патента: US20180129508A1. Автор: Xiaoli Wang. Владелец: Canon Inc. Дата публикации: 2018-05-10.

Image processing apparatus, image processing method, and program

Номер патента: US8699793B2. Автор: Satoru Komatsu. Владелец: Canon Inc. Дата публикации: 2014-04-15.

Substrate processing apparatus

Номер патента: US20190355594A1. Автор: Xu Haiyang,Koji Maeda,Hidetatsu Isokawa,Shun EHARA. Владелец: Ebara Corp. Дата публикации: 2019-11-21.

Information processing apparatus, method of controlling same, storage medium, and image forming apparatus

Номер патента: US11822928B2. Автор: Yoshiharu Ito. Владелец: Canon Inc. Дата публикации: 2023-11-21.

Recording medium and sound processing apparatus having library program for multiple processors

Номер патента: US11567727B2. Автор: Shintaro Noguchi,Hajime Komura. Владелец: Yamaha Corp. Дата публикации: 2023-01-31.

Information processing apparatus, information processing method, and program

Номер патента: US20230343052A1. Автор: Tsuyoshi Ishikawa,Jun Kimura,Shinichi Kawano,Ikuo Yamano. Владелец: Sony Group Corp. Дата публикации: 2023-10-26.

Workpiece processing apparatus including a resin coater and a resin grinder

Номер патента: US11819975B2. Автор: Katsuhiko Suzuki,Shinya Watanabe,Ichiro Yamahata. Владелец: Disco Corp. Дата публикации: 2023-11-21.

Information processing apparatus, control method for controlling the same and storage medium

Номер патента: US11971991B2. Автор: Naoki Tsuchitoi. Владелец: Canon Inc. Дата публикации: 2024-04-30.

Plasma processing apparatus and plasma processing method

Номер патента: US20220020574A1. Автор: Masaki Hirayama. Владелец: Tohoku University NUC. Дата публикации: 2022-01-20.

Workpiece processing apparatus including a resin coater and a resin grinder

Номер патента: MY202344A. Автор: Watanabe Shinya,SUZUKI Katsuhiko,YAMAHATA Ichiro. Владелец: Disco Corp. Дата публикации: 2024-04-24.

Information processing apparatus, information processing method and non-transitory storage medium

Номер патента: US20220027818A1. Автор: XIN Jin. Владелец: Toyota Motor Corp. Дата публикации: 2022-01-27.

Information processing apparatus for readout of data compressed in a mount format

Номер патента: US11843745B2. Автор: Yohei Shogaki. Владелец: Canon Inc. Дата публикации: 2023-12-12.

Information processing apparatus and information processing method

Номер патента: US20240029089A1. Автор: Tetsuya Ishida. Владелец: Toshiba TEC Corp. Дата публикации: 2024-01-25.

Information processing apparatus and information processing system

Номер патента: US20090019266A1. Автор: Seiji Maeda. Владелец: Toshiba Corp. Дата публикации: 2009-01-15.

Information processing apparatus, method for controlling information processing, and storage medium

Номер патента: US20220141345A1. Автор: Shodai Yano. Владелец: Canon Inc. Дата публикации: 2022-05-05.

Information processing apparatus, method for controlling information processing, and storage medium

Номер патента: US12010275B2. Автор: Shodai Yano. Владелец: Canon Inc. Дата публикации: 2024-06-11.

Recording medium and sound processing apparatus

Номер патента: US20210064332A1. Автор: Shintaro Noguchi,Hajime Komura. Владелец: Yamaha Corp. Дата публикации: 2021-03-04.

Information processing apparatus, color profile generation system, information processing method, and recording medium

Номер патента: US20190260912A1. Автор: Yuki Matsushima. Владелец: Ricoh Co Ltd. Дата публикации: 2019-08-22.

Information processing apparatus, information processing method, and storage medium

Номер патента: US20210248099A1. Автор: Keiji Hasegawa. Владелец: NEC Corp. Дата публикации: 2021-08-12.

Video processing apparatus

Номер патента: US20210259518A1. Автор: Brian Nielsen,Kasper Rieland Jakobsen,Line Sandahl Ubbesen,Henrik Frengler,Nai-Hua Chen. Владелец: Ambu AS. Дата публикации: 2021-08-26.

Information processing apparatus, information processing method, and information processing system

Номер патента: US20230322111A1. Автор: Masanori Okazaki. Владелец: Sony Group Corp. Дата публикации: 2023-10-12.

Stage and plasma processing apparatus

Номер патента: US11923228B2. Автор: Takehiro Ueda. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-03-05.

Plasma processing method and plasma processing apparatus

Номер патента: US20240006154A1. Автор: Shinji Himori,Chishio Koshimizu,Koichi Nagami,Takenobu Ikeda,Shin Hirotsu. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-01-04.

Plasma processing method and plasma processing apparatus

Номер патента: US20210159049A1. Автор: Shinji Kubota,Shinji Himori,Kazuya Nagaseki,Koichi Nagami. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-05-27.

Substrate processing apparatus

Номер патента: US20170040188A1. Автор: Noriyuki Kikumoto. Владелец: Screen Holdings Co Ltd. Дата публикации: 2017-02-09.

Information processing apparatus, program, and information processing system

Номер патента: US20210001808A1. Автор: Takeshi Morikawa,Takehisa Yamaguchi. Владелец: KONICA MINOLTA INC. Дата публикации: 2021-01-07.

Plasma processing method and plasma processing apparatus

Номер патента: US20230360882A1. Автор: Chishio Koshimizu. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-11-09.

Plasma processing method and plasma processing apparatus

Номер патента: US11764034B2. Автор: Shinji Himori,Chishio Koshimizu,Koichi Nagami,Takenobu Ikeda,Shin Hirotsu. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-09-19.

Unauthorized usage prevention system and information processing apparatus

Номер патента: EP1901195A3. Автор: Masato Amano. Владелец: Ricoh Co Ltd. Дата публикации: 2013-05-08.

Etching method and plasma processing apparatus

Номер патента: US20240071723A1. Автор: Koki Tanaka,Masahiko Yokoi,Ryutaro Suda,Ryu NAGAI,Ikko Tanaka. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-02-29.

Etching method and plasma processing apparatus

Номер патента: US20230298898A1. Автор: Shingo Takahashi,Shogo Yamaya. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-09-21.

Handling of hard errors in a cache of a data processing apparatus

Номер патента: US20090164727A1. Автор: Andrew Christopher Rose,Antony John Penton,Alex James Waugh,Paul Stanley Hughes. Владелец: ARM LTD. Дата публикации: 2009-06-25.

Handling of hard errors in a cache of a data processing apparatus

Номер патента: US7987407B2. Автор: Luc Orion,Damien Rene Gille. Владелец: ARM LTD. Дата публикации: 2011-07-26.

Semiconductor processing flow field control apparatus and method

Номер патента: US20210225673A1. Автор: Che-Fu Chen,Kai-Chin WEI. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-07-22.

Semiconductor processing module with integrated feedback/feed forward metrology

Номер патента: WO2003007365A3. Автор: BO Su,Kevin P Fairbairn. Владелец: Applied Materials Inc. Дата публикации: 2003-08-28.

Semiconductor processing module with integrated feedback/feed forward metrology

Номер патента: EP1405338A2. Автор: BO Su,Kevin P. Fairbairn. Владелец: Applied Materials Inc. Дата публикации: 2004-04-07.

Semiconductor processing module with integrated feedback/feed forward metrology

Номер патента: WO2003007365A2. Автор: BO Su,Kevin P. Fairbairn. Владелец: Applied Materials, Inc.. Дата публикации: 2003-01-23.

Semiconductor process device and wafer support structure thereof

Номер патента: EP4411796A1. Автор: Jun Zhang,qing She,Jingfeng WEI,Shoulin HUANG. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2024-08-07.

Method for operating a semiconductor processing apparatus

Номер патента: US20050089625A1. Автор: Hideyuki Yamamoto,Ken Yoshioka,Saburou Kanai,Ryoji Nishio,Seiichiro Kanno,Hideki Kihara. Владелец: Individual. Дата публикации: 2005-04-28.

Cooling electronic devices in a data center

Номер патента: US09961803B2. Автор: Soheil Farshchian,Gregory P. Imwalle,Emad Samadiani,Eehern J. Wong. Владелец: Google LLC. Дата публикации: 2018-05-01.

Plasma processing apparatus

Номер патента: US20240222096A1. Автор: Takashi Uemura,Shengnan Yu,Shunsuke Tashiro. Владелец: Hitachi High Tech Corp. Дата публикации: 2024-07-04.

Protecting device for a semiconductor memory apparatus

Номер патента: US3896341A. Автор: Koji Kodama. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1975-07-22.

Apparatus and method for selectively restricting process fluid flow in semiconductor processing

Номер патента: US20010031560A1. Автор: David Rose. Владелец: Individual. Дата публикации: 2001-10-18.

Method of managing semiconductor processing apparatus

Номер патента: US20240272561A1. Автор: Seungbeom Park,Hojun Lee,Myungjun Lee,Junho SHIN,Wookrae Kim,Jangwoon SUNG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-15.

Process assembly for semiconductor processing and semiconductor processing method

Номер патента: US20240355649A1. Автор: Peter Volk,Jan Dirk Kähler. Владелец: Centrotherm International AG. Дата публикации: 2024-10-24.

Improvements in a method and a device for controlling motions, particularly in machining solid materials

Номер патента: GB356326A. Автор: . Владелец: Individual. Дата публикации: 1931-09-10.

Temperature control of semiconductor processing chambers

Номер патента: WO2014040038A2. Автор: Michael Nam,David Gunther,Jae Yeol Park,Kyle PETERSEN. Владелец: Semicat, Inc.. Дата публикации: 2014-03-13.

Semiconductor process formula acquisition method and system and semiconductor process device

Номер патента: EP4428780A1. Автор: Yuanwei LIN. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2024-09-11.

Semiconductor process apparatus and plasma ignition method

Номер патента: US20230411120A1. Автор: JING Yang,Gang Wei,Chenyu ZHONG. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2023-12-21.

Information processing apparatus, information processing system, and part ordering method

Номер патента: US20220207492A1. Автор: Masakazu Yamamoto,Tadashi Enomoto. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-06-30.

Replacing end effectors in semiconductor processing systems

Номер патента: US12119256B2. Автор: Dongyang Chen. Владелец: ASM IP Holding BV. Дата публикации: 2024-10-15.

System and method for controlling web output in an envelope processing apparatus

Номер патента: US09522510B2. Автор: Lon S. Robinson, III,Edward Kishinevsky. Владелец: Tension International Inc. Дата публикации: 2016-12-20.

Method and device for measuring the diffusion length of minority carriers in a semiconductor sample

Номер патента: US20050237080A1. Автор: Uwe Hermes. Владелец: Individual. Дата публикации: 2005-10-27.

Semiconductor processing tool and methods of operation

Номер патента: US12068169B2. Автор: Liang-Guang Chen,Kei-Wei Chen,Ji Cui,Chih Hung Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Chamber liner for semiconductor process chambers

Номер патента: EP1125317A2. Автор: Michael Barnes,Alan M. Schoepp,William M. Denty, Jr.. Владелец: Lam Research Corp. Дата публикации: 2001-08-22.

Semiconductor processing tool and methods of operation

Номер патента: US20240363361A1. Автор: Liang-Guang Chen,Kei-Wei Chen,Ji Cui,Chih Hung Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Image processing apparatus, image processing method, and image processing program therefore

Номер патента: US09967435B2. Автор: Masato Kobayashi. Владелец: Canon Inc. Дата публикации: 2018-05-08.

Multiplexed heater array using AC drive for semiconductor processing

Номер патента: US09775194B2. Автор: John Pease,Neil Benjamin. Владелец: Lam Research Corp. Дата публикации: 2017-09-26.

Method and apparatus for providing mask in semiconductor processing

Номер патента: WO2007136515A1. Автор: Jonathan Kim,Camelia Rusu,Yoojin Kim. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2007-11-29.

Hydraulic circuit for a swing system in a machine

Номер патента: WO2021216214A1. Автор: Corey L. Gorman,Rustin G. Metzger,Adam M. Nackers,Christopher M. RUEMELIN,Joshua A. FOSSUM. Владелец: CATERPILLAR INC.. Дата публикации: 2021-10-28.

Hydraulic circuit for a swing system in a machine

Номер патента: AU2021258813A1. Автор: Corey L. Gorman,Rustin G. Metzger,Adam M. Nackers,Christopher M. RUEMELIN,Joshua A. FOSSUM. Владелец: Caterpillar Inc. Дата публикации: 2022-11-24.

Apparatus and method for controlling die force in a semiconductor device testing assembly

Номер патента: WO2006138655A9. Автор: Troy Taylor,Steve Wetzel. Владелец: Steve Wetzel. Дата публикации: 2007-04-19.

Photoactive adhesion promoter in a slam

Номер патента: US20060216634A1. Автор: Kevin O'Brien,Robert Meagley,Heidi Cao. Владелец: Intel Corp. Дата публикации: 2006-09-28.

Semiconductor processing methods and semiconductor defect detection methods

Номер патента: US20010024836A1. Автор: Garry Mercaldi,Michael Nuttal. Владелец: Individual. Дата публикации: 2001-09-27.

Dynamic backward seat sliding before impact in a commercial vehicle

Номер патента: US20220048407A1. Автор: Raphael Ribero,Thomas PARTARRIEU. Владелец: Volvo Truck Corp. Дата публикации: 2022-02-17.

Method for oxidizing a structure during the fabrication of a semiconductor device

Номер патента: EP2063464A3. Автор: Takayuki Niuya,Ming Hwang,Boyang Lin,Song C. Park. Владелец: Texas Instruments Inc. Дата публикации: 2009-06-17.

Method and apparatus for minimizing contamination in semiconductor processing chamber

Номер патента: WO2010090781A2. Автор: Eric Shero,Joseph C. Reed. Владелец: ASM AMERICA, INC.. Дата публикации: 2010-08-12.

Information processing apparatus and information processing method

Номер патента: US8316053B2. Автор: Makoto Anno. Владелец: Canon Inc. Дата публикации: 2012-11-20.

Gesture based input system in a vehicle with haptic feedback

Номер патента: US09718477B2. Автор: Dat Truong. Владелец: Immersion Corp. Дата публикации: 2017-08-01.

A semiconductor substrate processing apparatus and method thereof

Номер патента: EP1787315A1. Автор: Steven Verhaverbeke,Brian J. Brown. Владелец: Applied Materials Inc. Дата публикации: 2007-05-23.

Barcode reading mechanism, reading method, and substrate processing apparatus

Номер патента: US20100025471A1. Автор: Tadashi Inoue,Satoshi Nakazawa. Владелец: Fujitsu Ltd. Дата публикации: 2010-02-04.

Semiconductor process

Номер патента: US20140256115A1. Автор: Chih-Chien Liu,Chia-Lung Chang,Jui-Min Lee,Yuh-Min Lin,Jei-Ming Chen. Владелец: United Microelectronics Corp. Дата публикации: 2014-09-11.

Fluid-operated gripping apparatus and method for holding a workpiece in a working orientation

Номер патента: EP3906206A1. Автор: Alejandro AGUILAR. Владелец: Corning Inc. Дата публикации: 2021-11-10.

Substrate storage racks for semiconductor processing systems

Номер патента: US20230143667A1. Автор: Senthil Sivaraman,Gurupkar Nerwal. Владелец: ASM IP Holding BV. Дата публикации: 2023-05-11.

Semiconductor processing tool and methods of operation

Номер патента: US12111583B2. Автор: Heng-Hsin Liu,Li-Jui Chen,Kai-Chieh Chang,Kai-Fa Ho. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-08.

Kit for mounting an actuator to a valve

Номер патента: US09964226B2. Автор: John P. Scaramucci,Danny R. Lowe,Jason C. Thorp. Владелец: Valve Innovations LLC. Дата публикации: 2018-05-08.

Device for operating multiple functions in a motor vehicle

Номер патента: US09672994B2. Автор: Michael Bleckmann,Corinna Machatzke. Владелец: Leopold Kostal GmbH and Co KG. Дата публикации: 2017-06-06.

Synchronized array of vibration actuators in a network topology

Номер патента: US09459632B2. Автор: Rob MORRIS,John Houston,Nathan Delson,Gabe GRAHAM. Владелец: Coactive Drive Corp. Дата публикации: 2016-10-04.

Liquid processing apparatus and liquid processing method

Номер патента: US20180032092A1. Автор: Hiroshi Komiya,Keigo Satake,Kouji Ogura. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-02-01.

Plasma processing apparatus and plasma processing method

Номер патента: US20130045547A1. Автор: Kenji Nakata,Atsushi Itou,Kouichi Yamamoto,Masaru Izawa. Владелец: Hitachi High Technologies Corp. Дата публикации: 2013-02-21.

Foreign substance inspection apparatus, processing apparatus, and article manufacturing method

Номер патента: US11175239B2. Автор: Akira Yabuki. Владелец: Canon Inc. Дата публикации: 2021-11-16.

Semiconductor process system and method

Номер патента: US20200035528A1. Автор: Shih-Ming Chin,Hsiao-Chi Huang,Han-Ming Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-30.

Controlling damper friction effects in a suspension

Номер патента: WO2019083542A1. Автор: Atsushi Yokoyama,Akshay Gokhale. Владелец: Hitachi Automotive Systems, Ltd.. Дата публикации: 2019-05-02.

Substrate processing apparatus with capabilities of automatic device configuration and a method thereof

Номер патента: US20240210906A1. Автор: Tsutomu Makino,Trung Ngo Minh. Владелец: ASM IP Holding BV. Дата публикации: 2024-06-27.

Sound processing apparatus and method for sound enhancement

Номер патента: EP3797415A1. Автор: Jing HAN,Panji Setiawan,Peter GROSCHE,Wenyu Jin,Gil Keren,Bjoern Schuller. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2021-03-31.

Method and system for compensating manufacturing error in semiconductor process

Номер патента: US20240332094A1. Автор: Wen-Shian Chen. Владелец: Prosemi Co Ltd. Дата публикации: 2024-10-03.

Characterization of stick-slip condition in a steering system

Номер патента: US09950736B2. Автор: Ian Y. Hwa. Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2018-04-24.

Controlling active isolation platform in a moving vehicle

Номер патента: US09944206B2. Автор: Lawrence D. Knox,Travis Lee Hein. Владелец: ClearMotion Acquisition I LLC. Дата публикации: 2018-04-17.

Applicator for delivering an occluding compound in a fallopian tube

Номер патента: US09839555B2. Автор: Jan Albert De Vries,Ruben Van Der Vleuten. Владелец: UROGYN BV. Дата публикации: 2017-12-12.

Device for redirecting sheets in a printing system

Номер патента: US09738097B2. Автор: Joseph A. SCHULKES,Erik E. M. Nolting,Marinus T. W. Gruntjens. Владелец: Oce Technologies BV. Дата публикации: 2017-08-22.

Semiconductor processing apparatus having a moving member and a force compensator therefor

Номер патента: US20020088949A1. Автор: Geoffrey Ryding. Владелец: Applied Materials Inc. Дата публикации: 2002-07-11.

Semiconductor processing device, semiconductor processing system and semiconductor processing management method

Номер патента: US20030157736A1. Автор: Hiroshi Matsushita. Владелец: Toshiba Corp. Дата публикации: 2003-08-21.

System for controlling noise in a window assembly

Номер патента: US09551180B2. Автор: Jian Xu,Kevin D. Vilhauer. Владелец: Milgard Manufacturing LLC. Дата публикации: 2017-01-24.

Method and apparatus for starting process task in semiconductor process device

Номер патента: EP4199039A1. Автор: Fangna WU,Sixue SHI. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2023-06-21.

System and method to adjust extension lengths of slats of a work platform relative to a workpiece

Номер патента: US20230038516A1. Автор: Samuel James Knutson,Brian Timothy Peters. Владелец: Boeing Co. Дата публикации: 2023-02-09.

Electromotive actuator to adjust height with friction sleeve

Номер патента: US11933387B2. Автор: Rainer Bruhn,Martin Spielmann. Владелец: Nidec Motors and Actuators Germany GmbH. Дата публикации: 2024-03-19.

Processing task start method and device in semiconductor processing apparatus

Номер патента: US20230307272A1. Автор: Fangna WU,Sixue SHI. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2023-09-28.

System and method to adjust extension lengths of slats of a work platform relative to a workpiece

Номер патента: EP4129831A1. Автор: Samuel James Knutson,Brian Timothy Peters. Владелец: Boeing Co. Дата публикации: 2023-02-08.

Hydraulic system and method for controlling pressure in a hydraulic system

Номер патента: EP3390868A1. Автор: Stefan VAN RAEMSDONCK,Dennis VAN LEEUWEN,Sebastiaan VOORDEHAKE. Владелец: Punch Powertrain NV. Дата публикации: 2018-10-24.

Device of purifying hydrogen fluoride in semiconductor process waste gas

Номер патента: US10293305B2. Автор: Wu-Yu Fong. Владелец: Orient Service Co Ltd. Дата публикации: 2019-05-21.

Process chamber, semiconductor process device, and semiconductor process method

Номер патента: EP4421853A1. Автор: Yongfei WANG,Wenkai CHI. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2024-08-28.

Method of fabricating a tungsten plug in a semiconductor device

Номер патента: US11532560B2. Автор: HONG Yang,Yunlong Liu,Yufei Xiong. Владелец: Texas Instruments Inc. Дата публикации: 2022-12-20.

Cyclic etch-ash process for semiconductor processing

Номер патента: US20240274432A1. Автор: Michael O'Toole,Gregory McKee,Gordon Nielsen,Aravindsekar Pandiasekar. Владелец: Texas Instruments Inc. Дата публикации: 2024-08-15.

Semiconductor processing system

Номер патента: US12080570B2. Автор: Gerald Zheyao Yin,Heng Tao. Владелец: Advanced Micro Fabrication Equipment Inc. Дата публикации: 2024-09-03.

Information processing apparatus and method

Номер патента: US20240349008A1. Автор: Wataru Kaku. Владелец: Toyota Motor Corp. Дата публикации: 2024-10-17.

Cooling electronic devices in a data center

Номер патента: US9552025B2. Автор: Soheil Farshchian,Gregory P. Imwalle,Emad Samadiani,Eehern J. Wong. Владелец: Google LLC. Дата публикации: 2017-01-24.

System and method for detecting liquid flow from a nozzle in a semiconductor processing device

Номер патента: US20060091335A1. Автор: Kenneth Roberts. Владелец: Texas Instruments Inc. Дата публикации: 2006-05-04.

Sheet processing apparatus with improved productivity, image forming system and image forming apparatus

Номер патента: US8210514B1. Автор: Hitoshi Kato,Naoki Ishikawa,Yasuo Fukatsu. Владелец: Canon Inc. Дата публикации: 2012-07-03.

Method of fabricating a tungsten plug in a semiconductor device

Номер патента: US20160126193A1. Автор: HONG Yang,Yunlong Liu,Yufei Xiong. Владелец: Texas Instruments Inc. Дата публикации: 2016-05-05.

Method of manufacturing semiconductor device, substrate processing apparatus and recording medium

Номер патента: US09974191B2. Автор: Yasutoshi Tsubota. Владелец: HITACHI KOKUSAI ELECTRIC INC. Дата публикации: 2018-05-15.

Method for detecting a burst hose in a hydraulic system

Номер патента: US09933328B2. Автор: Michael Berne Rannow. Владелец: Eaton Intelligent Power Ltd. Дата публикации: 2018-04-03.

Processing apparatus and particle securing method

Номер патента: US09874517B2. Автор: Sayaka Morita,Keita Saito,Midori Shimomura,Dai SUWAMA. Владелец: KONICA MINOLTA INC. Дата публикации: 2018-01-23.

Lithography system and semiconductor processing process

Номер патента: US09753373B2. Автор: Chia-Hung Wang,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2017-09-05.

Plasma processing apparatus and plasma processing method

Номер патента: US09704731B2. Автор: Masaru Izawa,Go Miya,Takumi Tandou. Владелец: Hitachi High Technologies Corp. Дата публикации: 2017-07-11.

Smart window for semiconductor processing tool

Номер патента: US09612207B2. Автор: Xinxin He,Cameron Paul Simoes. Владелец: Lam Research Corp. Дата публикации: 2017-04-04.

Semiconductor process

Номер патента: US20150132867A1. Автор: Chun-Chieh Lin,Yu-Pin Tsai,Yu-Cheng Tsao,Cheng-Hung Wang,Hsiu-Hsiung YANG. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2015-05-14.

Method for setting an operational parameter in a medical device

Номер патента: US12014818B2. Автор: Rémy WOLFF,Benjamin MAZAS. Владелец: FRESENIUS VIAL SAS. Дата публикации: 2024-06-18.

Matching unit for semiconductor plasma processing apparatus

Номер патента: US20050098116A1. Автор: Yukio Sato,Katsumi Takahashi,Etsuo Yamagishi,Taku Fukada. Владелец: Pearl Kogyo Co Ltd. Дата публикации: 2005-05-12.

Printhead cartridge for releasable mounting in a printer

Номер патента: US20110316944A1. Автор: Kia Silverbrook,Akira Nakazawa,Norman Micheal Berry. Владелец: SILVERBROOK RESEARCH PTY LTD. Дата публикации: 2011-12-29.

Method of training semiconductor process image generator

Номер патента: US20230177815A1. Автор: Hyeok Lee,Jaewon Yang,Sangchul Yeo,Sooryong Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-06-08.

Cleaning process and semiconductor processing method

Номер патента: US12112939B2. Автор: Zhaopei CUI,Bingyu ZHU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-10-08.

Tomographic image processing apparatus, tomographic image processing method and program

Номер патента: US09901249B2. Автор: Kenji Muto. Владелец: Canon Inc. Дата публикации: 2018-02-27.

Semiconductor process

Номер патента: US09564376B2. Автор: Chun-Chieh Lin,Yu-Pin Tsai,Yu-Cheng Tsao,Cheng-Hung Wang,Hsiu-Hsiung YANG. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2017-02-07.

Semiconductor process

Номер патента: US09449829B1. Автор: Yu-Ren Wang,Chien-Liang Lin,Yu-Tung Hsiao. Владелец: United Microelectronics Corp. Дата публикации: 2016-09-20.

Pre-processing apparatus and method for speech recognition

Номер патента: US09437217B2. Автор: Min Ho Kwon. Владелец: Hyundai Mobis Co Ltd. Дата публикации: 2016-09-06.

Method for passivating a through hole of a semiconductor plate

Номер патента: RU2745656C1. Автор: Александер ФРЕЙ. Владелец: АЦУР СПЭЙС Золяр Пауер ГмбХ. Дата публикации: 2021-03-30.

Semiconductor process

Номер патента: US20160379864A1. Автор: Bin-Siang Tsai,Wei-Hsin Liu. Владелец: United Microelectronics Corp. Дата публикации: 2016-12-29.

Method and device using silicide contacts for semiconductor processing

Номер патента: US20030235984A1. Автор: David Brown,Simon Chan,Eric Paton,Paul Besser. Владелец: Individual. Дата публикации: 2003-12-25.

Method using silicide contacts for semiconductor processing

Номер патента: WO2004001826A1. Автор: Eric N. Paton,Simon S. Chan,Paul R. Besser,David E. Brown. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2003-12-31.

Thermal processing apparatus using microwave and operation method thereof

Номер патента: US20240107639A1. Автор: Yoon Seok Choi,Sang Jeong Lee,Han Lim KANG,Yun Sang Kim,Hyun Woo Jo. Владелец: Semes Co Ltd. Дата публикации: 2024-03-28.

Diode comprising a semiconductor body

Номер патента: US20190378896A1. Автор: Bernhard Konig,Paul Strobel. Владелец: Semikron Elektronik GmbH and Co KG. Дата публикации: 2019-12-12.

Substrate processing apparatus and substrate processing method

Номер патента: US20240100647A1. Автор: Boun Yoon,Donghoon Kwon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-03-28.

Diode comprising a semiconductor body

Номер патента: US10756173B2. Автор: Bernhard Konig,Paul Strobel. Владелец: Semikron Elektronik GmbH and Co KG. Дата публикации: 2020-08-25.

Methods for Semiconductor Process Chamber

Номер патента: US20240266149A1. Автор: Qi Wang,Akiteru Ko,Sergey Voronin,Hamed Hajibabaeinajafabadi. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-08-08.

Dynamically adjusting operation of a circuit within a semiconductor device

Номер патента: US20090072855A1. Автор: Sujeet Ayyapureddi,Raghukiran Sreeramaneni. Владелец: Micron Technology Inc. Дата публикации: 2009-03-19.

Methods for semiconductor process chamber

Номер патента: WO2024163137A1. Автор: Qi Wang,Akiteru Ko,Sergey Voronin,Hamed Hajibabaeinajafabadi. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2024-08-08.

Image processing apparatus, method for controlling image processing apparatus, and storage medium

Номер патента: US20240333848A1. Автор: Yoshiro Tachibana. Владелец: Canon Inc. Дата публикации: 2024-10-03.

Cooled pin lifter paddle for semiconductor substrate processing apparatus

Номер патента: US09859145B2. Автор: Andreas Fischer,Dean Larson. Владелец: Lam Research Corp. Дата публикации: 2018-01-02.

Methods for particle reduction in semiconductor processing

Номер патента: US09721783B2. Автор: Hung-Wen Chang,Tien-Chih CHENG,Du-Cheng Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-08-01.

Semiconductor process

Номер патента: US09543195B1. Автор: Bin-Siang Tsai,Wei-Hsin Liu. Владелец: United Microelectronics Corp. Дата публикации: 2017-01-10.

Pivotal latching mechanism with interengageable latch arm and catch in a sectionalizer assembly

Номер патента: CA2245794C. Автор: Daren A. Clark,Marc S. Bacon. Владелец: Hubbell Inc. Дата публикации: 2008-04-08.

Method and Apparatus for the Control of Flow in a Channel

Номер патента: GB2467640A. Автор: Richard Warren Jones. Владелец: Hymetrics Ltd. Дата публикации: 2010-08-11.

Semiconductor Processing Apparatus

Номер патента: US20220076918A1. Автор: Akira Nishioka,Masashi Fujita,Shuichi Nakagawa,Takaaki Kikuchi,Kenta Nomura,Naoya Ishigaki,Masaki Mizuochi. Владелец: Hitachi High Tech Corp. Дата публикации: 2022-03-10.

Semiconductor process tool incorporating heat exchanger

Номер патента: US20030167781A1. Автор: Sanjiv Patel,Taylor Thompson. Владелец: Individual. Дата публикации: 2003-09-11.

Semiconductor process apparatus and power control method

Номер патента: US20240006170A1. Автор: JING Yang,JING Wei,Gang Wei,Guodao SHAN. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2024-01-04.

Low resistance semiconductor process and structures

Номер патента: US20030082907A1. Автор: Kunal Parekh,Michael Hermes. Владелец: Individual. Дата публикации: 2003-05-01.

I/O cell configuration for a differential amplifier on a semiconductor chip and semiconductor package including the same

Номер патента: EP2930745A3. Автор: Shohei Fukuda. Владелец: Toshiba Corp. Дата публикации: 2016-01-06.

Information processing apparatus, information processing system, and semiconductor storage device

Номер патента: US20240194242A1. Автор: Akihisa Fujimoto,Atsushi Kondo. Владелец: Kioxia Corp. Дата публикации: 2024-06-13.

In line water scrubber system for semiconductor processing

Номер патента: EP4395913A1. Автор: Imad Mahawili. Владелец: Edwards Vacuum LLC. Дата публикации: 2024-07-10.

In line water scrubber system for semiconductor processing

Номер патента: WO2023031819A1. Автор: Imad Mahawili. Владелец: Edwards Vacuum LLC. Дата публикации: 2023-03-09.

Semiconductor processing method using virtual modules

Номер патента: EP1782139A1. Автор: Merritt Funk,Wesley Natzle. Владелец: Tokyo Electron Ltd. Дата публикации: 2007-05-09.

Plasma processing apparatus, and temperature control method

Номер патента: US12063717B2. Автор: Shinsuke Oka. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-08-13.

A semiconductor package assembly

Номер патента: EP4350764A1. Автор: Hans-Juergen Funke,Tim Böttcher,Ivan Shiu. Владелец: Nexperia BV. Дата публикации: 2024-04-10.

Plasma processing apparatus and plasma processing method

Номер патента: US20090001052A1. Автор: Takashi Kaneko,Akitaka Makino,Koichi Mishima,Toyoharu Okumoto. Владелец: Individual. Дата публикации: 2009-01-01.

System with controller and method for controlling a park-interlock device in a vehicle

Номер патента: US20030060327A1. Автор: John Williams,Gerald Fattic. Владелец: Delphi Technologies Inc. Дата публикации: 2003-03-27.

Information processing apparatus and information processing system

Номер патента: GB2585405A. Автор: Kanaami Junya. Владелец: Fujitsu Client Computing Ltd. Дата публикации: 2021-01-13.

Method for Forming a Semiconductor Device and a Semiconductor Device

Номер патента: US20170229539A1. Автор: Hans-Joachim Schulze,Philipp Seng. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-08-10.

Internal packaging of a semiconductor device mounted on die pads

Номер патента: US8395364B2. Автор: Yukihiro Sato,Tomoaki Uno. Владелец: Renesas Electronics Corp. Дата публикации: 2013-03-12.

Semiconductor Die, Semiconductor Device and Method for Forming a Semiconductor Die

Номер патента: US20230103023A1. Автор: Klaus Herold,Thomas Wagner,Martin Ostermayr,Joachim Singer. Владелец: Intel Corp. Дата публикации: 2023-03-30.

Semiconductor integrated circuit and information processing apparatus

Номер патента: US12057186B2. Автор: Atsushi Kawasumi. Владелец: Kioxia Corp. Дата публикации: 2024-08-06.

Method of fabricating a semiconductor component

Номер патента: US20030205733A1. Автор: Gerald Deboy,Helmut Strack. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2003-11-06.

Semiconductor processing device

Номер патента: US20240282596A1. Автор: Zhikai Wang,Sophia Wen. Владелец: Huaying Research Co Ltd. Дата публикации: 2024-08-22.

Dynamic traits in a conversational agent

Номер патента: WO2024175172A1. Автор: Maria Luisa RIPOLL. Владелец: Huawei Technologies Co., Ltd.. Дата публикации: 2024-08-29.

Semiconductor die, semiconductor device and method for forming a semiconductor die

Номер патента: EP4406019A1. Автор: Klaus Herold,Thomas Wagner,Martin Ostermayr,Joachim Singer. Владелец: Intel Corp. Дата публикации: 2024-07-31.

Method for preparing a semiconductor apparatus

Номер патента: US20180226380A1. Автор: Po-Chun Lin,Chin-Lung Chu. Владелец: Nanya Technology Corp. Дата публикации: 2018-08-09.

Hexapod-based pedestal systems for use in semiconductor processing operations

Номер патента: EP4449489A1. Автор: Jacob Lee Hiester,Jason Gordon GALGINAITIS,Richard M BLANK. Владелец: Lam Research Corp. Дата публикации: 2024-10-23.

Semiconductor component having a semiconductor body with a cutout

Номер патента: US09923072B2. Автор: Martin Poelzl. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2018-03-20.

Method for forming a semiconductor device and a semiconductor device

Номер патента: US09911808B2. Автор: Hans-Joachim Schulze,Philipp Seng. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-03-06.

Method for forming a semiconductor device

Номер патента: US09613805B1. Автор: Rudolf Berger,Werner Schustereder,Johannes Laven,Holger Schulze,Roman Baburske,Thomas Gutt. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-04-04.

Method of manufacturing a semiconductor device

Номер патента: US09564334B2. Автор: Haruo Nakazawa,Masaaki Ogino,Kenichi Iguchi,Tsunehiro Nakajima,Masaaki TACHIOKA. Владелец: Fuji Electric Co Ltd. Дата публикации: 2017-02-07.

Method and apparatus for distributing articles in a storage compartment

Номер патента: US09409726B2. Автор: Henrik Pape. Владелец: Reddy Ice Technology LLC. Дата публикации: 2016-08-09.

Apparatus and method for actuator performance monitoring in a process control system

Номер патента: US7496465B2. Автор: Salvatore Chirico,Ron E. Beselt. Владелец: Honeywell International Inc. Дата публикации: 2009-02-24.

Apparatus for positioning jacks mounted in a plurality of slotted pattern wheels

Номер патента: GB1480912A. Автор: . Владелец: Singer Co. Дата публикации: 1977-07-27.

Power management system for a semiconductor processing facility

Номер патента: US5801961A. Автор: Michael Peterson,Gary M. Moore,Steven C. Beese. Владелец: Moore Epitaxial Inc. Дата публикации: 1998-09-01.

Electrostatic chuck and semiconductor processing apparatus

Номер патента: US20230260817A1. Автор: Jian Liu. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2023-08-17.

Adjusting dynamic range for audio reproduction

Номер патента: WO2010090851A3. Автор: William R. Short. Владелец: Bose Corporation. Дата публикации: 2010-11-11.

Temperature control of semiconductor processing chambers

Номер патента: WO2014040038A3. Автор: Michael Nam,David Gunther,Jae Yeol Park,Kyle PETERSEN. Владелец: Semicat, Inc.. Дата публикации: 2015-07-16.

Adjusting dynamic range for audio reproduction

Номер патента: EP2394360A2. Автор: William R. Short. Владелец: Bose Corp. Дата публикации: 2011-12-14.

Adjusting dynamic range for audio reproduction

Номер патента: WO2010090851A2. Автор: William R. Short. Владелец: Bose Corporation. Дата публикации: 2010-08-12.

Semiconductor process system and gas treatment method

Номер патента: US20240096649A1. Автор: Jong-San Chang,Hyunseok Kim,Kimoon LEE,JungDae PARK,Wonsu Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-03-21.

Substrate processing apparatus

Номер патента: US20200152443A1. Автор: Jiro Higashijima,Yusuke Hashimoto,Nobuhiro Ogata. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-05-14.

Semiconductor processing method

Номер патента: US20180233455A1. Автор: Yi Xin CHEW. Владелец: PEP INNOVATION PTE LTD. Дата публикации: 2018-08-16.

Method of forming a semiconductor structure including a vertical nanowire

Номер патента: US20140206157A1. Автор: Ralf Illgen,Stefan Flachowsky,Tim Baldauf,Tom Herrmann. Владелец: Globalfoundries Inc. Дата публикации: 2014-07-24.

Monolithic conductive column in a semiconductor device and associated methods

Номер патента: US20230260875A1. Автор: Wei Zhou,Kyle K. Kirby,Kunal R. Parekh,Bret K. Street. Владелец: Micron Technology Inc. Дата публикации: 2023-08-17.

Monolithic conductive cylinder in a semiconductor device and associated methods

Номер патента: US20230260877A1. Автор: Wei Zhou,Kyle K. Kirby,Kunal R. Parekh,Bret K. Street. Владелец: Micron Technology Inc. Дата публикации: 2023-08-17.

Monolithic conductive columns in a semiconductor device and associated methods

Номер патента: US20230260964A1. Автор: Wei Zhou,Kyle K. Kirby,Kunal R. Parekh,Bret K. Street. Владелец: Micron Technology Inc. Дата публикации: 2023-08-17.

Monolithic conductive column in a semiconductor device and associated methods

Номер патента: US20230260876A1. Автор: Wei Zhou,Kyle K. Kirby,Kunal R. Parekh,Bret K. Street. Владелец: Micron Technology Inc. Дата публикации: 2023-08-17.

Information processing apparatus, information processing method, and storage medium

Номер патента: US20230368006A1. Автор: Tomoki TAMINATO. Владелец: Canon Inc. Дата публикации: 2023-11-16.

Substrate processing apparatus

Номер патента: US20180096837A1. Автор: Yi-Cheng Wang. Владелец: Wet Technology Co Ltd. Дата публикации: 2018-04-05.

Low-temperature method for transfer and healing of a semiconductor layer

Номер патента: US12027421B2. Автор: Shay REBOH. Владелец: Commissariat a lEnergie Atomique et aux Energies Alternatives CEA. Дата публикации: 2024-07-02.

Intergrated semiconductor circuit with a semiconductor memory configuration embedded in a semiconductor chip

Номер патента: US20020047167A1. Автор: Andreas Bänisch,Marco Troost. Владелец: Individual. Дата публикации: 2002-04-25.

Semiconductor processing method

Номер патента: US20190189434A1. Автор: Yun Seog Lee,Devendra K. Sadana,Marinus Hopstaken,Joel Pereira DE SOUZA. Владелец: International Business Machines Corp. Дата публикации: 2019-06-20.

Method of manufacturing a semiconductor device

Номер патента: US20200152529A1. Автор: Hitomi Sakurai,Masaru Akino. Владелец: Ablic Inc. Дата публикации: 2020-05-14.

Method of manufacturing a semiconductor device and liquid crystal display

Номер патента: US20030155571A1. Автор: Ichiro Murai,Masami Hayashi. Владелец: Mitsubishi Electric Corp. Дата публикации: 2003-08-21.

Semiconductor die, a semiconductor die stack, and a semiconductor module

Номер патента: US12046573B2. Автор: Jin Woong Kim,Mi Seon Lee. Владелец: SK hynix Inc. Дата публикации: 2024-07-23.

Magnetic field sensor for use in a security alarm system

Номер патента: EP3194993A1. Автор: Julian Paul CARLSON,Dean David Schebel. Владелец: 1010210 BC Ltd. Дата публикации: 2017-07-26.

Method and apparatus for removing tack welds on reactor vessel components in a nuclear reactor

Номер патента: US20070177708A1. Автор: Frank Ortega. Владелец: General Electric Co. Дата публикации: 2007-08-02.

Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer

Номер патента: SG176040A1. Автор: Eric Lenz,Enrico Magni. Владелец: Lam Res Corp. Дата публикации: 2011-12-29.

Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer

Номер патента: WO2010138166A1. Автор: Eric Lenz,Enrico Magni. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2010-12-02.

Method of manufacturing a semiconductor device and corresponding semiconductor device

Номер патента: EP4220692A1. Автор: Mauro Mazzola,Fabio Marchisi. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2023-08-02.

Lateral coupling of an implantable hearing aid actuator to an auditory component

Номер патента: EP2163122A1. Автор: Travis Rian Andrews. Владелец: Otologics LLC. Дата публикации: 2010-03-17.

Component arrangement and method for determining the temperature in a semiconductor component

Номер патента: US20070200193A1. Автор: Wolfgang Horn. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2007-08-30.

Fluid flow control based on a liquid level in a container

Номер патента: US20240248495A1. Автор: Justin C. Sitz. Владелец: Individual. Дата публикации: 2024-07-25.

Semiconductor component having a diode structure in a SiC semiconductor body

Номер патента: US11742391B2. Автор: Hans-Joachim Schulze,Thomas Basler,Ralf Siemieniec. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2023-08-29.

Semiconductor package including a plurality of semiconductor chips in a stacked structure

Номер патента: EP4411813A1. Автор: Minseok KANG,Sungwook Moon,Duhyoung AHN. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-07.

Semiconductor processing chamber

Номер патента: US20240266150A1. Автор: Yan Li,Xingfei MAO,Shixuan GUO. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2024-08-08.

Information processing apparatus and method, and, program

Номер патента: US20150085190A1. Автор: Hiroshi Okawa,Hideki Iwami,Tamotsu Munakata. Владелец: Sony Corp. Дата публикации: 2015-03-26.

Method for manufacturing a semiconductor device and semiconductor device

Номер патента: US20210366764A1. Автор: Jochen Kraft,Georg Parteder,Raffaele Coppeta. Владелец: ams AG. Дата публикации: 2021-11-25.

Wrench assembly for adjusting relative position between mechanical components in a mechanical system

Номер патента: US20190195094A1. Автор: Timothy J. Smith. Владелец: Individual. Дата публикации: 2019-06-27.

Semiconductor process

Номер патента: US20130052825A1. Автор: Chia-Lin Hsu,Teng-Chun Tsai,Chun-Wei Hsu,Yen-Ming Chen,Po-Cheng Huang,Chih-Hsun Lin,Chang-Hung Kung. Владелец: United Microelectronics Corp. Дата публикации: 2013-02-28.

Method for producing a semiconductor device which is protected against overvoltage

Номер патента: US3919010A. Автор: Karlheinz Sommer,Edgar Borchert. Владелец: Licentia Patent Verwaltungs GmbH. Дата публикации: 1975-11-11.

A semiconductor wafer temperature control apparatus

Номер патента: GB2592189A. Автор: Kale Kaustubh. Владелец: Edwards Vacuum LLC. Дата публикации: 2021-08-25.

A semiconductor wafer temperature control apparatus

Номер патента: US20230056446A1. Автор: Kaustubh Kale. Владелец: Edwards Vacuum LLC. Дата публикации: 2023-02-23.

A semiconductor wafer temperature control apparatus

Номер патента: WO2021161183A1. Автор: Kaustubh Kale. Владелец: Edwards Vacuum LLC. Дата публикации: 2021-08-19.

Printer and information processing apparatus

Номер патента: US20040114156A1. Автор: Osamu Azami. Владелец: Seiko Epson Corp. Дата публикации: 2004-06-17.

Method for industrial manufacturing of a semiconductor structure with reduced bowing

Номер патента: EP3449497A1. Автор: Leonida Miglio. Владелец: PILEGROWTH TECH Srl. Дата публикации: 2019-03-06.

Method of forming a self-aligned contact pad for use in a semiconductor device

Номер патента: US20020155687A1. Автор: Dae-hyuk Chung,In-seak Hwang,Han-Joo Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-10-24.

Substrate processing apparatus and processing liquid supply method

Номер патента: US20180090306A1. Автор: Jiro Higashijima,Yusuke Hashimoto,Nobuhiro Ogata. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-03-29.

Monolithic conductive column in a semiconductor device and associated methods

Номер патента: US12074094B2. Автор: Wei Zhou,Kyle K. Kirby,Kunal R. Parekh,Bret K. Street. Владелец: Micron Technology Inc. Дата публикации: 2024-08-27.

A system and method for measurement of harvested material in a cleaning assembly

Номер патента: EP3689124A1. Автор: Noel Anderson,Gurmukh Advani,Kartheek Karna. Владелец: Deere and Co. Дата публикации: 2020-08-05.

Method of processing a semiconductor wafer and preprocessed semiconductor wafer

Номер патента: US20030082857A1. Автор: John Maltabes,Karl Mautz,Tim Stanley. Владелец: Motorola Inc. Дата публикации: 2003-05-01.

Method and system for creating self-aligned twin wells with co-planar surfaces in a semiconductor device

Номер патента: US20080166862A1. Автор: Gayle W. Miller,Bryan D. Sendelweck. Владелец: Individual. Дата публикации: 2008-07-10.

Method of manufacturing a semiconductor device

Номер патента: US20090155971A1. Автор: Jong-wook Lee,Yong-Hoon Son. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-06-18.

Method of monitoring semiconductor process

Номер патента: US20110140719A1. Автор: Yong-Jin Kim,Kye-Hyun Baek,Ho-Ki Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2011-06-16.

Method of forming an insulator layer in a semiconductor structure and structures resulting therefrom

Номер патента: US20110272756A1. Автор: Michael D. Church. Владелец: INTERSIL AMERICAS LLC. Дата публикации: 2011-11-10.

Method for monitoring at least one semiconductor element in a semiconductor module

Номер патента: US20240230724A9. Автор: Ulrich Wetzel,Jürgen Zettner. Владелец: SIEMENS AG. Дата публикации: 2024-07-11.

Method for monitoring at least one semiconductor element in a semiconductor module

Номер патента: US20240133924A1. Автор: Ulrich Wetzel,Jürgen Zettner. Владелец: SIEMENS AG. Дата публикации: 2024-04-25.

Method of making a semiconductor switch device

Номер патента: US20180337250A1. Автор: Petrus Hubertus Cornelis Magnee,Mahmoud Shehab Mohammad Al-Sa'di. Владелец: NXP BV. Дата публикации: 2018-11-22.

Determining the remaining usability of a semiconductor module in normal use

Номер патента: US20220043050A1. Автор: Markus Mauersberger,Gunnar Dietz. Владелец: SIEMENS AG. Дата публикации: 2022-02-10.

Method of processing a semiconductor wafer and preprocessed semiconductor wafer

Номер патента: WO2003071586A3. Автор: John Maltabes,Karl Mautz,Timothy Daryl Stanley. Владелец: Motorola Inc. Дата публикации: 2004-02-19.

Method of processing a semiconductor wafer and preprocessed semiconductor wafer

Номер патента: WO2003071586A2. Автор: John Maltabes,Karl Mautz,Timothy Daryl Stanley. Владелец: MOTOROLA, INC.. Дата публикации: 2003-08-28.

Method of forming an insulator layer in a semiconductor structure and structures resulting therefrom

Номер патента: US20150235968A1. Автор: Michael D. Church. Владелец: INTERSIL AMERICAS LLC. Дата публикации: 2015-08-20.

Semiconductor device and method of manufacturing a semiconductor device

Номер патента: US20200321433A1. Автор: Isamu SUGAI. Владелец: Fuji Electric Co Ltd. Дата публикации: 2020-10-08.

Semiconductor processing tool and methods of operation

Номер патента: US20240355627A1. Автор: Shang-Yu Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-24.

Semiconductor package and method of manufacturing a semiconductor package

Номер патента: US10811342B2. Автор: Petteri Palm,Robert Fehler,Sergey Yuferev. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2020-10-20.

Semiconductor device including metal-oxide-semiconductor disposed in a column decoder region

Номер патента: US09997223B2. Автор: Duk Su Chun. Владелец: SK hynix Inc. Дата публикации: 2018-06-12.

Magnetic field sensor for use in a security alarm system

Номер патента: US09905099B2. Автор: Julian Paul CARLSON,Dean David Schebel. Владелец: 1010210 BC Ltd. Дата публикации: 2018-02-27.

Semiconductor module assembly and method for producing a semiconductor module assembly

Номер патента: US20240371727A1. Автор: Benjamin Pessl. Владелец: Magna Powertrain GmbH and Co KG. Дата публикации: 2024-11-07.

Semiconductor device with a semiconductor chip connected in a flip chip manner

Номер патента: US09831204B2. Автор: Osamu Miyata,Kazumasa Tanida. Владелец: ROHM CO LTD. Дата публикации: 2017-11-28.

Image processing apparatus and image processing method for adjusting texture of an image

Номер патента: US09826148B2. Автор: Satoru Kobayashi,Hironori Kaida. Владелец: Canon Inc. Дата публикации: 2017-11-21.

Substrate processing apparatus and method of manufacturing semiconductor device

Номер патента: US09818600B2. Автор: Takayuki Sato. Владелец: HITACHI KOKUSAI ELECTRIC INC. Дата публикации: 2017-11-14.

Method for manufacturing a semiconductor device with increased breakdown voltage

Номер патента: US09812554B2. Автор: Shinya Sato,Akihiro Shimada,Noboru Yokoyama,Tomoyuki SAKUMA. Владелец: Toshiba Corp. Дата публикации: 2017-11-07.

Method for manufacturing a semiconductor device and power semiconductor device

Номер патента: US09812535B1. Автор: Martin Vielemeyer,Robert Haase. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2017-11-07.

One-time programming device and a semiconductor device

Номер патента: US09761595B2. Автор: Hubert Rothleitner. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-09-12.

Guiding method and information processing apparatus

Номер патента: US09760987B2. Автор: Ryouta Komatsu,Ayumi Ueno. Владелец: Fujitsu Ltd. Дата публикации: 2017-09-12.

Semiconductor device with a semiconductor chip connected in a flip chip manner

Номер патента: US09721865B2. Автор: Osamu Miyata,Kazumasa Tanida. Владелец: ROHM CO LTD. Дата публикации: 2017-08-01.

Semiconductor device and method of manufacturing a semiconductor device

Номер патента: US09698107B2. Автор: Alfred Goerlach,Dietrich Bonart. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-07-04.

Method and apparatus for estimating the temperature of a semiconductor chip

Номер патента: US09689754B2. Автор: Bjørn Rannestad,Paul Bach Thogersen. Владелец: Individual. Дата публикации: 2017-06-27.

Method of producing a semiconductor device

Номер патента: US09640585B1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2017-05-02.

Semiconductor device and method for producing a semiconductor device

Номер патента: US09620564B2. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2017-04-11.

Semiconductor device and method for manufacturing a semiconductor device

Номер патента: US09613930B2. Автор: Petteri Palm. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-04-04.

Method for producing a semiconductor device

Номер патента: US09590175B2. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2017-03-07.

Method for manufacturing a semiconductor device

Номер патента: US20240373621A1. Автор: Ilyoung Yoon,Sangjun Park,Kijong Park,Yongjin Lee,Seungmin SHIN,Younggeun SONG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-11-07.

Semiconductor device having a plurality of circuits arranged on a side of a semiconductor chip

Номер патента: US09564388B2. Автор: Masato Numazaki. Владелец: Renesas Electronics Corp. Дата публикации: 2017-02-07.

Production method for a semiconductor device

Номер патента: US09530672B2. Автор: Takashi Yoshimura,Yusuke Kobayashi. Владелец: Fuji Electric Co Ltd. Дата публикации: 2016-12-27.

Semiconductor process for forming gates with different pitches and different dimensions

Номер патента: US09525041B2. Автор: Yu-Cheng Tung,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2016-12-20.

Temperature-controlled implanting of a diffusion-suppressing dopant in a semiconductor structure

Номер патента: US09508602B2. Автор: Mitsuhiro Togo. Владелец: Globalfoundries Inc. Дата публикации: 2016-11-29.

Method for producing a semiconductor device having a beveled edge termination

Номер патента: US09496337B2. Автор: Anton Mauder,Hans-Joachim Schulze,Franz Hirler. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2016-11-15.

Method and circuit arrangement for switching a semiconductor switch

Номер патента: US09490688B2. Автор: Ulrich Bley,Christoph Hornstein,Kai Kuehnen. Владелец: Conti Temic Microelectronic GmbH. Дата публикации: 2016-11-08.

Semiconductor device with a resistance element in a trench

Номер патента: US09484444B2. Автор: Koichi Mochizuki,Shigeru Kusunoki,Minoru Kawakami. Владелец: Mitsubishi Electric Corp. Дата публикации: 2016-11-01.

Semiconductor device and method for producing a semiconductor device

Номер патента: US09450085B2. Автор: Till Schloesser,Andreas Meiser. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-09-20.

Accompanying squeezing unit in a winding machine for polymer film

Номер патента: RU2663057C2. Автор: Эральдо Печчетти. Владелец: Колинес С.п.А.. Дата публикации: 2018-08-01.

Device to adjust adjustable guide vanes

Номер патента: RU2559107C2. Автор: Колм КИГАН,Майкл ТАЙБЕНХЭМ. Владелец: СИМЕНС АКЦИЕНГЕЗЕЛЛЬШАФТ. Дата публикации: 2015-08-10.

Process chamber and semiconductor process device

Номер патента: US20230402265A1. Автор: Gang Wei,Yancheng LU,Xingfei MAO. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2023-12-14.

System and method for valve greasing in a well tree

Номер патента: US11814939B2. Автор: Yang Chen,Mark Viator,Payal Desai,Aleem Aman Khokhar,Nivedita Sharma,Jeffery Traylor. Владелец: Cameron International Corp. Дата публикации: 2023-11-14.

Jigs and methods of teaching substrate handling in semiconductor processing systems using jigs

Номер патента: US20230100356A1. Автор: Dongyang Chen. Владелец: ASM IP Holding BV. Дата публикации: 2023-03-30.

Semiconductor processing apparatus and method

Номер патента: US20220208590A1. Автор: Zhuo Wang,Saiqian Zhang. Владелец: Piotech Inc. Дата публикации: 2022-06-30.

Precision trench formation through oxide region formation for a semiconductor device

Номер патента: US7871896B2. Автор: Takayuki Maruyama,Fumihiko Inoue,Tomohiro Watanabe. Владелец: SPANSION LLC. Дата публикации: 2011-01-18.

Method of manufacturing a semiconductor apparatus and electronic equipment

Номер патента: US20130183807A1. Автор: Satoshi Hikida. Владелец: Sharp Corp. Дата публикации: 2013-07-18.

Trench isolation structure in a semiconductor device and method for fabricating the same

Номер патента: US20090127650A1. Автор: Byung Soo Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-05-21.

Method for minimizing defects in a semiconductor substrate due to ion implantation

Номер патента: WO2012044361A1. Автор: Toshifumi Mori,Ken-ichi Okabe,Toshiki Miyake,Pushkar Ranade. Владелец: SUVOLTA, INC.. Дата публикации: 2012-04-05.

Uniform implant regions in a semiconductor ridge of a finfet

Номер патента: US20220123129A1. Автор: Ming-Yeh Chuang. Владелец: Texas Instruments Inc. Дата публикации: 2022-04-21.

Method of manufacturing a semiconductor device

Номер патента: US9082699B2. Автор: Nobuyuki SUZUKI,Satoshi Suzuki,Keiichi Sasaki,Masanobu Ohmura,Tomohiro Migita,Takatoshi Nakahara. Владелец: Canon Inc. Дата публикации: 2015-07-14.

Part maintenance device of semiconductor processing system and method for operating the same

Номер патента: US20020000677A1. Автор: Kazushi Tahara,Akira Obi. Владелец: Tokyo Electron Ltd. Дата публикации: 2002-01-03.

Semiconductor chip and method of manufacturing a semiconductor chip

Номер патента: WO2010136974A2. Автор: Jan Van Kempen. Владелец: NXP B.V.. Дата публикации: 2010-12-02.

Semiconductor package and method for manufacturing a semiconductor package

Номер патента: US20240203925A1. Автор: Wei-Chih Chen,Tzu-Wei Chiu,Chun-Wei Chang,Che-Yen Huang. Владелец: Seriphy Technology Corp. Дата публикации: 2024-06-20.

Method of severing a semiconductor device composite

Номер патента: US20160133520A1. Автор: Guido Weiss,Albert Perchtaler. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2016-05-12.

Semiconductor Device and Method of Making a Semiconductor Package with Graphene for Die Attach

Номер патента: US20240194629A1. Автор: Heesoo Lee,Sujeong KWON,YongMoo SHIN. Владелец: Stats Chippac Pte Ltd. Дата публикации: 2024-06-13.

Electron tube having a semiconductor cathode

Номер патента: WO1998037567A1. Автор: Tom Van Zutphen,Erwin Adolf Hijzen,Ron Kroon. Владелец: Philips Ab. Дата публикации: 1998-08-27.

Precision trench formation through oxide region formation for a semiconductor device

Номер патента: US20110081767A1. Автор: Takayuki Maruyama,Fumihiko Inoue,Tomohiro Watanabe. Владелец: Individual. Дата публикации: 2011-04-07.

Non-destructive analysis of a semiconductor using reflectance spectrometry

Номер патента: EP1078217A1. Автор: Alexander P. Cherkassky. Владелец: Individual. Дата публикации: 2001-02-28.

Non-destructive analysis of a semiconductor using reflectance spectrometry

Номер патента: WO1999054679A1. Автор: Alexander P. Cherkassky. Владелец: Cherkassky Alexander P. Дата публикации: 1999-10-28.

Method of manufacturing a semiconductor device

Номер патента: US20130221440A1. Автор: Shigeru Mori. Владелец: NLT Technologeies Ltd. Дата публикации: 2013-08-29.

Semiconductor device and method for manufacturing a semiconductor device

Номер патента: US20240096988A1. Автор: Michael Hell,Rudolf Elpelt. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2024-03-21.

Plasma treatment of a semiconductor surface for enhanced nucleation of a metal-containing layer

Номер патента: WO2007111699A3. Автор: Olubunmi O Adetutu,Dina H Triyoso. Владелец: Dina H Triyoso. Дата публикации: 2008-12-11.

Method of manufacturing a semiconductor device

Номер патента: US12040308B2. Автор: Jin Woong Kim,Sung Kyu Kim. Владелец: SK hynix Inc. Дата публикации: 2024-07-16.

Method of manufacturing a semiconductor device and a semiconductor device

Номер патента: EP3945599A1. Автор: Chun Hsiung Tsai,Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-02-02.

Method of manufacturing a semiconductor apparatus and electronic equipment

Номер патента: US9012301B2. Автор: Satoshi Hikida. Владелец: Sharp Corp. Дата публикации: 2015-04-21.

Method for Producing a Semiconductor Body

Номер патента: US20180040512A1. Автор: Franz Eberhard. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2018-02-08.

Method of manufacturing a semiconductor device and semiconductor device

Номер патента: US20050140000A1. Автор: Marc De Samber,Johannus Weekamp,Durandus Dijken. Владелец: Individual. Дата публикации: 2005-06-30.

Method of manufacturing a semiconductor device and semiconductor device

Номер патента: US20040157376A1. Автор: Marc De Samber,Johannus Weekamp,Durandus Dijken. Владелец: Individual. Дата публикации: 2004-08-12.

Method for the Self-Adjusted Exposure of Side Surfaces of a Semiconductor Body

Номер патента: US20190386172A1. Автор: Sebastian Taeger,Jens Ebbecke. Владелец: OSRAM OLED GmbH. Дата публикации: 2019-12-19.

Method of Manufacturing a Semiconductor Device by Plasma Doping

Номер патента: US20170062587A1. Автор: Hans-Joachim Schulze,Peter Irsigler. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2017-03-02.

A method of manufacturing a semiconductor device

Номер патента: EP1057218A1. Автор: Pierre H. Woerlee,Andreas H. Montree,Jurriaan Schmitz. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2000-12-06.

A method of manufacturing a semiconductor device

Номер патента: WO2000038237A1. Автор: Pierre H. Woerlee,Andreas H. Montree,Jurriaan Schmitz. Владелец: KONINKLIJKE PHILIPS ELECTRONICS N.V.. Дата публикации: 2000-06-29.

Method of manufacturing a semiconductor device

Номер патента: US10998246B2. Автор: Noriaki MINETA. Владелец: Renesas Electronics Corp. Дата публикации: 2021-05-04.

Method for the production of a semiconductor laser device

Номер патента: US5171706A. Автор: Mitsuhiro Matsumoto,Masaki Kondo,Kazuaki Sasaki. Владелец: Sharp Corp. Дата публикации: 1992-12-15.

Method of manufacturing a semiconductor device having a pre-metal dielectric liner

Номер патента: US7550397B2. Автор: Sung Kyung Jung. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-06-23.

A METHOD FOR PRODUCING A SEMICONDUCTOR DEVICE HAVING A SEMICONDUCTOR LAYER OF SiC AND SUCH A DEVICE

Номер патента: WO1997036318A2. Автор: Kurt Rottner. Владелец: ABB RESEARCH LTD.. Дата публикации: 1997-10-02.

Structure formation in a semiconductor device

Номер патента: US12068363B2. Автор: Chyi-Tsong Ni,Kuang-Wei Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Method and device for compensating drift in a semiconductor element

Номер патента: US5233236A. Автор: Jean P. Colinge. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 1993-08-03.

Method of fabricating a semiconductor device with reduced oxide film variation

Номер патента: US7947567B2. Автор: Masanori Terahara,Junji Oh. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2011-05-24.

A semiconductor device with an improved gate electrode pattern and a method of manufacturing the same

Номер патента: US20020030236A1. Автор: Hisato Oyamatsu. Владелец: Individual. Дата публикации: 2002-03-14.

Method for semiconductor integrated circuit fabrication and a semiconductor integrated circuit

Номер патента: US20050006709A1. Автор: Takeshi Takagi,Akira Asai. Владелец: Individual. Дата публикации: 2005-01-13.

Method for forming a dielectric zone in a semiconductor substrate

Номер патента: US20020052092A1. Автор: Rudolf Lachner. Владелец: Individual. Дата публикации: 2002-05-02.

A semiconductor wafer temperature control apparatus

Номер патента: EP4103898A1. Автор: Kaustubh Kale. Владелец: Edwards Vacuum LLC. Дата публикации: 2022-12-21.

Method of forming a doped region in a semiconductor body comprising a step of amorphization by irradiation

Номер патента: WO2003046967A3. Автор: Peter A Stolk. Владелец: Peter A Stolk. Дата публикации: 2003-10-16.

Semiconductor process for solving contact piping defect

Номер патента: US20240196605A1. Автор: Kai-Yao Shih,Yu-Mei Liao,Hung-Ju Chien,Hui-Chin Huang. Владелец: Powerchip Semiconductor Manufacturing Corp. Дата публикации: 2024-06-13.

Method and composite hard mask for forming deep trenches in a semiconductor substrate

Номер патента: US20050215061A1. Автор: Yinan Chen,Chang-Rong Wu,Tuz-Ching Tsai. Владелец: Nanya Technology Corp. Дата публикации: 2005-09-29.

Manufacturing method of a semiconductor device

Номер патента: US20180277375A1. Автор: Kota YASUNISHI. Владелец: Toyoda Gosei Co Ltd. Дата публикации: 2018-09-27.

Micropad formation for a semiconductor

Номер патента: WO2009088659A2. Автор: Varughese Mathew,Sam S. Garcia,Ritwik Chatterjee,Eddie Acosta. Владелец: Freescale Semiconductor Inc.. Дата публикации: 2009-07-16.

Semiconductor integrated circuit and a semiconductor device

Номер патента: US7345340B2. Автор: Akihiro Tamura,Toshio Nagasawa,Mitsuharu Hitani. Владелец: Renesas Technology Corp. Дата публикации: 2008-03-18.

Method for reducing cracks in a step-shaped cavity

Номер патента: US20180068888A1. Автор: Xianchao WANG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-03-08.

Trench isolation structure in a semiconductor device and method for fabricating the same

Номер патента: US7482246B2. Автор: Byung Soo Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-01-27.

Bonding pad on a semiconductor chip

Номер патента: US20010009297A1. Автор: Yimin Huang,Hermen Liu. Владелец: United Microelectronics Corp. Дата публикации: 2001-07-26.

Semiconductor device and method of forming a semiconductor device

Номер патента: US20020034843A1. Автор: Florin Udrea,Gehan Amaratunga. Владелец: Cambridge Semiconductor Ltd. Дата публикации: 2002-03-21.

Method of forming a MOS transistor in a semiconductor device and a MOS transistor fabricated thereby

Номер патента: US20020195666A1. Автор: Jae-Kyu Lee,Jae-Goo Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-12-26.

Method of manufacturing a semiconductor wafer device having separated conductive patterns in peripheral area

Номер патента: US20050285271A1. Автор: Kenichi Watanabe. Владелец: Fujitsu Ltd. Дата публикации: 2005-12-29.

Semiconductor drying process apparatus

Номер патента: US20080047161A1. Автор: Yu Fei Goh,Zhen Qing Lu,Zhi Hong Yi,Beng Lark Lee. Владелец: Systems on Silicon Manufacturing Co Pte Ltd. Дата публикации: 2008-02-28.

Method of manufacturing a semiconductor device having a pre-metal dielectric liner

Номер патента: US20070148959A1. Автор: Sung Jung. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-06-28.

Holder for a semiconductor substrate, and method of manufacturing a semiconductor device using such a holder

Номер патента: US20010040329A1. Автор: Johannes H. Tyveleijn. Владелец: US Philips Corp. Дата публикации: 2001-11-15.

Method of manufacturing a semiconductor device

Номер патента: US20130130442A1. Автор: Yasutaka Nakashiba,Kenta Ogawa. Владелец: Renesas Electronics Corp. Дата публикации: 2013-05-23.

Device, method and system to prevent pattern collapse in a semiconductor structure

Номер патента: US12113012B2. Автор: Errol Todd Ryan. Владелец: Intel Corp. Дата публикации: 2024-10-08.

System and method for access control of a plurality of instruments embedded in a semiconductor device

Номер патента: US12111356B2. Автор: Erik Larsson. Владелец: Individual. Дата публикации: 2024-10-08.

Method and apparatus for maintaining a uniform temperature in a refrigeration system

Номер патента: EP2176606A1. Автор: Ian D. Oswald. Владелец: BE Aerospace Inc. Дата публикации: 2010-04-21.

Uniform implant regions in a semiconductor ridge of a FinfET

Номер патента: US12113121B2. Автор: Ming-Yeh Chuang. Владелец: Texas Instruments Inc. Дата публикации: 2024-10-08.

Frame member with a porous material between a semiconductor module and heat sink

Номер патента: US12068217B2. Автор: Hiroki Shiota,Takashi Nishimura. Владелец: Mitsubishi Electric Corp. Дата публикации: 2024-08-20.

Structure formation in a semiconductor device

Номер патента: US20240371921A1. Автор: Chyi-Tsong Ni,Kuang-Wei Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-07.

Method for manufacturing a semiconductor device

Номер патента: US09966455B2. Автор: Seiji Muranaka. Владелец: Renesas Electronics Corp. Дата публикации: 2018-05-08.

Semiconductor device and method for manufacturing a semiconductor device

Номер патента: US09941403B2. Автор: Till Schloesser,Markus Zundel. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-04-10.

Semiconductor device and method for producing a semiconductor device

Номер патента: US09929244B2. Автор: Jochen Hilsenbeck. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-03-27.

Method of fabricating a semiconductor package having mold layer with curved corner

Номер патента: US09929131B2. Автор: Hyein YOO,Yeongseok Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-03-27.

Monolithic nanophotonic device on a semiconductor substrate

Номер патента: US09917414B2. Автор: Ning Li,Devendra K. Sadana. Владелец: International Business Machines Corp. Дата публикации: 2018-03-13.

Method and apparatus for media property or characteristic control in a media system

Номер патента: US09876887B2. Автор: Liang Zhao,Yu-Ling Fu,Qiu-Ling Li. Владелец: Google LLC. Дата публикации: 2018-01-23.

Plasma processing apparatus and plasma processing method

Номер патента: US09875881B2. Автор: Norikazu Yamada,Kouichi Yoshida,Tadashi Gondai,Kohichi NAGAMI. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-01-23.

Semiconductor process

Номер патента: US09871113B2. Автор: Chun-Wei Yu,Chueh-Yang Liu,Yu-Ren Wang,Kuang-Hsiu Chen. Владелец: United Microelectronics Corp. Дата публикации: 2018-01-16.

Heat sink for a semiconductor chip device

Номер патента: US09859186B2. Автор: Nathan A. Nuttall. Владелец: Elenion Technologies LLC. Дата публикации: 2018-01-02.

Method for manufacturing a semiconductor device

Номер патента: US09806187B2. Автор: Martin Poelzl. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2017-10-31.

Method of manufacturing a semiconductor device

Номер патента: US09799667B2. Автор: Keisuke Tsukamoto,Tatsuyoshi MIHARA. Владелец: Renesas Electronics Corp. Дата публикации: 2017-10-24.

Suppressing leakage currents in a multi-TFT device

Номер патента: US09748278B2. Автор: Stephan Riedel. Владелец: FlexEnable Ltd. Дата публикации: 2017-08-29.

Sound processing apparatus and parameter setting method

Номер патента: US09743214B2. Автор: Masaya Kano,Kunihiro Kumagai. Владелец: Yamaha Corp. Дата публикации: 2017-08-22.

Semiconductor devices including patterns in a source region

Номер патента: US09698259B2. Автор: Jaehyun Jung. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-07-04.

Semiconductor device and method for manufacturing a semiconductor device

Номер патента: US09685511B2. Автор: Rolf Weis. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2017-06-20.

Semiconductor process

Номер патента: US09685316B2. Автор: Chun-Ling Lin,Chi-Mao Hsu,Chia Chang Hsu,Pin-Hong Chen,Bor-Shyang LIAO,Shu Min Huang,Kuo-Chih Lai,Min-Chung Cheng. Владелец: United Microelectronics Corp. Дата публикации: 2017-06-20.

Semiconductor device and semiconductor system for detecting an error occurred in a parity

Номер патента: US12148492B2. Автор: Yeong Han JEONG. Владелец: SK hynix Inc. Дата публикации: 2024-11-19.

Systems for soothing and prolonging sleep of a child in a car seat

Номер патента: US09663004B2. Автор: Perry Youngs,Heather F. Perrin. Владелец: Individual. Дата публикации: 2017-05-30.

Wireless substrate-like teaching sensor for semiconductor processing

Номер патента: EP4435840A2. Автор: David W. Duquette,Ferris J. Chen,Robert M. Mark. Владелец: Cyberoptics Corp. Дата публикации: 2024-09-25.

Semiconductor component with a monocrystalline semiconductor region arranged in a via region

Номер патента: US09634101B2. Автор: Franz Hirler,Andreas Meiser. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2017-04-25.

Insulating block in a semiconductor trench

Номер патента: US09590062B2. Автор: Martin Henning Vielemeyer,Li Juin Yip. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2017-03-07.

Semiconductor structure having a capacitor and metal wiring integrated in a same dielectric layer

Номер патента: US09577030B2. Автор: Nick Lindert. Владелец: Intel Corp. Дата публикации: 2017-02-21.

Method and apparatus for storing and transporting semiconductor wafers in a vacuum pod

Номер патента: US09564350B1. Автор: William Fosnight,Stephanie Waite. Владелец: Globalfoundries Inc. Дата публикации: 2017-02-07.

Detecting and compensating for external vibration in a tape drive

Номер патента: US09548077B1. Автор: David L. Swanson,David H. F. Harper. Владелец: International Business Machines Corp. Дата публикации: 2017-01-17.

Heat sink for a semiconductor chip device

Номер патента: US09543226B1. Автор: Nathan A. Nuttall. Владелец: Coriant Advanced Technology LLC. Дата публикации: 2017-01-10.

Method of manufacturing a semiconductor device and semiconductor device

Номер патента: US09530884B2. Автор: Till Schloesser,Andreas Meiser. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-12-27.

Termination region of a semiconductor device

Номер патента: US09496391B2. Автор: Joseph A. Yedinak,Fred Session,Richard Stokes,Jason Higgs. Владелец: Fairchild Semiconductor Corp. Дата публикации: 2016-11-15.

Method of manufacturing a semiconductor package and wire bonding apparatus for performing the same

Номер патента: US09484323B2. Автор: Seok-Won JEONG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-11-01.

Method of severing a semiconductor device composite

Номер патента: US09449879B2. Автор: Guido Weiss,Albert Perchtaler. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2016-09-20.

Apparatus, system and method of ultrasonic power delivery in a surgical system

Номер патента: WO2019069199A1. Автор: Abraham Hajishah,Edith W. Fung. Владелец: Johnson & Johnson Surgical Vision, Inc.. Дата публикации: 2019-04-11.

Semiconductor process and yield analysis integrated real-time management method

Номер патента: US7099729B2. Автор: Chien-Chung Chen,Hung-En Tai,Sheng-Jen Wang. Владелец: Powerchip Semiconductor Corp. Дата публикации: 2006-08-29.

Apparatus for controlling the volume and pressure of a pressure medium in a die-casting machine

Номер патента: US4020862A. Автор: Roland Geier,Friedrich Bohnlein. Владелец: Idra Pressen GmbH. Дата публикации: 1977-05-03.

Heating plate with planar heater zones for semiconductor processing

Номер патента: WO2012064543A1. Автор: Harmeet Singh. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2012-05-18.

Method for carrying out seeks in a hard disc drive to limit the generation of acoustic noise

Номер патента: US5751513A. Автор: Duc T. Phan,Mark A. Pajdowski. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 1998-05-12.

Method and apparatus for causing pressure variations in a wellbore

Номер патента: CA2493017C. Автор: Clifford J. Anderson,Brian R. Thicke,Michael F. Schoettler,Richard Selinger. Владелец: Individual. Дата публикации: 2011-04-26.

Vibration actuator to control pitching vibration

Номер патента: US6242846B1. Автор: Tsuyoshi Matsumoto,Mitsuhiro Okazaki,Takatoshi Ashizawa,Kazuyasu Oone. Владелец: Nikon Corp. Дата публикации: 2001-06-05.

Device for handling and orientating pills or tablets in a precise manner

Номер патента: US20050092660A1. Автор: Vasilios Vasiadis. Владелец: Individual. Дата публикации: 2005-05-05.

Port free hydraulic unibody system and methodology for use in a well

Номер патента: US11821283B2. Автор: Farhan Ahmed OMER,Michael Underbrink,Susan Wu. Владелец: Schlumberger Technology Corp. Дата публикации: 2023-11-21.

Isolation structure in a semiconductor device processes and structures

Номер патента: US20140264721A1. Автор: Guo-Yu LAN. Владелец: Macronix International Co Ltd. Дата публикации: 2014-09-18.

Solenoid actuator in a hearing device

Номер патента: US11863935B2. Автор: Thomas Burns. Владелец: Starkey Laboratories Inc. Дата публикации: 2024-01-02.

Multiplexed heater array using ac drive for semiconductor processing

Номер патента: WO2013130210A1. Автор: John Pease,Neil Benjamin. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2013-09-06.

Chuck and semiconductor process using the same

Номер патента: US20150200144A1. Автор: Yung-Chang Lin,Ming-Tse Lin,Chung-Sung JANG. Владелец: United Microelectronics Corp. Дата публикации: 2015-07-16.

Multiplexed heater array using ac drive for semiconductor processing

Номер патента: US20160198524A1. Автор: John Pease,Neil Benjamin. Владелец: Lam Research Corp. Дата публикации: 2016-07-07.

Dispatch method for production line in semiconductor process, storage medium and semiconductor device

Номер патента: US11988969B2. Автор: Chin-Chang Huang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-05-21.

Power interconnect structure for balanced bitline capacitance in a memory array

Номер патента: EP1905082A1. Автор: Takao Akaogi. Владелец: SPANSION LLC. Дата публикации: 2008-04-02.

Metal-on-passivation resistor for current sensing in a chip-scale package

Номер патента: US20130334663A1. Автор: Cameron Jackson. Владелец: Micrel Inc. Дата публикации: 2013-12-19.

Method of manufacturing a semiconductor device using a halo implantation

Номер патента: EP1234335B1. Автор: Zoran Krivokapic,Brian Swanson,Ahmad Ghaemmaghami. Владелец: Advanced Micro Devices Inc. Дата публикации: 2006-11-15.

Method of forming a semiconductor device

Номер патента: US20170069550A1. Автор: Ran Yan,Pei-Yu Chou,Alban Zaka. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-09.

Process for adjusting the carrier lifetime in a semiconductor component

Номер патента: US6159830A. Автор: Norbert Galster,Jan Vobecky,Pavel Hazdra. Владелец: ABB Asea Brown Boveri Ltd. Дата публикации: 2000-12-12.

Method of filling a trench formed in a semiconductor substrate

Номер патента: US20240096620A1. Автор: Bilel SAIDI. Владелец: STMicroelectronics Crolles 2 SAS. Дата публикации: 2024-03-21.

Method and system for measuring laser induced phenomena changes in a semiconductor device

Номер патента: US20060284625A1. Автор: Jacob Phang,Soon Tan,Choon Chua,Lian Koh,Hoo Ng. Владелец: SEMICAPS PTE LTD. Дата публикации: 2006-12-21.

Semiconductor package device and semiconductor process

Номер патента: US11721645B2. Автор: Wen-Long Lu. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2023-08-08.

Method of forming a semiconductor device

Номер патента: US12040218B2. Автор: Frederick Chen. Владелец: Winbond Electronics Corp. Дата публикации: 2024-07-16.

Semiconductor devices and semiconductor systems including a semiconductor device

Номер патента: US20190267051A1. Автор: Kwandong KIM. Владелец: SK hynix Inc. Дата публикации: 2019-08-29.

Ue timing adjustment in a multi-rat, carrier aggregation communication system

Номер патента: EP2638752A1. Автор: Rong Hu,Muhammad Kazmi. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2013-09-18.

Techniques for reducing disturbance in a semiconductor memory device

Номер патента: US20140056090A1. Автор: David Kim,Jungtae Kwon,Sunil Bhardwaj. Владелец: Micron Technology Inc. Дата публикации: 2014-02-27.

Processes for forming electronic devices including a semiconductor layer

Номер патента: US7217667B2. Автор: Marius K. Orlowski,Victor H. Vartanian. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2007-05-15.

Method for fabricating a semiconductor component and semiconductor component

Номер патента: US20060252222A1. Автор: Ulrike Gruening-Von Schwerin. Владелец: Qimonda AG. Дата публикации: 2006-11-09.

Information processing apparatus capable of reducing amount of radiation noise and control method therefor

Номер патента: US9825621B2. Автор: Tadashi Kawaguchi. Владелец: Canon Inc. Дата публикации: 2017-11-21.

Method for manufacturing a semiconductor device

Номер патента: US20030216055A1. Автор: Tomoyuki Irizumi. Владелец: Renesas Technology Corp. Дата публикации: 2003-11-20.

Manufacturing method of a semiconductor device

Номер патента: US12051745B2. Автор: WEI Liu,Zhenyi Xu,Zhendong MAO,Yi Gong. Владелец: Suzhou Oriental Semiconductor Co Ltd. Дата публикации: 2024-07-30.

Methods, apparatus and system for a self-aligned gate cut on a semiconductor device

Номер патента: US20190319112A1. Автор: Hui Zang,Laertis Economikos,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2019-10-17.

Semiconductor processing

Номер патента: US20200328076A1. Автор: Sanjeev Sapra,Jerome A. Imonigie,Armin Saeedi Vahdat,Sevim Korkmaz. Владелец: Micron Technology Inc. Дата публикации: 2020-10-15.

System and method for adjusting a material bed depth in a pulverizer mill

Номер патента: EP3595816A1. Автор: Paul Mackenzie Colson. Владелец: General Electric Technology GmbH. Дата публикации: 2020-01-22.

Method for forming a semiconductor device with a single-sided buried strap

Номер патента: US20080268590A1. Автор: Neng-Tai Shih,Ming-Cheng Chang. Владелец: Nanya Technology Corp. Дата публикации: 2008-10-30.

Manufacturing method of a semiconductor device

Номер патента: US20230268432A1. Автор: WEI Liu,Zhenyi Xu,Zhendong MAO,Yi Gong. Владелец: Suzhou Oriental Semiconductor Co Ltd. Дата публикации: 2023-08-24.

Method of manufacturing a semiconductor device and the corresponding semiconductor device

Номер патента: EP4220693A1. Автор: Mauro Mazzola,Fabio Marchisi. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2023-08-02.

Improvements in or relating to adjusting of a light source

Номер патента: WO2010044718A1. Автор: Michael Engstrand. Владелец: Michael Engstrand. Дата публикации: 2010-04-22.

Method for fabricating a gate mask of a semiconductor device

Номер патента: US7309627B2. Автор: Osamu Kato. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2007-12-18.

Method of forming a semiconductor device

Номер патента: US20110294235A1. Автор: Takuro Maede. Владелец: Elpida Memory Inc. Дата публикации: 2011-12-01.

Semiconductor process

Номер патента: US20160042957A1. Автор: Zhen Chen,Yuan-Hsiang Chang,Yi-Shan Chiu,Wei-Chang Liu,Wei Ta. Владелец: United Microelectronics Corp. Дата публикации: 2016-02-11.

Semiconductor process

Номер патента: US20140349467A1. Автор: Chun-Yuan Wu,Chin-Cheng Chien,Tien-Wei YU,Szu-Hao Lai,Ming-Hua Chang,Yu-Shu Lin. Владелец: United Microelectronics Corp. Дата публикации: 2014-11-27.

Method of Manufacturing a Semiconductor Die

Номер патента: US20180047719A1. Автор: Walter Rieger,Martin Vielemeyer,Gerhard Nöbauer,Martin Pölzl. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2018-02-15.

A semiconductor device in a thin active layer with high break-down voltage

Номер патента: SG54996A1. Автор: Andrej Litwin. Владелец: Ericsson Telefon Ab L M. Дата публикации: 1998-12-21.

Method for manufacturing a semiconductor device, and said semiconductor device

Номер патента: US7737030B2. Автор: Hisakazu Matsumori. Владелец: Toshiba Corp. Дата публикации: 2010-06-15.

Method for manufacturing a semiconductor device, and said semiconductor device

Номер патента: US20080277788A1. Автор: Hisakazu Matsumori. Владелец: Individual. Дата публикации: 2008-11-13.

Semiconductor device, a package substrate, and a semiconductor package

Номер патента: US20230154879A1. Автор: Jun Yong Song,Kang Hun KIM,Si Yun KIM. Владелец: SK hynix Inc. Дата публикации: 2023-05-18.

Method for fabricating a semiconductor device having a device isolation trench

Номер патента: US8338246B2. Автор: Song Hyeuk Im. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-12-25.

Camera adjusting focus using rotating method and object processing apparatus using the same

Номер патента: US20180324349A1. Автор: Hoyon KIM. Владелец: Gachisoft Inc. Дата публикации: 2018-11-08.

Processes for forming electronic devices including a semiconductor layer

Номер патента: US20060183288A1. Автор: Marius Orlowski,Victor Vartanian. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2006-08-17.

System For Monitoring Foreign Particles, Process Processing Apparatus And Method Of Electronic Commerce

Номер патента: US20120002196A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SUBSTRATE STAGE, SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING SYSTEM

Номер патента: US20120000612A1. Автор: Muraki Yusuke,ODAGIRI Masaya,FUJIHARA Jin. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-05.

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE TRANSFER METHOD ADOPTED IN SUBSTRATE PROCESSING APPARATUS

Номер патента: US20120004753A1. Автор: . Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-05.

INFORMATION PROCESSING APPARATUS, STAGE-UNDULATION CORRECTING METHOD, PROGRAM THEREFOR

Номер патента: US20120002032A1. Автор: Sakagami Junichi,Narusawa Ryu,Hirono Yu. Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

Fin for use in a semiconductor wafer heat processing apparatus

Номер патента: USD404373S. Автор: Norifumi Kimura. Владелец: Tokyo Electron Ltd. Дата публикации: 1999-01-19.

Processing tube for use in a semiconductor wafer heat processing apparatus

Номер патента: USD406113S. Автор: Shingo Watanabe,Tetsuya Hanagata. Владелец: Tokyo Electron Ltd. Дата публикации: 1999-02-23.

Ring for use in a semiconductor wafer heat processing apparatus

Номер патента: USD404372S. Автор: Katsutoshi Ishii. Владелец: Tokyo Electron Ltd. Дата публикации: 1999-01-19.

Manifold cover for use in a semiconductor wafer heat processing apparatus

Номер патента: USD404369S. Автор: Satoshi Kawachi. Владелец: Tokyo Electron Ltd. Дата публикации: 1999-01-19.

Fin for use in a semiconductor wafer heat processing apparatus

Номер патента: USD404374S. Автор: Norifumi Kimura. Владелец: Tokyo Electron Ltd. Дата публикации: 1999-01-19.

Heat retaining tube base for use in a semiconductor wafer head processing apparatus

Номер патента: USD404375S. Автор: Tomohisa Shimazu. Владелец: Tokyo Electron Ltd. Дата публикации: 1999-01-19.

Heat retaining tube for use in a semiconductor wafer heat processing apparatus

Номер патента: USD404016S. Автор: Katsutoshi Ishii. Владелец: Tokyo Electron Ltd. Дата публикации: 1999-01-12.

Heat retaining tube for use in a semiconductor wafer heat processing apparatus

Номер патента: USD405428S. Автор: Katsutoshi Ishii. Владелец: Tokyo Electron Ltd. Дата публикации: 1999-02-09.

Heating state detection device of a heat storage body in a heat storage type gas processing apparatus

Номер патента: JP6541906B1. Автор: 俊明 行岡,拓馬 松本. Владелец: Chugai Ro Co Ltd. Дата публикации: 2019-07-10.

MASS FLOW CONTROL SYSTEM, PLASMA PROCESSING APPARATUS, AND FLOW CONTROL METHOD

Номер патента: US20120000607A1. Автор: ETO Hideo,Ito Atsushi. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

IMAGE PROCESSING APPARATUS, IMAGE PROCESSING PROGRAM, AND IMAGE PROCESSING METHOD

Номер патента: US20120002010A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

VIDEO SIGNAL PROCESSING APPARATUS AND VIDEO SIGNAL PROCESSING METHOD

Номер патента: US20120002009A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

FLATBAND VOLTAGE ADJUSTMENT IN A SEMICONDUCTOR DEVICE

Номер патента: US20120001253A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Microwave Plasma Processing Apparatus

Номер патента: US20120000610A1. Автор: . Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-01-05.

TECHNIQUES FOR COMPENSATING MOVEMENT OF A TREATMENT TARGET IN A PATIENT

Номер патента: US20120004518A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND ASSOCIATED METHOD FOR PREVENTING OVERFILLING IN A DISHWASHER

Номер патента: US20120000535A1. Автор: Poyner Dennis A.,Mitchell Glen,Duckworth Jason,DeFilippi John,Francisco Virgil J.. Владелец: . Дата публикации: 2012-01-05.

Plasma Processing Apparatus

Номер патента: US20120000774A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

Номер патента: US20120000886A1. Автор: NISHINO Masaru,HONDA Masanobu,Kubota Kazuhiro,Ooya Yoshinobu. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-05.

SUBSTRATE PROCESSING APPARATUS

Номер патента: US20120000629A1. Автор: . Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-05.

IMAGE PROCESSING APPARATUS, METHOD, AND PROGRAM THAT CLASSIFIES DATA OF IMAGES

Номер патента: US20120002878A1. Автор: . Владелец: CASIO COMPUTER CO., LTD.. Дата публикации: 2012-01-05.

INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM

Номер патента: US20120001733A1. Автор: Kousaka Satoshi,Abeno Takashi. Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

Method for Determining an Analyte in a Sample

Номер патента: US20120002207A1. Автор: Lagae Liesbet,De Vlaminck Iwijn,Van Dorpe Pol. Владелец: . Дата публикации: 2012-01-05.

Formed Ceramic Receiver Element Adhered to a Semiconductor Lamina

Номер патента: US20120003775A1. Автор: Jackson Kathy J.,Agarwal Aditya. Владелец: TWIN CREEKS TECHNOLOGIES, INC.. Дата публикации: 2012-01-05.

ARRANGEMENT FOR SENSING WEIGHT OF AN OCCUPYING ITEM IN A VEHICULAR SEAT

Номер патента: US20120001463A1. Автор: Breed David S.,Johnson Wendell C.,DuVall Wilbur E.. Владелец: . Дата публикации: 2012-01-05.

Improvements in and relating to Adjustable Die-stocks for Threading Pipes and other Metal Bodies.

Номер патента: GB190505227A. Автор: Bradford Borden,William Alvin Neracher. Владелец: Individual. Дата публикации: 1905-08-31.

Measuring Mechanism in a Bore Hole of a Pointed Cutting Element

Номер патента: US20120000707A1. Автор: Morris Thomas,Hall David R.,Crockett Ronald B.. Владелец: . Дата публикации: 2012-01-05.

INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING APPARATUS, AND INFORMATION PROCESSING METHOD

Номер патента: US20120001937A1. Автор: . Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

VIDEO PROCESSING APPARATUS AND CONTROL METHOD THEREOF

Номер патента: US20120002013A1. Автор: Asanuma Tomoya. Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

IMAGE PROCESSING APPARATUS AND METHOD

Номер патента: US20120002071A1. Автор: Nishiyama Tomohiro. Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

IMAGE PROCESSING APPARATUS, SIGNAL PROCESSING APPARATUS, AND PROGRAM

Номер патента: US20120002074A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD

Номер патента: US20120002085A1. Автор: . Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

IMAGE PROCESSING APPARATUS, DISPLAY CONTROL METHOD, AND STORAGE MEDIUM

Номер патента: US20120002232A1. Автор: Inui Masanobu. Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

IMAGE PROCESSING APPARATUS, METHOD OF PROCESSING IMAGE, AND COMPUTER-READABLE RECORDING MEDIUM

Номер патента: US20120002879A1. Автор: . Владелец: OLYMPUS CORPORATION. Дата публикации: 2012-01-05.

IMAGE PROCESSING APPARATUS, METHOD, AND STORAGE MEDIUM

Номер патента: US20120002900A1. Автор: . Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

FAVOR TRACKING IN A SOCIAL GAME ENVIRONMENT

Номер патента: US20120004038A1. Автор: Van Luchene Andrew. Владелец: . Дата публикации: 2012-01-05.

Semiconductor package processing apparatus and control method thereof

Номер патента: SG140585A1. Автор: Jung Hyun Gyun. Владелец: Hanmi Semiconductor Co Ltd. Дата публикации: 2008-03-28.