Radical Etching in Gate Formation

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Radikalätzen in Gate-Bildung

Номер патента: DE102019125427A1. Автор: Chang-Sheng Lee,Tony Huang,Wei-Yu Tsai,Fu-Yao Nien. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-08-27.

Forming uniform WF metal layers in gate areas of nano-sheet structures

Номер патента: US09685522B1. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2017-06-20.

SELECTIVE FuSi GATE FORMATION IN GATE FIRST CMOS TECHNOLOGIES

Номер патента: US20160064382A1. Автор: Stefan Flachowsky,Peter Javorka,Gerd Zschatzsch. Владелец: Globalfoundries Inc. Дата публикации: 2016-03-03.

Mosfet gate formation

Номер патента: US20240178289A1. Автор: David Kent,Hungjin Kim,Ian Cousins,Epameinondas Efthymiou,Milan Madaras. Владелец: Nexperia BV. Дата публикации: 2024-05-30.

Fin and gate dimensions for optimizing gate formation

Номер патента: US10629706B2. Автор: Jhon Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-21.

Metal gate fill by optimizing etch in sacrificial gate profile

Номер патента: US20130005128A1. Автор: Bin Yang,Man Fai NG. Владелец: Globalfoundries Inc. Дата публикации: 2013-01-03.

Metal gate fill by optimizing etch in sacrificial gate profile

Номер патента: US8765537B2. Автор: Bin Yang,Man Fai NG. Владелец: Globalfoundries Inc. Дата публикации: 2014-07-01.

Method for Manufacturing Dummy Gate in Gate-Last Process and Dummy Gate in Gate-Last Process

Номер патента: US20140332958A1. Автор: Zhao Chao,Li Chunlong,Yan Jiang,Li Junfeng. Владелец: . Дата публикации: 2014-11-13.

Polysilicon gate formation in cmos transistors

Номер патента: WO2019133968A1. Автор: Umamaheswari Aghoram,Pushpa Mahalingam. Владелец: Texas Instruments Japan Limited. Дата публикации: 2019-07-04.

NEGATIVE CAPACITANCE MATCHING IN GATE ELECTRODE STRUCTURES

Номер патента: US20190115437A1. Автор: KRIVOKAPIC Zoran,Bentley Steven,Suvarna Puneet Harischandra,Galatage Rohit. Владелец: . Дата публикации: 2019-04-18.

GATE FORMATION WITH VARYING WORK FUNCTION LAYERS

Номер патента: US20180350955A1. Автор: CHEN STAN,WU Han-Wei,Chen Jin-Dah. Владелец: . Дата публикации: 2018-12-06.

SELECTIVE FuSi GATE FORMATION IN GATE FIRST CMOS TECHNOLOGIES

Номер патента: US20160064382A1. Автор: Flachowsky Stefan,Javorka Peter,Zschatzsch Gerd. Владелец: . Дата публикации: 2016-03-03.

Selective high-K formation in gate-last process

Номер патента: US10964542B2. Автор: Teng-Chun Tsai,Yasutoshi Okuno,Ziwei Fang,Fu-Ting Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-03-30.

UNIFORM LOW-K INNER SPACER MODULE IN GATE-ALL-AROUND (GAA) TRANSISTORS

Номер патента: US20180277656A1. Автор: Zhang Jingyun,Lee Choonghyun,Yeung Chun W.,Chao Robin H.. Владелец: . Дата публикации: 2018-09-27.

Selective high-k formation in gate last process

Номер патента: CN109786254B. Автор: 蔡腾群,方子韦,奧野泰利,颜甫庭. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-03-22.

Metal Gate Formation Through Etch Back Process

Номер патента: US20180040715A1. Автор: Chang Che-Cheng,LIN Chih-Han,Tseng Horng-Huei. Владелец: . Дата публикации: 2018-02-08.

Metal Gate Formation Through Etch Back Process

Номер патента: US20180342599A1. Автор: Chang Che-Cheng,LIN Chih-Han,Tseng Horng-Huei. Владелец: . Дата публикации: 2018-11-29.

Two Step Poly Etch LDMOS Gate Formation

Номер патента: US20110244644A1. Автор: Marco A. Zuniga. Владелец: Volterra Semiconductor LLC. Дата публикации: 2011-10-06.

Two step poly etch ldmos gate formation

Номер патента: WO2011126761A3. Автор: Marco A. Zuniga. Владелец: Volterra Semiconductor Corporation. Дата публикации: 2012-01-19.

Two Step Poly Etch LDMOS Gate Formation

Номер патента: US20140087531A1. Автор: Marco A. Zuniga. Владелец: Volterra Semiconductor LLC. Дата публикации: 2014-03-27.

Two step poly etch ldmos gate formation

Номер патента: SG184322A1. Автор: Marco A Zuniga. Владелец: Volterra Semiconductor Corp. Дата публикации: 2012-11-29.

Gate-to-bulk substrate isolation in gate-all-around devices

Номер патента: US20170256655A1. Автор: Josephine B. Chang,Michael A. Guillorn,Isaac Lauer,Xin Miao. Владелец: International Business Machines Corp. Дата публикации: 2017-09-07.

Gate-to-bulk substrate isolation in gate-all-around devices

Номер патента: US20170256655A1. Автор: Josephine B. Chang,Michael A. Guillorn,Isaac Lauer,Xin Miao. Владелец: International Business Machines Corp. Дата публикации: 2017-09-07.

Selective High-K Formation in Gate-Last Process

Номер патента: US20200013622A1. Автор: TSAI Teng-Chun,Fang Ziwei,OKUNO Yasutoshi,Yen Fu-Ting. Владелец: . Дата публикации: 2020-01-09.

Selective High-K Formation in Gate-Last Process

Номер патента: US20190148151A1. Автор: TSAI Teng-Chun,Fang Ziwei,OKUNO Yasutoshi,Yen Fu-Ting. Владелец: . Дата публикации: 2019-05-16.

Method for controlling defects in gate dielectrics

Номер патента: US7351626B2. Автор: Luigi Colombo,Antonio L. P. Rotondaro,Mark R. Visokay,James J. Chambers. Владелец: Texas Instruments Inc. Дата публикации: 2008-04-01.

Defect control in gate dielectrics

Номер патента: US20050136690A1. Автор: Luigi Colombo,James Chambers,Mark Visokay,Antonio Rotondaro. Владелец: Texas Instruments Inc. Дата публикации: 2005-06-23.

BOTTOM-UP METAL GATE FORMATION ON REPLACEMENT METAL GATE FINFET DEVICES

Номер патента: US20160204221A1. Автор: Yang Chih-Chao,Wang Junli,He Hong,Li Juntao. Владелец: . Дата публикации: 2016-07-14.

Bottom-up metal gate formation on replacement metal gate finfet devices

Номер патента: US20160204221A1. Автор: Hong He,Chih-Chao Yang,Juntao Li,Junli Wang. Владелец: International Business Machines Corp. Дата публикации: 2016-07-14.

Gate Formation Of Semiconductor Devices

Номер патента: US20210183713A1. Автор: Chang-Yun Chang,Chih-Hao Yu,Hsiu-Hao Tsao,Chang-Jhih Syu,Yu-Jiun PENG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-06-17.

Leakage Reduction in Gate-All-Around Devices

Номер патента: US20220052155A1. Автор: Jhon Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-02-17.

Leakage reduction in gate-all-around devices

Номер патента: US11374088B2. Автор: Jhon Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-06-28.

Gate Formation with Varying Work Function Layers

Номер патента: US20200251578A1. Автор: CHEN STAN,WU Han-Wei,Chen Jin-Dah. Владелец: . Дата публикации: 2020-08-06.

Gate formation with varying work function layers

Номер патента: US11830936B2. Автор: Stan Chen,Han-Wei Wu,Jin-Dah Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-28.

Gate Formation with Varying Work Function Layers

Номер патента: US20200251578A1. Автор: Stan Chen,Han-Wei Wu,Jin-Dah Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-08-06.

Self-Aligned Etch in Semiconductor Devices

Номер патента: US20210335783A1. Автор: Tsai Ching-Wei,Chang Shang-Wen,Chuang Cheng-Chi,CHIU Yi-Hsun,HUANG Yu-Xuan. Владелец: . Дата публикации: 2021-10-28.

Selective High-K Formation in Gate-Last Process

Номер патента: US20210225654A1. Автор: TSAI Teng-Chun,Fang Ziwei,OKUNO Yasutoshi,Yen Fu-Ting. Владелец: . Дата публикации: 2021-07-22.

Multiple dipole of different strengths in gate all around transistor

Номер патента: US20230261074A1. Автор: Jing Guo,Jingyun Zhang,Ruqiang Bao. Владелец: International Business Machines Corp. Дата публикации: 2023-08-17.

Methods for chamfering work function material layers in gate cavities having varying widths

Номер патента: US20190348508A1. Автор: Hui Zang,Guowei Xu,Rongtao Lu. Владелец: Globalfoundries Inc. Дата публикации: 2019-11-14.

METHOD OF METAL GATE FORMATION AND STRUCTURES FORMED BY THE SAME

Номер патента: US20200135589A1. Автор: Wann Clement Hsingjen,KO Chih-Hsin,HSU CHIA-MING,Lee Yi-Jing,CHEN I-Sheng,Cheng Ya-Yun,Lin Hau-Yu. Владелец: . Дата публикации: 2020-04-30.

Two-Step Dummy Gate Formation

Номер патента: US20170338326A1. Автор: Chih-Hao Wang,Kuo-Cheng Ching,Ying-Keung Leung,Carlos H. Diaz,Kuan-Ting Pan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-23.

MULTI-DEPTH ETCHING IN SEMICONDUCTOR ARRANGEMENT

Номер патента: US20210066132A1. Автор: Chiang Tsung-Yu,Chu-Hsuan Chen,Chao Hsin-Lung,Chen Kuan-Hsin. Владелец: . Дата публикации: 2021-03-04.

MULTI-DEPTH ETCHING IN SEMICONDUCTOR ARRANGEMENT

Номер патента: US20170084494A1. Автор: Chen Kuang-Hsin,Chiang Tsung-Yu,Chu-Hsuan Chen,Chao Hsin-Lung. Владелец: . Дата публикации: 2017-03-23.

MULTI-DEPTH ETCHING IN SEMICONDUCTOR ARRANGEMENT

Номер патента: US20190096766A1. Автор: Chen Kuang-Hsin,Chiang Tsung-Yu,Chu-Hsuan Chen,Chao Hsin-Lung. Владелец: . Дата публикации: 2019-03-28.

MULTI-DEPTH ETCHING IN SEMICONDUCTOR ARRANGEMENT

Номер патента: US20180174914A1. Автор: Chen Kuang-Hsin,Chiang Tsung-Yu,Chu-Hsuan Chen,Chao Hsin-Lung. Владелец: . Дата публикации: 2018-06-21.

Gate Formation Memory by Planarization

Номер патента: US20150179817A1. Автор: Mark T. Ramsbey,Chun Chen,Shenqing Fang,David Matsumoto. Владелец: SPANSION LLC. Дата публикации: 2015-06-25.

Gate Formation Memory by Planarization

Номер патента: US20160218227A1. Автор: FANG Shenqing,CHEN Chun,Ramsbey Mark T.,Matsumoto David. Владелец: . Дата публикации: 2016-07-28.

Gate formation memory by planarization

Номер патента: WO2015095717A1. Автор: Mark T. Ramsbey,Chun Chen,Shenqing Fang,David Matsumoto. Владелец: SPANSION LLC. Дата публикации: 2015-06-25.

Work function control in gate structures

Номер патента: US12132112B2. Автор: Weng Chang,Cheng-Lung Hung,Hsin-Yi Lee,Chi On Chui,Ji-Cheng Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-29.

Work Function Control In Gate Structures

Номер патента: US20240347636A1. Автор: Weng Chang,Cheng-Lung Hung,Hsin-Yi Lee,Chi On Chui,Ji-Cheng Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-17.

Structure with two adjacent metal layers in gate structure

Номер патента: US20220005952A1. Автор: Wang Zheng,Jagar Singh,Sudarshan Narayanan. Владелец: GlobalFoundries US Inc. Дата публикации: 2022-01-06.

Austrittsarbeitssteuerung in gate-strukturen

Номер патента: DE102020115412B3. Автор: Weng Chang,Cheng-Lung Hung,Hsin-Yi Lee,Ji-Cheng Chen,Chi Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-10-28.

Enhanced bottom dielectric isolation in gate-all-around devices

Номер патента: US20210305420A1. Автор: Kangguo Cheng,Ruilong Xie,Andrew Greene,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2021-09-30.

REDUCING GATE EXPANSION AFTER SOURCE AND DRAIN IMPLANT IN GATE LAST PROCESS

Номер патента: US20150076622A1. Автор: Liu Jinping,Zhan Hui,LEE Bongki,KRISHNAN Bharat,LUN Zhao. Владелец: . Дата публикации: 2015-03-19.

Source drain formation in gate all around transistor

Номер патента: WO2023034168A1. Автор: ASHISH Pal,El Mehdi Bazizi,Benjamin Colombeau. Владелец: Applied Materials, Inc.. Дата публикации: 2023-03-09.

Split gate formation with high density plasma (hdp

Номер патента: TWI359504B. Автор: Hebert Francois,Wang Yu,Chang Hong,TAI SUNG-SHAN,PAN Mengyu,Hu Yong-Zhong,Lou Yingying. Владелец: Alpha & Omega Semiconductor. Дата публикации: 2012-03-01.

STRUCTURE WITH TWO ADJACENT METAL LAYERS IN GATE STRUCTURE

Номер патента: US20220005952A1. Автор: SINGH Jagar,Narayanan Sudarshan,Zheng Wang. Владелец: . Дата публикации: 2022-01-06.

CONTROL OF LENGTH IN GATE REGION DURING PROCESSING OF VFET STRUCTURES

Номер патента: US20190035938A1. Автор: Xie Ruilong,Park Chanro,Sung Min Gyu,Bentley Steven. Владелец: . Дата публикации: 2019-01-31.

DUMMY GATE FORMATION USING SPACER PULL DOWN HARDMASK

Номер патента: US20170323951A1. Автор: Cheng Kangguo,Bergendahl Marc A.,LIE Fee Li,Miller Eric R.,Teehan Sean,Sporre John R.. Владелец: . Дата публикации: 2017-11-09.

Vertical fet replacement gate formation with variable fin pitch

Номер патента: WO2023040470A1. Автор: Yao Yao,Andrew M. Greene,Ruilong Xie,Veeraraghavan S. Basker. Владелец: Ibm (China) Co., Limited. Дата публикации: 2023-03-23.

High voltage gate formation

Номер патента: WO2014093611A1. Автор: Chun Chen,Shenqing Fang. Владелец: SPANSION LLC. Дата публикации: 2014-06-19.

Gate Formation Of Semiconductor Devices

Номер патента: US20230187288A1. Автор: Chang-Yun Chang,Chih-Hao Yu,Hsiu-Hao Tsao,Chang-Jhih Syu,Yu-Jiun PENG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-06-15.

High Voltage Gate Formation

Номер патента: US20140167137A1. Автор: Chun Chen,Shenqing Fang. Владелец: SPANSION LLC. Дата публикации: 2014-06-19.

Method for using thin spacers and oxidation in gate oxides

Номер патента: US20020127813A1. Автор: Salman Akram,Mohamed Ditali. Владелец: Individual. Дата публикации: 2002-09-12.

METHOD FOR ETCHING BACK HARD MASK LAYER ON TOPS OF DUMMY POLYSILICON GATES IN GATE LAST PROCESS

Номер патента: US20220102531A1. Автор: XU Ying,Huang Ran,Fu Shidong,Jiang Bohan. Владелец: . Дата публикации: 2022-03-31.

High Electron Mobility Transistor with Doped Semiconductor Region in Gate Structure

Номер патента: US20210234028A1. Автор: Prechtl Gerhard,Haeberlen Oliver,Detzel Thomas. Владелец: . Дата публикации: 2021-07-29.

Fin and Gate Dimensions for Optimizing Gate Formation

Номер патента: US20200091320A1. Автор: LIAW Jhon Jhy. Владелец: . Дата публикации: 2020-03-19.

Fin and Gate Dimensions for Optimizing Gate Formation

Номер патента: US20190348527A1. Автор: LIAW Jhon Jhy. Владелец: . Дата публикации: 2019-11-14.

Patterning a gate stack of a non-volatile memory (nvm) with simultaneous etch in non-nvm area

Номер патента: EP2423952A3. Автор: Mehul D. Shroff. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2014-04-02.

Patterning a gate stack of a non-volatile memory (nvm) with simultaneous etch in non-nvm area

Номер патента: US20120052670A1. Автор: Mehul D. Shroff. Владелец: Individual. Дата публикации: 2012-03-01.

Multi-depth etching in semiconductor arrangement

Номер патента: CN104465717A. Автор: 陈光鑫,江宗育,陈巨轩,赵信隆. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-03-25.

Patterning a gate stack of a non-volatile memory (NVM) with simultaneous etch in non-NVM area

Номер патента: TW201209894A. Автор: Mehul D Shroff. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2012-03-01.

Method for removing mottled etch in semiconductor fabricating process

Номер патента: US7276452B2. Автор: Hyung Seok Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-10-02.

Radical Etching in Gate Formation

Номер патента: US20200105908A1. Автор: Huang Tony,LEE Chang-Sheng,Tsai Wei-Yu,Nien Fu-Yao. Владелец: . Дата публикации: 2020-04-02.

Methods for transistor gate formation using gate sidewall implantation

Номер патента: US20030194851A1. Автор: Benjamin McKee,F. Johnson,Tad Grider. Владелец: Texas Instruments Inc. Дата публикации: 2003-10-16.

THREE-DIMENSIONAL FINFET TRANSISTOR WITH PORTION(S) OF THE FIN CHANNEL REMOVED IN GATE-LAST FLOW

Номер патента: US20170069759A1. Автор: Chi Min-Hwa,Zang Hui. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2017-03-09.

Method of manufacturing non-volatile semiconductor memory device storing charge in gate insulating layer therein

Номер патента: US20010041434A1. Автор: Akihiro Nakamura. Владелец: Sony Corp. Дата публикации: 2001-11-15.

POLYSILICON GATE FORMATION IN CMOS TRANSISTORS

Номер патента: US20190206689A1. Автор: Mahalingam Pushpa,Aghoram Umamaheswari. Владелец: . Дата публикации: 2019-07-04.

T-type gate formation method

Номер патента: KR970054538A. Автор: 이진희,박철순,박병선,김기홍,윤형섭,양전욱. Владелец: 양승택. Дата публикации: 1997-07-31.

Method of composite gate formation

Номер патента: US7323755B2. Автор: Ronald A Weimer. Владелец: Micron Technology Inc. Дата публикации: 2008-01-29.

Methods for transistor gate formation using gate sidewall implantation

Номер патента: US6682994B2. Автор: F. Scott Johnson,Benjamin P. Mckee,Tad Grider. Владелец: Texas Instruments Inc. Дата публикации: 2004-01-27.

MULTI-DEPTH ETCHING IN SEMICONDUCTOR ARRANGEMENT

Номер патента: US20150069528A1. Автор: Chiang Tsung-Yu,Chu-Hsuan Chen,Kuang-Hsin Chen,Chao Hsin-Lung. Владелец: . Дата публикации: 2015-03-12.

Controlling Gate Formation for High Density Cell Layout

Номер патента: US20150318367A1. Автор: Young Bao-Ru,Tsai Tsung-Chieh,MII Yuh-Jier,Chuang Harry Hak-Lay,Chen Kuei Shun,Liu Chia-Chu,Kuo Cheng-Cheng. Владелец: . Дата публикации: 2015-11-05.

Methods for silicon carbide gate formation

Номер патента: US20230207638A1. Автор: Yi Zheng,Er-Xuan Ping. Владелец: Applied Materials Inc. Дата публикации: 2023-06-29.

Method and apparatus for multi-film deposition and etching in a batch processing system

Номер патента: US09831099B2. Автор: David L. O'Meara,Anthony Dip. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-11-28.

Replacement gate formation in memory

Номер патента: WO2022235364A1. Автор: Thomas M. Graettinger. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2022-11-10.

Replacement gate formation in memory

Номер патента: US20230282730A1. Автор: Thomas M. Graettinger. Владелец: Micron Technology Inc. Дата публикации: 2023-09-07.

Lateral DMOS structure with lateral extension structure for reduced charge trapping in gate oxide

Номер патента: US20050214995A1. Автор: James Beasom. Владелец: INTERSIL AMERICAS LLC. Дата публикации: 2005-09-29.

SEMICONDUCTOR DEVICE AND METHOD FOR CONTROLLING GATE PROFILE USING THIN FILM STRESS IN GATE LAST PROCESS

Номер патента: US20170053913A1. Автор: Ryu Koung-Min,KANG Sang-Koo,Min Sun-Ki. Владелец: . Дата публикации: 2017-02-23.

Manufacture method of metal gates in gate-post process

Номер патента: CN102437032B. Автор: 王文武,项金娟. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2015-04-01.

Manufacture method of metal gates in gate-post process

Номер патента: CN102437032A. Автор: 王文武,项金娟. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-05-02.

Drain select gate formation methods and apparatus

Номер патента: US09842847B2. Автор: Gordon Haller,Luan C. Tran,Hongbin Zhu,Lijing Gou. Владелец: Micron Technology Inc. Дата публикации: 2017-12-12.

Methods for gate formation in circuit structures

Номер патента: US09640402B1. Автор: Xintuo Dai,Jiong Li. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-02.

Gate Formation Memory by Planarization

Номер патента: US20150179817A1. Автор: FANG Shenqing,CHEN Chun,Ramsbey Mark T.,Matsumoto David. Владелец: SPANSION LLC. Дата публикации: 2015-06-25.

METAL GATE FORMATION USING AN ENERGY REMOVAL FILM

Номер патента: US20180204929A1. Автор: Singh Sunil K.,Mishra Shiv K.. Владелец: . Дата публикации: 2018-07-19.

Double gate formation method of semiconductor device

Номер патента: KR19990027355A. Автор: 한석빈. Владелец: 엘지반도체 주식회사. Дата публикации: 1999-04-15.

Gate formation method of semiconductor device

Номер патента: KR100290778B1. Автор: 윤용혁,정의삼. Владелец: 박종섭. Дата публикации: 2001-06-01.

Methods for gate formation in circuit structures

Номер патента: US9947545B2. Автор: Xintuo Dai,Jiong Li. Владелец: Globalfoundries Inc. Дата публикации: 2018-04-17.

Double-pattern gate formation processing with critical dimension control

Номер патента: US20140220767A1. Автор: Xiang Hu. Владелец: Globalfoundries Inc. Дата публикации: 2014-08-07.

Methods for gate formation in circuit structures

Номер патента: US20170243748A1. Автор: Xintuo Dai,Jiong Li. Владелец: Globalfoundries Inc. Дата публикации: 2017-08-24.

Method for wafer etching in deep silicon trench etching process

Номер патента: US09728472B2. Автор: Xiaoming Li,Anna Zhang. Владелец: CSMC Technologies Fab1 Co Ltd. Дата публикации: 2017-08-08.

Two-step dummy gate formation

Номер патента: US09741821B1. Автор: Chih-Hao Wang,Kuo-Cheng Ching,Ying-Keung Leung,Carlos H. Diaz,Kuan-Ting Pan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-08-22.

ENHANCED BOTTOM DIELECTRIC ISOLATION IN GATE-ALL-AROUND DEVICES

Номер патента: US20210305420A1. Автор: Cheng Kangguo,Xie Ruilong,Frougier Julien,Greene Andrew. Владелец: . Дата публикации: 2021-09-30.

DIELECTRIC FILLER FINS FOR PLANAR TOPOGRAPHY IN GATE LEVEL

Номер патента: US20150333156A1. Автор: Doris Bruce B.,Cheng Kangguo,Nowak Edward J.,Khakifirooz Ali,Divakaruni Ramachandra,RIM Kern. Владелец: . Дата публикации: 2015-11-19.

Gate Formation Of Semiconductor Devices

Номер патента: US20210183713A1. Автор: Chang Chang-Yun,Yu Chih-Hao,PENG Yu-Jiun,Syu Chang-Jhih,Tsao Hsiu-Hao. Владелец: . Дата публикации: 2021-06-17.

GATE CUTS AFTER METAL GATE FORMATION

Номер патента: US20180277645A1. Автор: Xie Ruilong,Park Chanro,Sung Min Gyu. Владелец: . Дата публикации: 2018-09-27.

Two-Step Dummy Gate Formation

Номер патента: US20170338326A1. Автор: Wang Chih-hao,Ching Kuo-Cheng,DIAZ Carlos H.,PAN Kuan-Ting,Leung Ying-Keung. Владелец: . Дата публикации: 2017-11-23.

Verfahren zum BPSG-Glasverfüllen von Öffnungen in Gate-Elektrodenschichten

Номер патента: DE10153200B4. Автор: Thomas Schuster,Jurgen Amon,Jurgen Faul,Ralf Staub. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2005-08-04.

Vertical power transistor with built-in gate buffer

Номер патента: US20140374773A1. Автор: Sei-Hyung Ryu,David Grider,Charlotte Jonas,Craig Capell. Владелец: Cree Inc. Дата публикации: 2014-12-25.

Vertical power transistor with built-in gate control circuitry

Номер патента: WO2014209475A1. Автор: Sei-Hyung Ryu,David Grider,Charlotte Jonas,Craig Capell. Владелец: CREE, INC.. Дата публикации: 2014-12-31.

Methods for Forming Gates in Gate-Last Processes and Gate Areas formed by the Same

Номер патента: US20120080755A1. Автор: Ho Young Kim,Jaeseok Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2012-04-05.

Well Implant Through Dummy Gate Oxide In Gate-Last Process

Номер патента: US20150155382A1. Автор: Wang Ping-Wei,Hung Sheng Chiang,Huang Huai-Ying. Владелец: . Дата публикации: 2015-06-04.

Vertical power transistor with built-in gate buffer

Номер патента: US20140374773A1. Автор: Sei-Hyung Ryu,David Grider,Charlotte Jonas,Craig Capell. Владелец: Cree Inc. Дата публикации: 2014-12-25.

METHODS FOR CHAMFERING WORK FUNCTION MATERIAL LAYERS IN GATE CAVITIES HAVING VARYING WIDTHS

Номер патента: US20190348508A1. Автор: Xu Guowei,Zang Hui,Lu Rongtao. Владелец: . Дата публикации: 2019-11-14.

Void-free contact trench fill in gate-all-around fet archtecture

Номер патента: WO2022250820A1. Автор: Benjamin Colombeau,Byeong Chan Lee,Nicolas Louis BREIL. Владелец: Applied Materials, Inc.. Дата публикации: 2022-12-01.

Void-free contact trench fill in gate-all-around fet archtecture

Номер патента: US20220384258A1. Автор: Benjamin Colombeau,Byeong Chan Lee,Nicolas Louis BREIL. Владелец: Applied Materials Inc. Дата публикации: 2022-12-01.

Selective Etching in the Formation of Epitaxy Regions in MOS Devices

Номер патента: US20160163827A1. Автор: Lee Tze-Liang,Li Chii-Horng,Cheng Yu-Hung. Владелец: . Дата публикации: 2016-06-09.

Method for manufacturing control gate etch in semiconductor device

Номер патента: KR100489519B1. Автор: 고관주. Владелец: 동부아남반도체 주식회사. Дата публикации: 2005-05-16.

Method for controlling etching in pitch doubling

Номер патента: US09564342B2. Автор: Kosuke Ogasawara. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-02-07.

Insitu hardmask and metal etch in a single etcher

Номер патента: US6159863A. Автор: Susan Chen,Anne E. Sanderfer,Judi Quan Rizzuto. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-12-12.

Leakage Reduction in Gate-All-Around Devices

Номер патента: US20220052155A1. Автор: LIAW Jhon Jhy. Владелец: . Дата публикации: 2022-02-17.

Method for Manufacturing Dummy Gate in Gate-Last Process and Dummy Gate in Gate-Last Process

Номер патента: US20150035087A1. Автор: Zhao Chao,Li Chunlong,Yan Jiang,Li Junfeng. Владелец: . Дата публикации: 2015-02-05.

Method for manufacturing metal gate stack structure in gate-first process

Номер патента: US8598002B2. Автор: Qiuxia Xu,Yongliang Li. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-12-03.

Barrier in gate stack for improved gate dielectric integrity

Номер патента: US6770571B2. Автор: Nanseng Jeng,Aftab Ahmad. Владелец: Micron Technology Inc. Дата публикации: 2004-08-03.

METHODS OF FORMING FIELD EFFECT TRANSISTORS USING A GATE CUT PROCESS FOLLOWING FINAL GATE FORMATION

Номер патента: US20160056181A1. Автор: Nowak Edward J.,ANDERSON Brent A.. Владелец: . Дата публикации: 2016-02-25.

GATE FORMATION SCHEME FOR N-TYPE AND P-TYPE TRANSISTORS HAVING SEPARATELY TUNED THRESHOLD VOLTAGES

Номер патента: US20200118888A1. Автор: Kwon Unoh,Narayanan Vijay,Bao Ruqiang. Владелец: . Дата публикации: 2020-04-16.

GATE FORMATION SCHEME FOR N-TYPE AND P-TYPE TRANSISTORS HAVING SEPARATELY TUNED THRESHOLD VOLTAGES

Номер патента: US20200118889A1. Автор: Kwon Unoh,Narayanan Vijay,Bao Ruqiang. Владелец: . Дата публикации: 2020-04-16.

REPLACEMENT METAL GATE FORMATION OF PMOS ULTRA-LOW VOLTAGE DEVICES USING A THERMAL IMPLANT

Номер патента: US20200273707A1. Автор: Zhang Qintao,Shim Kyu-Ha. Владелец: Applied Materials, Inc.. Дата публикации: 2020-08-27.

Metal control gate formation in non-volatile storage

Номер патента: US20120187468A1. Автор: Vinod Robert Purayath,Takashi Whitney Orimoto,Jarrett Jun Liang. Владелец: SanDisk Technologies LLC. Дата публикации: 2012-07-26.

SEMICONDUCTOR DEVICE HAVING GATE ELECTRODE EMBEDDED IN GATE TRENCH

Номер патента: US20140015027A1. Автор: MIKASA Noriaki. Владелец: . Дата публикации: 2014-01-16.

DEVICES WITH FULLY AND PARTIALLY SILICIDED GATE STRUCTURES IN GATE FIRST CMOS TECHNOLOGIES

Номер патента: US20160204217A1. Автор: Flachowsky Stefan,Javorka Peter,Zschatzsch Gerd. Владелец: . Дата публикации: 2016-07-14.

A method and a system for characterising structures etched in a substrate

Номер патента: WO2023222393A1. Автор: Wolfgang Alexander IFF. Владелец: Unity Semiconductor. Дата публикации: 2023-11-23.

Gate formation process

Номер патента: US20220181215A1. Автор: Chih-Han Lin,Kuei-Yu Kao,Li-Ting Chen,Chi-Sheng Lai,Wei-Chung Sun. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-06-09.

Gate Formation Process

Номер патента: US20210265219A1. Автор: Chih-Han Lin,Kuei-Yu Kao,Li-Ting Chen,Chi-Sheng Lai,Wei-Chung Sun. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-08-26.

A method and a system for combined characterisation of structures etched in a substrate

Номер патента: EP4279862A1. Автор: Wolfgang Alexander IFF. Владелец: Unity Semiconductor SAS. Дата публикации: 2023-11-22.

Method and a system for combined characterisation of structures etched in a substrate

Номер патента: US11959737B2. Автор: Wolfgang Alexander IFF. Владелец: Unity Semiconductor SAS. Дата публикации: 2024-04-16.

Method and a system for combined characterisation of structures etched in a substrate

Номер патента: US20230375333A1. Автор: Wolfgang Alexander IFF. Владелец: Unity Semiconductor SAS. Дата публикации: 2023-11-23.

A method and a system for combined characterisation of structures etched in a substrate

Номер патента: WO2023222394A1. Автор: Wolfgang Alexander IFF. Владелец: Unity Semiconductor. Дата публикации: 2023-11-23.

Two Step Poly Etch LDMOS Gate Formation

Номер патента: US20140087531A1. Автор: Zuniga Marco A.. Владелец: Volterra Semiconductor Corporation. Дата публикации: 2014-03-27.

Gate formation process

Номер патента: US20220181215A1. Автор: Chih-Han Lin,Kuei-Yu Kao,Li-Ting Chen,Chi-Sheng Lai,Wei-Chung Sun. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-06-09.

FIN CUT DURING REPLACEMENT GATE FORMATION

Номер патента: US20180122708A1. Автор: Kanakasabapathy Sivananda K.,Greene Andrew M.,PRANATHARTHIHARAN Balasubramanian S.,Sporre John R.. Владелец: . Дата публикации: 2018-05-03.

METHODS FOR GATE FORMATION IN CIRCUIT STRUCTURES

Номер патента: US20170243748A1. Автор: Li Jiong,DAI Xintuo. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2017-08-24.

Gate formation method of gaas compound semiconductor device

Номер патента: KR0137545B1. Автор: 이종람,김해천,문재경. Владелец: 양승택. Дата публикации: 1998-06-01.

GATE FORMATION PROCESS

Номер патента: DE102020105664B4. Автор: Chih-Han Lin,Kuei-Yu Kao,Li-Ting Chen,Chi-Sheng Lai,Wei-Chung Sun. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-04-14.

A method and a system for characterising structures etched in a substrate

Номер патента: EP4279861A1. Автор: Wolfgang Alexander IFF. Владелец: Unity Semiconductor SAS. Дата публикации: 2023-11-22.

Method and a system for characterising structures etched in a substrate

Номер патента: US11959736B2. Автор: Wolfgang Alexander IFF. Владелец: Unity Semiconductor SAS. Дата публикации: 2024-04-16.

Method and a system for characterising structures etched in a substrate

Номер патента: US20230375332A1. Автор: Wolfgang Alexander IFF. Владелец: Unity Semiconductor SAS. Дата публикации: 2023-11-23.

Atomic layer etching in continuous plasma

Номер патента: US09991128B2. Автор: Lin Cui,Qing Xu,Qian Fu,Yiting Zhang,Ying Wu,Yoko Yamaguchi,Zhongkui Tan. Владелец: Lam Research Corp. Дата публикации: 2018-06-05.

Methods for Controlling Bevel Edge Etching in a Plasma Chamber

Номер патента: US20120074099A1. Автор: Yunsang Kim,Andrew D. Bailey, III,George Stojakovic,Tong Fang,Olivier Rigoutat. Владелец: Lam Research Corp. Дата публикации: 2012-03-29.

Method for etching in semiconductor device using hardmask layer

Номер патента: KR100838394B1. Автор: 오상록,유재선. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-06-13.

Atomic layer etching in continuous plasma

Номер патента: CN107045977B. Автор: 符谦,徐晴,吴垠,谭忠魁,张依婷,崔麟,山口叶子. Владелец: Lam Research Corp. Дата публикации: 2020-06-30.

Atomic layer etch in continuumpiston

Номер патента: CN107045977A. Автор: 符谦,徐晴,吴垠,谭忠魁,张依婷,崔麟,山口叶子. Владелец: Lam Research Corp. Дата публикации: 2017-08-15.

Atomic layer etching in continuous plasma

Номер патента: TW201739951A. Автор: 崔麟,謙 符,山口葉子,晴 徐,譚忠魁,垠 吳,張依婷. Владелец: 蘭姆研究公司. Дата публикации: 2017-11-16.

High Voltage Gate Formation

Номер патента: US20140167137A1. Автор: FANG Shenqing,CHEN Chun. Владелец: SPANSION LLC. Дата публикации: 2014-06-19.

CONDUCTORS HAVING A VARIABLE CONCENTRATION OF GERMANIUM FOR GOVERNING REMOVAL RATES OF THE CONDUCTOR DURING CONTROL GATE FORMATION

Номер патента: US20150364483A1. Автор: Koval Randy J.. Владелец: . Дата публикации: 2015-12-17.

Metal deposition and etch in high aspect-ratio features

Номер патента: US20230290647A1. Автор: Anchuan Wang,Zhenjiang Cui,Baiwei Wang,Rohan Puligoru Reddy,Xiaolin C. Chen. Владелец: Applied Materials Inc. Дата публикации: 2023-09-14.

Metal deposition and etch in high aspect-ratio features

Номер патента: US12087595B2. Автор: Anchuan Wang,Zhenjiang Cui,Baiwei Wang,Rohan Puligoru Reddy,Xiaolin C. Chen. Владелец: Applied Materials Inc. Дата публикации: 2024-09-10.

Method to prevent pattern collapse in features etched in sulfur dioxide-containing plasmas

Номер патента: US20030017420A1. Автор: Arpan Mahorowala. Владелец: International Business Machines Corp. Дата публикации: 2003-01-23.

METHOD AND APPARATUS FOR MULTI-FILM DEPOSITION AND ETCHING IN A BATCH PROCESSING SYSTEM

Номер патента: US20170236719A1. Автор: "OMeara David L.",Dip Anthony. Владелец: . Дата публикации: 2017-08-17.

method for removing photoresist after metal layer etching in semiconductor device

Номер патента: KR100439844B1. Автор: 김재필. Владелец: 삼성전자주식회사. Дата публикации: 2004-07-12.

Metal deposition and etch in high aspect-ratio features

Номер патента: WO2023172298A1. Автор: Anchuan Wang,Zhenjiang Cui,Baiwei Wang,Rohan Puligoru Reddy,Xiaolin C. Chen. Владелец: Applied Materials, Inc.. Дата публикации: 2023-09-14.

Gate Formation Process

Номер патента: US20210265219A1. Автор: LIN Chih-Han,Kao Kuei-Yu,Lai Chi-Sheng,Sun Wei-Chung,Chen Li-Ting. Владелец: . Дата публикации: 2021-08-26.

Replacement gate formation in memory

Номер патента: US20220359716A1. Автор: Thomas M. Graettinger. Владелец: Micron Technology Inc. Дата публикации: 2022-11-10.

Two-step fluorine radical etch of hafnium oxide

Номер патента: US09960049B2. Автор: Jie Liu,Zhenjiang Cui,Hanshen Zhang. Владелец: Applied Materials Inc. Дата публикации: 2018-05-01.

MOLECULAR RADICAL ETCH CHEMISTRY FOR INCREASED THROUGHPUT IN PULSED PLASMA APPLICATIONS

Номер патента: US20150118854A1. Автор: Engelmann Sebastian U.,NAKAMURA Masahiro,Marchack Nathan P.. Владелец: . Дата публикации: 2015-04-30.

TWO-STEP FLUORINE RADICAL ETCH OF HAFNIUM OXIDE

Номер патента: US20170338119A1. Автор: Liu Jie,Cui Zhenjiang,Zhang Hanshen. Владелец: . Дата публикации: 2017-11-23.

Binary non-crystalline oxide analogs of silicon dioxide for use in gate dielectrics

Номер патента: US6552403B1. Автор: Gerald Lucovsky. Владелец: North Carolina State University. Дата публикации: 2003-04-22.

DOUBLE-PATTERN GATE FORMATION PROCESSING WITH CRITICAL DIMENSION CONTROL

Номер патента: US20140220767A1. Автор: HU Xiang. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2014-08-07.

Controlling gate formation by removing dummy gate structures

Номер патента: US7432179B2. Автор: Harry Chuang,Kong-Beng Thei. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2008-10-07.

T-gate formation

Номер патента: CN100421217C. Автор: F·林斯肯司,R·佩伦斯. Владелец: ASML Netherlands BV. Дата публикации: 2008-09-24.

T-gate formation

Номер патента: SG113599A1. Автор: Linskens Frank,Pellens Rudy. Владелец: Rohm & Haas Elect Mat. Дата публикации: 2005-08-29.

Controlling system for gate formation of semiconductor devices

Номер патента: TWI276158B. Автор: Pin-Chia Su,Li-Te Hsu,Mei-Jen Wu,Chia-Tsung Tso,Jiun-Hong Lai. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2007-03-11.

Plasma-enhanced etching in an augmented plasma processing system

Номер патента: US09418859B2. Автор: Andrew D. Bailey, III,Rajinder Dhindsa,Eric A. Hudson. Владелец: Lam Research Corp. Дата публикации: 2016-08-16.

Methods for the optimization of substrate etching in a plasma processing system

Номер патента: WO2005091974A9. Автор: Jisoo Kim,Bi-Ming Yen,Peter K Loewenhardt,Binet Worsham. Владелец: Binet Worsham. Дата публикации: 2005-11-24.

Method for Fast Macropore Etching in n-Type Silicon

Номер патента: US20110294302A1. Автор: Helmut Foell,Juergen Carstensen,Emmanuel Ossei-Wusu,Ala Cojocaru. Владелец: Christian Albrechts Universitaet Kiel. Дата публикации: 2011-12-01.

Plasma-enhanced etching in an augmented plasma processing system

Номер патента: SG2013065065A. Автор: Dhindsa Rajinder,Hudson Eric,D Bailey Andrew Iii. Владелец: Lam Res Corp. Дата публикации: 2014-03-28.

Plasma-enhanced etching in an augmented plasma processing system

Номер патента: SG10201601331PA. Автор: Dhindsa Rajinder,Hudson Eric,D Bailey Andrew Iii. Владелец: Lam Res Corp. Дата публикации: 2016-03-30.

Method for detecting an end point of etching in a plasma-enhanced etching process

Номер патента: TW447037B. Автор: Toshitaka Shiobara. Владелец: Nippon Electric Co. Дата публикации: 2001-07-21.

Etch stop layer for silicon (Si) via etch in three-dimensional (3-D) wafer-to-wafer vertical stack

Номер патента: US20030205824A1. Автор: Sarah Kim,R. List,Tom Letson. Владелец: Individual. Дата публикации: 2003-11-06.

Uniform dry etch in two stages

Номер патента: US20120196447A1. Автор: Jing Tang,Nitin Ingle,Dongqing Yang. Владелец: Applied Materials Inc. Дата публикации: 2012-08-02.

METHOD FOR CONTROLLING ETCHING IN PITCH DOUBLING

Номер патента: US20160093501A1. Автор: OGASAWARA Kosuke. Владелец: . Дата публикации: 2016-03-31.

ATOMIC LAYER ETCHING IN CONTINUOUS PLASMA

Номер патента: US20170229311A1. Автор: Xu Qing,Wu Ying,YAMAGUCHI Yoko,Zhang Yiting,TAN Zhongkui,FU Qian,CUI Lin. Владелец: . Дата публикации: 2017-08-10.

Stopping An Etch In A Planar Layer After Etching A 3D Structure

Номер патента: US20140370625A1. Автор: THOMPSON Daniel Bryce. Владелец: . Дата публикации: 2014-12-18.

METHOD FOR WAFER ETCHING IN DEEP SILICON TRENCH ETCHING PROCESS

Номер патента: US20150332981A1. Автор: Li Xiaoming,ZHANG Anna. Владелец: CSMC TECHNOLOGIES FAB1 CO., LTD.. Дата публикации: 2015-11-19.

PLASMA-ENHANCED ETCHING IN AN AUGMENTED PLASMA PROCESSING SYSTEM

Номер патента: US20160358784A1. Автор: Bailey,Dhindsa Rajinder,Hudson Eric A.,III Andrew D.. Владелец: . Дата публикации: 2016-12-08.

METAL ETCH IN HIGH ASPECT-RATIO FEATURES

Номер патента: US20220359214A1. Автор: Wang Anchuan,Cui Zhenjiang,Wang Baiwei,Chen Xiaolin C.,Reddy Rohan Puligoru,Jan Oliver. Владелец: Applied Materials, Inc.. Дата публикации: 2022-11-10.

Method for plasma etching in process for fabrication of semiconductor device

Номер патента: CN1164761A. Автор: 李辉健,文大植,金成经,金敬勋,郭奎焕. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1997-11-12.

Uniform dry etch in two stages

Номер патента: US8741778B2. Автор: Jing Tang,Nitin Ingle,Dongqing Yang. Владелец: Applied Materials Inc. Дата публикации: 2014-06-03.

Method for plasma etching in process for fabrication of semiconductor device

Номер патента: CN1132232C. Автор: 李辉健,文大植,金成经,金敬勋,郭奎焕. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2003-12-24.

Stopping an etch in a planar layer after etching a 3d structure

Номер патента: EP3011606A1. Автор: Daniel Bryce THOMPSON. Владелец: GLO AB. Дата публикации: 2016-04-27.

Uniform dry etch in two stages

Номер патента: TWI541889B. Автор: 楊東青,湯靜,茵可尼汀. Владелец: 應用材料股份有限公司. Дата публикации: 2016-07-11.

Directable aperture etched in silicon

Номер патента: EP0328281A2. Автор: Mati Mikkor,Edward Nathan Sickafus. Владелец: Ford Motor Co Ltd. Дата публикации: 1989-08-16.

Directable aperture etched in silicon

Номер патента: CA1286423C. Автор: Edward N. Sickafus,Mati Mikkor. Владелец: Ford Motor Company of Canada Ltd. Дата публикации: 1991-07-16.

Backside etching in a scrubber

Номер патента: US20030209255A1. Автор: John White,Brian Brown,Fred Redeker,Madhavi Chandrachood,Michael Sugarman,Radha Nayak. Владелец: Applied Materials Inc. Дата публикации: 2003-11-13.

Methods for the optimization of substrate etching in a plasma processing system

Номер патента: US7078350B2. Автор: Jisoo Kim,Peter K. Loewenhardt,Bi-Ming Yen,Binet Worsham. Владелец: Lam Research Corp. Дата публикации: 2006-07-18.

Trimming Through Etching in Wafer to Wafer Bonding

Номер патента: US20240047216A1. Автор: Yu-Hung Lin,Kuo-Chung Yee,Shih-Peng Tai,Wei-Ming Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-08.

Metal etch in high aspect-ratio features

Номер патента: WO2022235378A1. Автор: Anchuan Wang,Zhenjiang Cui,Baiwei Wang,Rohan Puligoru Reddy,Xiaolin C. Chen,Oliver Jan. Владелец: Applied Materials, Inc.. Дата публикации: 2022-11-10.

SANDWICH SILICIDATION FOR FULLY SILICIDED GATE FORMATION

Номер патента: US20150162414A1. Автор: Flachowsky Stefan,Boschke Roman,Erben Elke. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2015-06-11.

HIGH VOLTAGE GATE FORMATION

Номер патента: US20140332876A1. Автор: FANG Shenqing,CHEN Chun. Владелец: . Дата публикации: 2014-11-13.

Method for halide etching in the presence of water of semi-conductor substrates

Номер патента: US5167761A. Автор: Johannes F. M. Westendorp,Hans W. Piekaar. Владелец: ASM International NV. Дата публикации: 1992-12-01.

Method and composition for dry etching in semiconductor fabrication

Номер патента: TW494492B. Автор: Changhun Lee,Yun-Yen Jack Yang. Владелец: Lam Res Corppration. Дата публикации: 2002-07-11.

Method and system for performing pulse-etching in a semiconductor device

Номер патента: TW201248730A. Автор: Yi-Nan Chen,Hsien-Wen Liu,Chih-Ching Lin. Владелец: Nanya Technology Corp. Дата публикации: 2012-12-01.

In-situ strip process for polysilicon etching in deep sub-micron technology

Номер патента: USRE40007E1. Автор: Horng-Wen Chen,Chi-How Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2008-01-22.

PLASMA-ENHANCED ETCHING IN AN AUGMENTED PLASMA PROCESSING SYSTEM

Номер патента: US20150206775A1. Автор: Bailey,Dhindsa Rajinder,Hudson Eric A.,III Andrew D.. Владелец: . Дата публикации: 2015-07-23.

Method for contact etching in ferroelectric memory device

Номер патента: KR20010061546A. Автор: 김재환. Владелец: 박종섭. Дата публикации: 2001-07-07.

Method for dry etching in semiconductor processing

Номер патента: KR100685733B1. Автор: 윤석훈,정민제. Владелец: 삼성전자주식회사. Дата публикации: 2007-02-23.

Silicon nitride etching in a single wafer apparatus

Номер патента: CN103021829A. Автор: 章勋明,陈昭成,黄国彬,于伟波,吕学青,周汉源. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-04-03.

Silicon-rich nitride etch stop layer for vapor HF etching in MEMS device fabrication

Номер патента: TW201118036A. Автор: Thomas Kieran Nunan,Christine H Tsau. Владелец: Analog Devices Inc. Дата публикации: 2011-06-01.

Method for etching in semiconductor device using dc bias control

Номер патента: KR100733405B1. Автор: 이헌철. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-06-29.

Methods of reducing photoresist distortion while etching in a plasma processing system

Номер патента: CN100423182C. Автор: M·斯里尼瓦桑,C·鲁苏. Владелец: Lam Research Corp. Дата публикации: 2008-10-01.

Silicon-rich nitride etch stop layer for vapor hf etching in mems device fabrication

Номер патента: WO2010147839A2. Автор: Thomas Kieran Nunan,Christine H. Tsau. Владелец: ANALOG DEVICES, INC.. Дата публикации: 2010-12-23.

Method for via etching in organo-silica-glass

Номер патента: US6914004B2. Автор: Keith J. Thompson. Владелец: Texas Instruments Inc. Дата публикации: 2005-07-05.

Silicon-rich nitride etch stop layer for vapor hf etching in mems device fabrication

Номер патента: WO2010147839A3. Автор: Thomas Kieran Nunan,Christine H. Tsau. Владелец: ANALOG DEVICES, INC.. Дата публикации: 2011-04-28.

Method for monitoring second gate over-etch in a semiconductor device

Номер патента: US6323047B1. Автор: Hao Fang,John Jianshi Wang,Kent Kuohua Chang. Владелец: Advanced Micro Devices Inc. Дата публикации: 2001-11-27.

Methods of reducing photoresist distortion while etching in a plasma processing system

Номер патента: US20040155012A1. Автор: Mukund Srinivasan,Camelia Rusu. Владелец: Lam Research Corp. Дата публикации: 2004-08-12.

Etch uniformity improvement in radical etch using confinement ring

Номер патента: WO2023154115A1. Автор: Andreas Fischer,Gnanamani Amburose,Julien MONBEIG. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2023-08-17.

Semiconductor device and process for reducing damaging breakdown in gate dielectrics

Номер патента: US20120077323A1. Автор: Taeho Kook,Tanya Nigam,Bonnie E. Weir. Владелец: Agere Systems LLC. Дата публикации: 2012-03-29.

Gate valve apparatus, vacuum treatment apparatus and opening method of valve body in gate valve apparatus

Номер патента: CN101431010B. Автор: 锅山裕树. Владелец: Tokyo Electron Ltd. Дата публикации: 2011-02-09.

Select Gate Formation for Nanodot Flat Cell

Номер патента: US20140151778A1. Автор: Matamis George,Kai James,Zhang Yuan,Purayath Vinod,LEE Donovan. Владелец: SANDISK TECHNOLOGIES, INC.. Дата публикации: 2014-06-05.

Configuration memory storing data by injecting carriers in gate insulating layer of MISFET

Номер патента: US09530502B2. Автор: Kosuke Tatsumura. Владелец: Toshiba Corp. Дата публикации: 2016-12-27.

Semiconductor device having word line embedded in gate trench

Номер патента: US20240147699A1. Автор: Yoshihiro Matsumoto,Toshiyasu Fujimoto. Владелец: Micron Technology Inc. Дата публикации: 2024-05-02.

Clipping method in gate-array master-slice integrated circuit

Номер патента: JPS6199349A. Автор: Shigeru Fujii,Yoshihisa Takayama,藤井 滋,高山 良久. Владелец: Fujitsu Ltd. Дата публикации: 1986-05-17.

DRAIN SELECT GATE FORMATION METHODS AND APPARATUS

Номер патента: US20180069015A1. Автор: Tran Luan C.,Gou Lijing,Haller Gordon,ZHU Hongbin. Владелец: . Дата публикации: 2018-03-08.

DRAIN SELECT GATE FORMATION METHODS AND APPARATUS

Номер патента: US20160233225A1. Автор: Tran Luan C.,Gou Lijing,Haller Gordon,ZHU Hongbin. Владелец: . Дата публикации: 2016-08-11.

Capacitors Integrated with Metal Gate Formation

Номер патента: US20110309420A1. Автор: Chung-Long Chang,Chia-Yi Chen,David Ding-Chung Lu,I-Lu Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2011-12-22.

Etching in the presence of alternating voltage profile and resulting porous structure

Номер патента: US09840789B2. Автор: Jie Zhang,Jian Lu,Yang Yang Li. Владелец: City University of Hong Kong CityU. Дата публикации: 2017-12-12.

Isolation of magnetic layers during etch in a magnetoresistive device

Номер патента: US09722176B2. Автор: Sanjeev Aggarwal,Sarin A. Deshpande,Chaitanya Mudivarthi. Владелец: Everspin Technologies Inc. Дата публикации: 2017-08-01.

High resolution deposition and etching in polymer films

Номер патента: US4968390A. Автор: Allen J. Bard,Oskar E. Huesser,Derek H. Craston. Владелец: University of Texas System. Дата публикации: 1990-11-06.

Method for polysilicon crystalline line width measurement post etch in undoped-poly process

Номер патента: US20010050560A1. Автор: James Li,Edward Nowak. Владелец: Individual. Дата публикации: 2001-12-13.

Avoiding Oxygen Plasma Damage During Hard Mask Etching in Magnetic Tunnel Junction (MTJ) Fabrication Process

Номер патента: US20200052196A1. Автор: Yang Yi,WANG YU-JEN,Shen Dongna. Владелец: . Дата публикации: 2020-02-13.

ISOLATION OF MAGNETIC LAYERS DURING ETCH IN A MAGNETORESISTIVE DEVICE

Номер патента: US20150102006A1. Автор: AGGARWAL Sanjeev,DESHPANDE Sarin A.,Mudivarthi Chaitanya. Владелец: . Дата публикации: 2015-04-16.

ISOLATION OF MAGNETIC LAYERS DURING ETCH IN A MAGNETORESISTIVE DEVICE

Номер патента: US20160126454A1. Автор: AGGARWAL Sanjeev,DESHPANDE Sarin A.,Mudivarthi Chaitanya. Владелец: . Дата публикации: 2016-05-05.

ETCHING IN THE PRESENCE OF ALTERNATING VOLTAGE PROFILE AND RESULTING POROUS STRUCTURE

Номер патента: US20150203984A1. Автор: Zhang Jie,Lu Jian,Li Yang Yang. Владелец: CITY UNIVERSITY OF HONG KONG. Дата публикации: 2015-07-23.

DUMMY VERTICAL STRUCTURES FOR ETCHING IN 3D NAND MEMORY AND OTHER CIRCUITS

Номер патента: US20210242228A1. Автор: Hu Chih-Wei,Yeh Teng-Hao,Jiang Yu-Wei. Владелец: MACRONIX INTERNATIONAL CO., LTD.. Дата публикации: 2021-08-05.

TOP ELECTRODE ETCH IN A MAGNETORESISTIVE DEVICE AND DEVICES MANUFACTURED USING SAME

Номер патента: US20150236250A1. Автор: NAGEL Kerry Joseph,AGGARWAL Sanjeev,DESHPANDE Sarin A.. Владелец: . Дата публикации: 2015-08-20.

ISOLATION OF MAGNETIC LAYERS DURING ETCH IN A MAGNETORESISTIVE DEVICE

Номер патента: US20170301857A1. Автор: AGGARWAL Sanjeev,DESHPANDE Sarin A.,Mudivarthi Chaitanya. Владелец: Everspin Technologies, Inc.. Дата публикации: 2017-10-19.

Method for repair-etch in semiconductor device

Номер патента: KR100677768B1. Автор: 박원성,유재선,공필구. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-02-02.

Plasma processing method

Номер патента: US20240194489A1. Автор: Motohiro Tanaka,Yasushi Sonoda,Yusuke Nakatani. Владелец: Hitachi High Tech Corp. Дата публикации: 2024-06-13.

Method for forming a split-gate device

Номер патента: US20150279854A1. Автор: Mehul D. Shroff,Mark D. Hall. Владелец: Individual. Дата публикации: 2015-10-01.

Mixed mode pulsing etching in plasma processing systems

Номер патента: WO2013098702A2. Автор: Keren Jacobs Kanarik. Владелец: LAM RESEARCH AG. Дата публикации: 2013-07-04.

Mixed mode pulsing etching in plasma processing systems

Номер патента: US09425025B2. Автор: Keren Jacobs Kanarik. Владелец: Lam Research Corp. Дата публикации: 2016-08-23.

Dfb laser with lateral bragg gratings and facet bragg reflectors etches in one step

Номер патента: WO2005124951A8. Автор: Richard Hogg,Kristian Groom. Владелец: Kristian Groom. Дата публикации: 2006-04-27.

Mixed mode pulsing etching in plasma processing systems

Номер патента: SG11201403634TA. Автор: Kanarik Keren Jacobs. Владелец: Lam Res Corp. Дата публикации: 2014-07-30.

Systems and methods for utilizing laser cutting and chemical etching in manufacturing wireless power antennas

Номер патента: US20220209576A1. Автор: Oleg Los. Владелец: Nucurrent Inc. Дата публикации: 2022-06-30.

Systems and methods for utilizing laser cutting and chemical etching in manufacturing wireless power antennas

Номер патента: US20220208449A1. Автор: Oleg Los. Владелец: Nucurrent Inc. Дата публикации: 2022-06-30.

Systems and Methods for Utilizing Laser Cutting and Chemical Etching in Manufacturing Wireless Power Antennas

Номер патента: US20230119513A1. Автор: Oleg Los. Владелец: Nucurrent Inc. Дата публикации: 2023-04-20.

Mixed Mode Pulsing Etching in Plasma Processing Systems

Номер патента: US20160322201A1. Автор: Keren Jacobs Kanarik. Владелец: Lam Research Corp. Дата публикации: 2016-11-03.

Mixed mode pulsing etching in plasma processing systems

Номер патента: US20130168354A1. Автор: Keren Jacobs Kanarik. Владелец: Individual. Дата публикации: 2013-07-04.

APPARATUS AND METHOD FOR DEPOSITION AND ETCH IN GAP FILL

Номер патента: US20180005801A1. Автор: van Schravendijk Bart J.,van Cleemput Patrick A.,Singhal Akhil,Freeborn Martin E.. Владелец: . Дата публикации: 2018-01-04.

MIXED MODE PULSING ETCHING IN PLASMA PROCESSING SYSTEMS

Номер патента: US20150020971A1. Автор: Kanarik Keren Jacobs. Владелец: . Дата публикации: 2015-01-22.

Mixed Mode Pulsing Etching in Plasma Processing Systems

Номер патента: US20160322201A1. Автор: Keren Jacobs Kanarik. Владелец: Lam Research Corp. Дата публикации: 2016-11-03.

APPARATUS AND METHOD FOR DEPOSITION AND ETCH IN GAP FILL

Номер патента: US20190385820A1. Автор: van Schravendijk Bart J.,van Cleemput Patrick A.,Singhal Akhil,Freeborn Martin E.. Владелец: . Дата публикации: 2019-12-19.

Apparatus and method for deposition and etch in gap fill

Номер патента: US9773643B1. Автор: Bart J. van Schravendijk,Akhil Singhal,Patrick A. Van Cleemput,Martin E. Freeborn. Владелец: Lam Research Corp. Дата публикации: 2017-09-26.

The modeling method of yield is etched in a kind of plasma etch process

Номер патента: CN103440361B. Автор: 宋亦旭,孙晓民,高扬福. Владелец: TSINGHUA UNIVERSITY. Дата публикации: 2016-02-24.

Mixed mode pulsing etching in plasma processing systems

Номер патента: SG10201608686TA. Автор: Kanarik Keren Jacobs. Владелец: Lam Res Corp. Дата публикации: 2016-12-29.

Gate valve with striction drive in gate

Номер патента: RU2756491C1. Автор: Андрей Леонидович Кузнецов. Владелец: Андрей Леонидович Кузнецов. Дата публикации: 2021-09-30.

Built-in gate driver

Номер патента: US09571089B2. Автор: Woo Seok Choi,Yong Ho Jang. Владелец: LG Display Co Ltd. Дата публикации: 2017-02-14.

Semiconductor device and method

Номер патента: US11784242B2. Автор: Che-Cheng Chang,Bo-Feng YOUNG,Po-Chi WU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-10.

Semiconductor Device and Method

Номер патента: US20240030319A1. Автор: Che-Cheng Chang,Bo-Feng YOUNG,Po-Chi WU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-25.

Control valves with built-in gate

Номер патента: RU2763246C2. Автор: Томас Н. ГАБРИЭЛЬ,Майкл МАККАРТИ. Владелец: ФИШЕР КОНТРОЛЗ ИНТЕРНЕШНЕЛ ЛЛС. Дата публикации: 2021-12-28.

A process for converting a metal carbide to diamond by etching in halogens

Номер патента: EP1414770A2. Автор: Yury Gogotsi,Sascha Welz,Michael J. McNallan,Daniel Ersoy. Владелец: University of Illinois. Дата публикации: 2004-05-06.

Improvements in gating the input to an integrator

Номер патента: GB1128407A. Автор: . Владелец: PETROLES D AUITAINE SOC NAT DE. Дата публикации: 1968-09-25.

Low power cycle to cycle bit transfer in gate drivers

Номер патента: EP4243287A2. Автор: Massimo Grasso,Sergio Morini,Amedeo Paganini,Davide Respigo. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2023-09-13.

Low power cycle to cycle bit transfer in gate drivers

Номер патента: EP4243287A3. Автор: Massimo Grasso,Sergio Morini,Amedeo Paganini,Davide Respigo. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2023-10-25.

GATE APPARATUS AND METHOD IN GATE APPARATUS

Номер патента: US20200357213A1. Автор: Suzuki Takashi,Nakatsuru Tsutomu,KITA Masato. Владелец: . Дата публикации: 2020-11-12.

Gate apparatus and method in gate apparatus

Номер патента: US11049347B2. Автор: Takashi Suzuki,Tsutomu Nakatsuru,Masato Kita. Владелец: Sony Corp. Дата публикации: 2021-06-29.

Improvements in gate pulse generator for static var compensator

Номер патента: AU5319090A. Автор: Naoko Sato. Владелец: Toshiba Corp. Дата публикации: 1990-10-18.

Low power cycle to cycle bit transfer in gate drivers

Номер патента: EP4243287B1. Автор: Massimo Grasso,Sergio Morini,Amedeo Paganini,Davide Respigo. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2024-09-04.

BUILT-IN GATE DRIVER

Номер патента: US20150116008A1. Автор: Choi Woo Seok,Jang Yong Ho. Владелец: . Дата публикации: 2015-04-30.

LOW POWER CYCLE TO CYCLE BIT TRANSFER IN GATE DRIVERS

Номер патента: US20200244265A1. Автор: Grasso Massimo,PAGANINI Amedeo,MORINI Sergio,RESPIGO Davide. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2020-07-30.

Built-in gate driver

Номер патента: CN104575350A. Автор: 崔祐硕,张容豪. Владелец: LG Display Co Ltd. Дата публикации: 2015-04-29.

Isolation circuit in gate driver

Номер патента: KR102226404B1. Автор: 김형욱,정세진,최유수. Владелец: 주식회사 실리콘핸즈. Дата публикации: 2021-03-11.

Low power cycle to cycle bit transfer in gate drivers

Номер патента: EP3687066A1. Автор: Massimo Grasso,Sergio Morini,Amedeo Paganini,Davide Respigo. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2020-07-29.

Improvements in gate pulse generator for static var compensator

Номер патента: AU612541B2. Автор: Naoko Sato. Владелец: Toshiba Corp. Дата публикации: 1991-07-11.

Uniformity of copper etching in the fabrication of multilayer printed circuit boards

Номер патента: US5127991A. Автор: Craig G. Smith,Sudarshan Lal. Владелец: AT&T Bell Laboratories Inc. Дата публикации: 1992-07-07.

Consolidation of staircase area etch and cmos contact area etch in 3d nand

Номер патента: WO2023206158A1. Автор: Liu Liu,Chuan Sun,Jianze ZHAO. Владелец: Intel NDTM US LLC. Дата публикации: 2023-11-02.

Isolation of magnetic layers during etch in a magnetoresistive device

Номер патента: WO2015057490A2. Автор: Sanjeev Aggarwal,Sarin A. Deshpande,Chaitanya Mudivarthi. Владелец: Everspin Technologies, Inc.. Дата публикации: 2015-04-23.

Isolation of magnetic layers during etch in a magnetoresistive device

Номер патента: EP3058604A2. Автор: Sanjeev Aggarwal,Sarin A. Deshpande,Chaitanya Mudivarthi. Владелец: Everspin Technologies Inc. Дата публикации: 2016-08-24.

Device forming a loudspeaker or the like with a voice coil chemically etched in a metal foil and its various applications

Номер патента: FR1407123A. Автор: Wolfgang Felix Ewald. Владелец: . Дата публикации: 1965-07-30.

Improved uniformity of copper etching in the fabrication of multilayer printed circuit boards

Номер патента: EP0517445B1. Автор: Sudarshan Lal,Craig Gordon Smith. Владелец: AT&T Corp. Дата публикации: 1995-03-29.

Isolation of magnetic layers during etch in a magnetoresistive device

Номер патента: EP3058604A4. Автор: Sanjeev Aggarwal,Sarin A. Deshpande,Chaitanya Mudivarthi. Владелец: Everspin Technologies Inc. Дата публикации: 2017-06-14.

Silicon carbide semiconductor device

Номер патента: US20240304716A1. Автор: Yoshihito ICHIKAWA. Владелец: Fuji Electric Co Ltd. Дата публикации: 2024-09-12.

Method for producing a semiconductor device

Номер патента: US09472655B1. Автор: Tatsuyoshi MIHARA. Владелец: Renesas Electronics Corp. Дата публикации: 2016-10-18.

RADICAL ETCHING APPARATUS AND METHOD

Номер патента: US20130306599A1. Автор: Inoue Hiroaki,Higuchi Yasushi,Ishikawa Michio. Владелец: ULVAC, INC.. Дата публикации: 2013-11-21.

Methods and systems for correcting X-pessimism in gate-level simulation or emulation

Номер патента: US09965575B2. Автор: Sanjeev Mahajan,Pranav Ashar,Ian Andrew Guyler. Владелец: Real Intent Inc. Дата публикации: 2018-05-08.

Round baler with bale holder in gate

Номер патента: US11825775B2. Автор: Daniel E. Derscheid,Austin J. Jones. Владелец: Deere and Co. Дата публикации: 2023-11-28.

Round baler with bale holder in gate

Номер патента: EP3942921A1. Автор: Daniel E. Derscheid,Austin J. Jones. Владелец: Deere and Co. Дата публикации: 2022-01-26.

AVOIDING DATA EXCHANGE IN GATE OPERATION FOR QUANTUM COMPUTING GATES ON A CHIP

Номер патента: US20200218787A1. Автор: Doi Jun. Владелец: . Дата публикации: 2020-07-09.

Flap Gate with Air Chamber in Gate Leaf

Номер патента: KR100520909B1. Автор: 김상국. Владелец: 하서산업 주식회사. Дата публикации: 2005-10-12.

Automatic gate control actuated by approaching vehicle - has hydraulic system operated by plate embedded in gate approach road

Номер патента: FR2478179A1. Автор: . Владелец: Warin Pierre. Дата публикации: 1981-09-18.

Apparatus for grinding plane, annular surfaces, especially on faying rings in gate valves

Номер патента: CA1054375A. Автор: Per Jensen. Владелец: SOLBERG RINO. Дата публикации: 1979-05-15.

Method of validating timing issues in gate-level simulation

Номер патента: US9104829B2. Автор: Chao Liang,Jian Zhou,Geng Zhong. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2015-08-11.

Sectional gates with intercommunication door in gates leaf

Номер патента: RU2370617C2. Автор: Эккехард ГРОС. Владелец: Х унд К Теккентруп КГ. Дата публикации: 2009-10-20.

Improvement in gates

Номер патента: US206150A. Автор: . Владелец: . Дата публикации: 1878-07-16.

Improvement in gates

Номер патента: US219789A. Автор: . Владелец: . Дата публикации: 1879-09-16.

Fflpeqvehent in gates

Номер патента: US60551A. Автор: . Владелец: . Дата публикации: 1866-12-18.

Improvement in gates

Номер патента: US110363A. Автор: . Владелец: . Дата публикации: 1870-12-20.

Improvement in gate-hinges

Номер патента: US133715A. Автор: . Владелец: . Дата публикации: 1872-12-10.

Improvement in gates

Номер патента: US221033A. Автор: . Владелец: . Дата публикации: 1879-10-28.

Improvement in gates

Номер патента: US184555A. Автор: . Владелец: . Дата публикации: 1876-11-21.

Improvement in gates

Номер патента: US130938A. Автор: . Владелец: . Дата публикации: 1872-08-27.

Improvement in gates

Номер патента: US124508A. Автор: . Владелец: . Дата публикации: 1872-03-12.

Improvement in gates

Номер патента: US201018A. Автор: . Владелец: . Дата публикации: 1878-03-05.

Improvement in gates

Номер патента: US191984A. Автор: . Владелец: . Дата публикации: 1877-06-12.

Improvement in gates

Номер патента: US41951A. Автор: . Владелец: . Дата публикации: 1864-03-15.

Improvement in gates

Номер патента: US218390A. Автор: . Владелец: . Дата публикации: 1879-08-12.

Improvement in gates

Номер патента: US58161A. Автор: . Владелец: . Дата публикации: 1866-09-18.

Improvement in gate and door fasteners

Номер патента: US200106A. Автор: . Владелец: . Дата публикации: 1878-02-05.

Improvement in gates

Номер патента: US173348A. Автор: . Владелец: . Дата публикации: 1876-02-08.

Improvement in gate-latches

Номер патента: US209702A. Автор: . Владелец: . Дата публикации: 1878-11-05.

Improvement in gates

Номер патента: US100129A. Автор: . Владелец: . Дата публикации: 1870-02-22.

Improvement in gates

Номер патента: US121654A. Автор: . Владелец: . Дата публикации: 1871-12-05.

Improvement in gates

Номер патента: US186662A. Автор: . Владелец: . Дата публикации: 1877-01-30.

Improvement in gates

Номер патента: US129733A. Автор: . Владелец: . Дата публикации: 1872-07-23.

Improvement in gates

Номер патента: US102783A. Автор: . Владелец: . Дата публикации: 1870-05-10.

Improvement in gates

Номер патента: US71675A. Автор: . Владелец: . Дата публикации: 1867-12-03.

Improvement in gates

Номер патента: US216447A. Автор: . Владелец: . Дата публикации: 1879-06-10.

Improvement in gates

Номер патента: US200398A. Автор: . Владелец: . Дата публикации: 1878-02-19.

Improvement in gates

Номер патента: US195819A. Автор: . Владелец: . Дата публикации: 1877-10-02.

Improvement in gates

Номер патента: US218826A. Автор: . Владелец: . Дата публикации: 1879-08-26.

Improvement in gates

Номер патента: US207274A. Автор: . Владелец: . Дата публикации: 1878-08-20.

Improvement in gates

Номер патента: US191671A. Автор: . Владелец: . Дата публикации: 1877-06-05.

Improvement in gates

Номер патента: US98194A. Автор: . Владелец: . Дата публикации: 1869-12-21.

Improvement in gates

Номер патента: US85607A. Автор: . Владелец: . Дата публикации: 1869-01-05.

Improvement in gates

Номер патента: US199227A. Автор: . Владелец: . Дата публикации: 1878-01-15.

Improvement in gates

Номер патента: US48322A. Автор: . Владелец: . Дата публикации: 1865-06-20.

Power sequence control circuit and applications in gate driver and LCD pannel

Номер патента: TW200947400A. Автор: Chih-Yuan Chang. Владелец: NOVATEK MICROELECTRONICS CORP. Дата публикации: 2009-11-16.

Improvement in gate-latches

Номер патента: US96041A. Автор: . Владелец: . Дата публикации: 1869-10-19.

Applied layout in gate protector for door

Номер патента: BR202016008230U2. Автор: Schwanke Marcos. Владелец: Schwanke Industrial Ltda. Дата публикации: 2017-10-17.

Improvement in gates

Номер патента: US174226A. Автор: . Владелец: . Дата публикации: 1876-02-29.

Improvement in gates

Номер патента: US194685A. Автор: . Владелец: . Дата публикации: 1877-08-28.

Improvement in gates

Номер патента: US212501A. Автор: . Владелец: . Дата публикации: 1879-02-18.

Improvement in gates for railroad-crossings

Номер патента: US167842A. Автор: . Владелец: . Дата публикации: 1875-09-21.

Improvement in gates

Номер патента: US212482A. Автор: . Владелец: . Дата публикации: 1879-02-18.

Improvement in gates

Номер патента: US217106A. Автор: . Владелец: . Дата публикации: 1879-07-01.

Improvement in gates

Номер патента: US111190A. Автор: . Владелец: . Дата публикации: 1871-01-24.

Improvement in gates

Номер патента: US83881A. Автор: . Владелец: . Дата публикации: 1868-11-10.

Improvement in gates for water-wheels

Номер патента: US10726A. Автор: . Владелец: . Дата публикации: 1854-04-04.

Improvement in gate-latches

Номер патента: US76918A. Автор: . Владелец: . Дата публикации: 1868-04-21.

Improvement in gates for railway-crossings

Номер патента: US160166A. Автор: . Владелец: . Дата публикации: 1875-02-23.

Improvement in gates

Номер патента: US194786A. Автор: . Владелец: . Дата публикации: 1877-09-04.

Improvement in gates

Номер патента: US85127A. Автор: . Владелец: . Дата публикации: 1868-12-22.

Improvement in gates

Номер патента: US117431A. Автор: . Владелец: . Дата публикации: 1871-07-25.

Improvement in gates

Номер патента: US199681A. Автор: . Владелец: . Дата публикации: 1878-01-29.

Improvement in gates

Номер патента: US117901A. Автор: . Владелец: . Дата публикации: 1871-08-08.

Improvement in gates

Номер патента: US205853A. Автор: . Владелец: . Дата публикации: 1878-07-09.

Improvement in gates

Номер патента: US125511A. Автор: . Владелец: . Дата публикации: 1872-04-09.

Improvement in gates

Номер патента: US76081A. Автор: . Владелец: . Дата публикации: 1868-03-31.

Improvement in gate-latches

Номер патента: US108971A. Автор: . Владелец: . Дата публикации: 1870-11-08.

Improvement in gates

Номер патента: US201288A. Автор: . Владелец: . Дата публикации: 1878-03-12.

Improvement in gates

Номер патента: US192745A. Автор: . Владелец: . Дата публикации: 1877-07-03.

Improvement in gate-latches

Номер патента: US197112A. Автор: . Владелец: . Дата публикации: 1877-11-13.

Improvement in gates

Номер патента: US56039A. Автор: . Владелец: . Дата публикации: 1866-07-03.

Improvement in gates

Номер патента: US116526A. Автор: . Владелец: . Дата публикации: 1871-06-27.

Improvement in gates

Номер патента: US100739A. Автор: . Владелец: . Дата публикации: 1870-03-15.

Improvement in gate-hinges

Номер патента: US165351A. Автор: . Владелец: . Дата публикации: 1875-07-06.

Improvement in gates

Номер патента: US110687A. Автор: . Владелец: . Дата публикации: 1871-01-03.

Improvement in gates

Номер патента: US176470A. Автор: . Владелец: . Дата публикации: 1876-04-25.

Improvement in gates

Номер патента: US97947A. Автор: . Владелец: . Дата публикации: 1869-12-14.

Improvement in gate

Номер патента: US81196A. Автор: . Владелец: . Дата публикации: 1868-08-18.

Improvement in gates

Номер патента: US131417A. Автор: . Владелец: . Дата публикации: 1872-09-17.

Improvement in gates

Номер патента: US207149A. Автор: . Владелец: . Дата публикации: 1878-08-20.

Improvement in gate-latches

Номер патента: US200660A. Автор: . Владелец: . Дата публикации: 1878-02-26.

Improvement in gate-hinges

Номер патента: US59202A. Автор: . Владелец: . Дата публикации: 1866-10-30.

Improvement in gates

Номер патента: US184181A. Автор: . Владелец: . Дата публикации: 1876-11-07.

Improvement in gates

Номер патента: US176021A. Автор: . Владелец: . Дата публикации: 1876-04-11.

Improvement in gates

Номер патента: US114101A. Автор: . Владелец: . Дата публикации: 1871-04-25.

Improvement in gates

Номер патента: US218513A. Автор: . Владелец: . Дата публикации: 1879-08-12.

Improvement in gates and chutes for water-wheels

Номер патента: US33241A. Автор: . Владелец: . Дата публикации: 1861-09-10.

Improvement in gates

Номер патента: US126958A. Автор: . Владелец: . Дата публикации: 1872-05-21.

Improvement in gate-shutters

Номер патента: US214949A. Автор: . Владелец: . Дата публикации: 1879-04-29.

Improvement in gate-hinges

Номер патента: US198167A. Автор: . Владелец: . Дата публикации: 1877-12-11.

Improvement in gates

Номер патента: US216726A. Автор: . Владелец: . Дата публикации: 1879-06-24.

Improvement in gates

Номер патента: US174598A. Автор: . Владелец: . Дата публикации: 1876-03-07.

Improvement in gates

Номер патента: US108628A. Автор: . Владелец: . Дата публикации: 1870-10-25.

Improvement in gates

Номер патента: US195972A. Автор: . Владелец: . Дата публикации: 1877-10-09.

Improvement in gates

Номер патента: US208976A. Автор: . Владелец: . Дата публикации: 1878-10-15.

Improvement in gate-hangers

Номер патента: US207825A. Автор: . Владелец: . Дата публикации: 1878-09-10.

Improvement in gates

Номер патента: US103583A. Автор: . Владелец: . Дата публикации: 1870-05-31.

Improvement in gate-fastenings

Номер патента: US68752A. Автор: . Владелец: . Дата публикации: 1867-09-10.

Improvement in gates

Номер патента: US172852A. Автор: . Владелец: . Дата публикации: 1876-02-01.

Improvement in gates for turbine water-wheels

Номер патента: US218301A. Автор: . Владелец: . Дата публикации: 1879-08-05.

Improvement in gates

Номер патента: US57782A. Автор: . Владелец: . Дата публикации: 1866-09-04.

Improvement in gates

Номер патента: US120358A. Автор: . Владелец: . Дата публикации: 1871-10-24.

Improvement in gate-latches

Номер патента: US171731A. Автор: . Владелец: . Дата публикации: 1876-01-04.

Improvement in gates

Номер патента: US221536A. Автор: . Владелец: . Дата публикации: 1879-11-11.

Improvement in gates

Номер патента: US40902A. Автор: . Владелец: . Дата публикации: 1863-12-15.

Improvement in gates

Номер патента: US46112A. Автор: . Владелец: . Дата публикации: 1865-01-31.

Improvement in gate-fasteners

Номер патента: US145362A. Автор: . Владелец: . Дата публикации: 1873-12-09.

Improvement in gates

Номер патента: US125947A. Автор: . Владелец: . Дата публикации: 1872-04-23.

Improvement in gates

Номер патента: US100759A. Автор: . Владелец: . Дата публикации: 1870-03-15.

Improvement in gates

Номер патента: US205565A. Автор: . Владелец: . Дата публикации: 1878-07-02.

Improvement in gates

Номер патента: US56019A. Автор: . Владелец: . Дата публикации: 1866-07-03.

Improvement in gates for turbines

Номер патента: US195460A. Автор: . Владелец: . Дата публикации: 1877-09-25.

Improvement in gates

Номер патента: US91723A. Автор: . Владелец: . Дата публикации: 1869-06-22.

Improvement in gate and door-springs

Номер патента: US116800A. Автор: . Владелец: . Дата публикации: 1871-07-11.

Improvement in gates

Номер патента: US216883A. Автор: . Владелец: . Дата публикации: 1879-06-24.

Improvement in gates

Номер патента: US192185A. Автор: . Владелец: . Дата публикации: 1877-06-19.

Improvement in gate-fasteners

Номер патента: US210659A. Автор: . Владелец: . Дата публикации: 1878-12-10.

Improvement in gates

Номер патента: US40557A. Автор: . Владелец: . Дата публикации: 1863-11-10.

Improvement in gates

Номер патента: US108186A. Автор: . Владелец: . Дата публикации: 1870-10-11.

Improvement in gate-latches

Номер патента: US147237A. Автор: . Владелец: . Дата публикации: 1874-02-10.

Improvement in gate-latches

Номер патента: US183518A. Автор: . Владелец: . Дата публикации: 1876-10-24.

Improvement in gates

Номер патента: US113020A. Автор: . Владелец: . Дата публикации: 1871-03-28.

Improvement in gates

Номер патента: US77564A. Автор: . Владелец: . Дата публикации: 1868-05-05.

Improvement in gates

Номер патента: US122764A. Автор: . Владелец: . Дата публикации: 1872-01-16.

Improvement in gates

Номер патента: US37536A. Автор: . Владелец: . Дата публикации: 1863-01-27.

Improvement in gates

Номер патента: US91932A. Автор: . Владелец: . Дата публикации: 1869-06-29.

Improvement in gates

Номер патента: US36817A. Автор: . Владелец: . Дата публикации: 1862-10-28.

Improvement in gates

Номер патента: US200555A. Автор: . Владелец: . Дата публикации: 1878-02-19.

Improvement in gates

Номер патента: US113334A. Автор: . Владелец: . Дата публикации: 1871-04-04.

Improvement in gates

Номер патента: US93977A. Автор: . Владелец: . Дата публикации: 1869-08-24.

Improvement in gates

Номер патента: US76920A. Автор: . Владелец: . Дата публикации: 1868-04-21.

Improvement in gates for railway-crossings

Номер патента: US152415A. Автор: . Владелец: . Дата публикации: 1874-06-23.

Improvement in gates

Номер патента: US111322A. Автор: . Владелец: . Дата публикации: 1871-01-31.

Improvement in gates

Номер патента: US128490A. Автор: . Владелец: . Дата публикации: 1872-07-02.

Improvement in gates for gangs for marble-saws

Номер патента: US119968A. Автор: . Владелец: . Дата публикации: 1871-10-17.

Improvement in gates

Номер патента: US120770A. Автор: . Владелец: . Дата публикации: 1871-11-07.

Improvement in gates

Номер патента: US111201A. Автор: . Владелец: . Дата публикации: 1871-01-24.

Improvement in gate-hinges

Номер патента: US156315A. Автор: . Владелец: . Дата публикации: 1874-10-27.

Improvement in gates

Номер патента: US215758A. Автор: . Владелец: . Дата публикации: 1879-05-27.

Improvement in gate-fastener

Номер патента: US89893A. Автор: . Владелец: . Дата публикации: 1869-05-11.

Improvement in gate-latches

Номер патента: US117401A. Автор: . Владелец: . Дата публикации: 1871-07-25.

Improvement in gates

Номер патента: US173839A. Автор: . Владелец: . Дата публикации: 1876-02-22.

Improvement in gates

Номер патента: US112843A. Автор: . Владелец: . Дата публикации: 1871-03-21.

Improvement in gate-hinges

Номер патента: US38843A. Автор: . Владелец: . Дата публикации: 1863-06-09.

Improvement in gates

Номер патента: US59060A. Автор: . Владелец: . Дата публикации: 1866-10-23.

Improvement in gates

Номер патента: US128259A. Автор: . Владелец: . Дата публикации: 1872-06-25.

Improvement in gates

Номер патента: US154382A. Автор: . Владелец: . Дата публикации: 1874-08-25.

Improvement in gate-posts

Номер патента: US50005A. Автор: . Владелец: . Дата публикации: 1865-09-19.

BUILT-IN GATE DRIVER AND DISPLAY DEVICE USING THE SAME

Номер патента: US20170004760A1. Автор: JANG Yong-Ho,CHOI Woo-Seok. Владелец: LG DISPLAY CO., LTD.. Дата публикации: 2017-01-05.

METHODS AND SYSTEMS FOR ELECTRONIC PAYMENT FOR PARKING IN GATED GARAGES

Номер патента: US20150025947A1. Автор: Rydbeck Nils,Dutta Santanu,Chakravartti Partha. Владелец: . Дата публикации: 2015-01-22.

Method for eliminating fake faults in gate-level simulation

Номер патента: US20220065931A1. Автор: Chia-Cheng PAI. Владелец: Silicon Motion Inc. Дата публикации: 2022-03-03.

Methods and Systems for Correcting X-Pessimism in Gate-Level Simulation or Emulation

Номер патента: US20170083650A1. Автор: Ashar Pranav,Guyler Ian Andrew,Mahajan Sanjeev. Владелец: Real Intent, Inc.. Дата публикации: 2017-03-23.

METHOD OF VALIDATING TIMING ISSUES IN GATE-LEVEL SIMULATION

Номер патента: US20150135153A1. Автор: Zhou Jian,LIANG Chao,ZHONG Geng. Владелец: Freescale Semiconductor, Inc.. Дата публикации: 2015-05-14.

TECHNIQUES TO MOLD PARTS WITH INJECTION-FORMED APERTURE IN GATE AREA

Номер патента: US20140272283A1. Автор: Swenson Paul M.. Владелец: . Дата публикации: 2014-09-18.

TECHNIQUES TO MOLD PARTS WITH INJECTION-FORMED APERTURE IN GATE AREA

Номер патента: US20190255748A1. Автор: Swenson Paul M.. Владелец: . Дата публикации: 2019-08-22.

METHODS AND SYSTEMS FOR ELECTRONIC PAYMENT FOR PARKING IN GATED GARAGES

Номер патента: US20150332517A1. Автор: Rydbeck Nils,Dutta Santanu,Chakravartti Partha. Владелец: . Дата публикации: 2015-11-19.

Improvement in gates

Номер патента: US64802A. Автор: . Владелец: . Дата публикации: 1867-05-14.

Techniques to mold parts with injection-formed aperture in gate area

Номер патента: US9221204B2. Автор: Paul M. Swenson. Владелец: Milacron Marketing Co LLC. Дата публикации: 2015-12-29.

Automatic positioning of lateral beam in gate type machining center

Номер патента: JPS6144562A. Автор: Shunsuke Wakaoka,俊介 若岡,Akihide Iwamura,岩村 明英. Владелец: Okuma Machinery Works Ltd. Дата публикации: 1986-03-04.

A liquid crystal display for compensating for signal delay in gate lines

Номер патента: KR100840324B1. Автор: 문승환. Владелец: 삼성전자주식회사. Дата публикации: 2008-06-20.

Built-in gate driver and display device using the same

Номер патента: KR102360787B1. Автор: 최우석,장용호. Владелец: 엘지디스플레이 주식회사. Дата публикации: 2022-02-10.

Valve assembly and method for operation of seal in gate valve assembly

Номер патента: WO2017158077A1. Автор: Jørn Syvertsen. Владелец: FMC Kongsberg Subsea AS. Дата публикации: 2017-09-21.

Improvement in gates

Номер патента: US38331A. Автор: . Владелец: . Дата публикации: 1863-04-28.

Fixing of bit on milling cutter - uses cone bolt to lock split round block in gated socket and holding bit between block segments

Номер патента: FR2462223A1. Автор: . Владелец: NANINI VITTORIO. Дата публикации: 1981-02-13.

PANEL AND THEIR APPLICATION METHOD FOR USE IN GATES

Номер патента: PT104106A. Автор: Daniel Jesus Lopes. Владелец: Tecno Pan Lda. Дата публикации: 2009-12-23.

Improvement in gate-hinges

Номер патента: US86658A. Автор: . Владелец: . Дата публикации: 1869-02-09.

Built-in gate driver and display device using the same

Номер патента: CN106328063A. Автор: 崔祐硕,张容豪. Владелец: LG Display Co Ltd. Дата публикации: 2017-01-11.

Improvement in gates

Номер патента: US130946A. Автор: . Владелец: . Дата публикации: 1872-08-27.

Improvement in gates

Номер патента: US56412A. Автор: . Владелец: . Дата публикации: 1866-07-17.

Circuit structure in gate side fan-out region

Номер патента: GB201610211D0. Автор: . Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2016-07-27.

Improvement in gates

Номер патента: US78389A. Автор: . Владелец: . Дата публикации: 1868-05-26.

Watertight structure of shaft housing in gate valve

Номер патента: KR101383013B1. Автор: 윤석현,김영길,전인. Владелец: 주식회사 에이치케이밸브. Дата публикации: 2014-04-07.

Improvement in gates

Номер патента: US222806A. Автор: . Владелец: . Дата публикации: 1879-12-23.

Improvement in gates

Номер патента: US196097A. Автор: . Владелец: . Дата публикации: 1877-10-16.

Jumbo erecting device and installation method in gate-type spatial window

Номер патента: CN106493531A. Автор: 王代发. Владелец: China 22MCC Group Corp Ltd. Дата публикации: 2017-03-15.

Circuit structure in gate side fan-out region

Номер патента: KR101876657B1. Автор: 샤오위 황. Владелец: 센젠 차이나 스타 옵토일렉트로닉스 테크놀로지 컴퍼니 리미티드. Дата публикации: 2018-08-02.

Top brush device in gate type car washer

Номер патента: JPS5981241A. Автор: Shinichi Sato,真一 佐藤. Владелец: Nisshin Seiki KK. Дата публикации: 1984-05-10.

Cleaning system used in gate

Номер патента: KR20230056837A. Автор: 김정환,윤영호,김규현,이지수. Владелец: 주식회사 힘펠. Дата публикации: 2023-04-28.

Improvement in gates

Номер патента: US119421A. Автор: . Владелец: . Дата публикации: 1871-09-26.

Improvements in gate valves

Номер патента: GB191197A. Автор: . Владелец: WHITEHALL PETROLEUM CORP Ltd. Дата публикации: 1923-01-11.

Built-in gate type engine

Номер патента: CN203321672U. Автор: 姚勇. Владелец: Individual. Дата публикации: 2013-12-04.

Improvement in gate-hinges

Номер патента: US129209A. Автор: . Владелец: . Дата публикации: 1872-07-16.

Improvement in gates

Номер патента: US192009A. Автор: . Владелец: . Дата публикации: 1877-06-12.

Improvement in gates

Номер патента: US173906A. Автор: . Владелец: . Дата публикации: 1876-02-22.

Measuring device, method are rocked in gate framing camera and its triggering

Номер патента: CN107941474A. Автор: 周帆,刘进元,蔡厚智,龙井华,付文勇. Владелец: SHENZHEN UNIVERSITY. Дата публикации: 2018-04-20.

Improvement in gates

Номер патента: US133682A. Автор: . Владелец: . Дата публикации: 1872-12-03.

Improvement in gates

Номер патента: US117263A. Автор: . Владелец: . Дата публикации: 1871-07-25.

Improvement in gates

Номер патента: US209257A. Автор: . Владелец: . Дата публикации: 1878-10-22.

Improvement in gates

Номер патента: US131043A. Автор: . Владелец: . Дата публикации: 1872-09-03.

Improvement in gates

Номер патента: US132809A. Автор: . Владелец: . Дата публикации: 1872-11-05.

Improvement in gates

Номер патента: US59406A. Автор: . Владелец: . Дата публикации: 1866-11-06.

Round baler with bale holder in gate

Номер патента: EP3942921B1. Автор: Daniel E. Derscheid,Austin J. Jones. Владелец: Deere and Co. Дата публикации: 2023-10-04.

Improvement in gates

Номер патента: US198838A. Автор: . Владелец: . Дата публикации: 1878-01-01.

Improvement in gates

Номер патента: US196735A. Автор: . Владелец: . Дата публикации: 1877-10-30.

Improvement in gates

Номер патента: US120932A. Автор: . Владелец: . Дата публикации: 1871-11-14.

Improvement in gates

Номер патента: US192971A. Автор: . Владелец: . Дата публикации: 1877-07-10.

Improvement in gates

Номер патента: US130306A. Автор: . Владелец: . Дата публикации: 1872-08-06.

Improvement in gates

Номер патента: US113294A. Автор: . Владелец: . Дата публикации: 1871-04-04.

Improvement in gates

Номер патента: US112174A. Автор: . Владелец: . Дата публикации: 1871-02-28.

Improvement in gates

Номер патента: US66832A. Автор: . Владелец: . Дата публикации: 1867-07-16.

Improvement in gates

Номер патента: US200514A. Автор: . Владелец: . Дата публикации: 1878-02-19.

Improvement in gate-rollers

Номер патента: US211796A. Автор: . Владелец: . Дата публикации: 1879-01-28.

Improvement in gates for castings

Номер патента: US153863A. Автор: . Владелец: . Дата публикации: 1874-08-04.

Improvement in gates

Номер патента: US185801A. Автор: . Владелец: . Дата публикации: 1876-12-26.

Improvement in gates

Номер патента: US54596A. Автор: . Владелец: . Дата публикации: 1866-05-08.

Improvement in gates

Номер патента: US69494A. Автор: . Владелец: . Дата публикации: 1867-10-01.

Improvement in gates

Номер патента: US108764A. Автор: . Владелец: . Дата публикации: 1870-11-01.

Improvement in gates

Номер патента: US220662A. Автор: . Владелец: . Дата публикации: 1879-10-14.

Improvement in gates

Номер патента: US64548A. Автор: . Владелец: . Дата публикации: 1867-05-07.

Improvement in gates for railway crossings

Номер патента: US105429A. Автор: . Владелец: . Дата публикации: 1870-07-19.

Improvement in gates

Номер патента: US111266A. Автор: . Владелец: . Дата публикации: 1871-01-24.

Improvement in gate-latches

Номер патента: US207823A. Автор: . Владелец: . Дата публикации: 1878-09-10.

Improvement in gate-hinges

Номер патента: US143764A. Автор: . Владелец: . Дата публикации: 1873-10-21.

Improvement in gates

Номер патента: US54381A. Автор: . Владелец: . Дата публикации: 1866-05-01.

Improvement in gates

Номер патента: US181636A. Автор: . Владелец: . Дата публикации: 1876-08-29.

Improvement in gates

Номер патента: US109609A. Автор: . Владелец: . Дата публикации: 1870-11-29.

Improvement in gates

Номер патента: US59045A. Автор: . Владелец: . Дата публикации: 1866-10-23.

Improvement in gates

Номер патента: US189745A. Автор: . Владелец: . Дата публикации: 1877-04-17.

Improvement in gates

Номер патента: US101765A. Автор: . Владелец: . Дата публикации: 1870-04-12.

Improvement in gates

Номер патента: US131039A. Автор: . Владелец: . Дата публикации: 1872-09-03.

Improvement in gate-latches

Номер патента: US195798A. Автор: . Владелец: . Дата публикации: 1877-10-02.

Improvement in gates

Номер патента: US112904A. Автор: . Владелец: . Дата публикации: 1871-03-21.

Improvement in gates

Номер патента: US116202A. Автор: . Владелец: . Дата публикации: 1871-06-20.

Improvement in gates

Номер патента: US118393A. Автор: . Владелец: . Дата публикации: 1871-08-22.

Improvement in gates

Номер патента: US183983A. Автор: . Владелец: . Дата публикации: 1876-10-31.

Improvement in gate-hinges

Номер патента: US178568A. Автор: . Владелец: . Дата публикации: 1876-06-13.

Improvement in gates

Номер патента: US88575A. Автор: . Владелец: . Дата публикации: 1869-04-06.

Iiviprovement in gates

Номер патента: US198588A. Автор: . Владелец: . Дата публикации: 1877-12-25.

Improvement in gates

Номер патента: US88773A. Автор: . Владелец: . Дата публикации: 1869-04-13.

Improvement in gates

Номер патента: US122973A. Автор: . Владелец: . Дата публикации: 1872-01-23.

Improvement in gate-latches

Номер патента: US134454A. Автор: . Владелец: . Дата публикации: 1872-12-31.

Improvement in gates

Номер патента: US54641A. Автор: . Владелец: . Дата публикации: 1866-05-08.

Transfer-in-gate

Номер патента: JPS55105887A. Автор: Makoto Suzuki,Masatoshi Takeshita,Keiichi Uehara,Teruaki Takeuchi. Владелец: HITACHI LTD. Дата публикации: 1980-08-13.

Improvement in gates

Номер патента: US209040A. Автор: . Владелец: . Дата публикации: 1878-10-15.

Improvement in gates

Номер патента: US108031A. Автор: . Владелец: . Дата публикации: 1870-10-04.

Improvement in gates

Номер патента: US193913A. Автор: . Владелец: . Дата публикации: 1877-08-07.

Improvement in gates

Номер патента: US204497A. Автор: . Владелец: . Дата публикации: 1878-06-04.

Improvement in gates

Номер патента: US181985A. Автор: . Владелец: . Дата публикации: 1876-09-05.

Improvement in gate-valves

Номер патента: US166032A. Автор: . Владелец: . Дата публикации: 1875-07-27.

Improvement in gates

Номер патента: US203396A. Автор: . Владелец: . Дата публикации: 1878-05-07.

Improvement in gates

Номер патента: US195864A. Автор: . Владелец: . Дата публикации: 1877-10-02.

Improvement in gates

Номер патента: US202728A. Автор: . Владелец: . Дата публикации: 1878-04-23.

Improvement in gates

Номер патента: US194678A. Автор: . Владелец: . Дата публикации: 1877-08-28.

Improvement in gates

Номер патента: US92329A. Автор: . Владелец: . Дата публикации: 1869-07-06.

Improvement in gates

Номер патента: US207032A. Автор: . Владелец: . Дата публикации: 1878-08-13.

Improvement in gate-latches

Номер патента: US169418A. Автор: . Владелец: . Дата публикации: 1875-11-02.

Improvement in gate-latches

Номер патента: US81209A. Автор: . Владелец: . Дата публикации: 1868-08-18.

Improvement in gate and dooe latches

Номер патента: US60644A. Автор: . Владелец: . Дата публикации: 1866-12-18.

Improvement in gates

Номер патента: US59743A. Автор: . Владелец: . Дата публикации: 1866-11-20.

Improvement in gates

Номер патента: US185097A. Автор: . Владелец: . Дата публикации: 1876-12-05.

Improvement in gates

Номер патента: US121188A. Автор: . Владелец: . Дата публикации: 1871-11-21.

Improvement in gates

Номер патента: US112762A. Автор: . Владелец: . Дата публикации: 1871-03-14.

Improvement in gates

Номер патента: US61832A. Автор: . Владелец: . Дата публикации: 1867-02-05.

Improvement in gate-latches

Номер патента: US197544A. Автор: . Владелец: . Дата публикации: 1877-11-27.

Improvement in gates

Номер патента: US192143A. Автор: . Владелец: . Дата публикации: 1877-06-19.

Improvement in gate-latches

Номер патента: US193630A. Автор: . Владелец: . Дата публикации: 1877-07-31.

Improvement in gates

Номер патента: US222956A. Автор: . Владелец: . Дата публикации: 1879-12-23.

Improvement in gates

Номер патента: US87206A. Автор: . Владелец: . Дата публикации: 1869-02-23.

Improvement in gates

Номер патента: US197828A. Автор: . Владелец: . Дата публикации: 1877-12-04.

Improvement in gates

Номер патента: US202508A. Автор: . Владелец: . Дата публикации: 1878-04-16.

Improvement in gates

Номер патента: US197599A. Автор: . Владелец: . Дата публикации: 1877-11-27.

Improvement in gates

Номер патента: US199847A. Автор: . Владелец: . Дата публикации: 1878-01-29.

Improvement in gates for water-wheels

Номер патента: US124273A. Автор: . Владелец: . Дата публикации: 1872-03-05.

Improvement in gates

Номер патента: US59084A. Автор: . Владелец: . Дата публикации: 1866-10-23.

Improvement in gates

Номер патента: US96436A. Автор: . Владелец: . Дата публикации: 1869-11-02.

Improvement in gate-latches

Номер патента: US193750A. Автор: . Владелец: . Дата публикации: 1877-07-31.

Improvement in gates

Номер патента: US123700A. Автор: . Владелец: . Дата публикации: 1872-02-13.

Improvement in gates

Номер патента: US191334A. Автор: . Владелец: . Дата публикации: 1877-05-29.

Improvement in gates

Номер патента: US99822A. Автор: . Владелец: . Дата публикации: 1870-02-15.

Improvement in gates

Номер патента: US55481A. Автор: . Владелец: . Дата публикации: 1866-06-12.

Improvement in gates

Номер патента: US129538A. Автор: . Владелец: . Дата публикации: 1872-07-16.

Improvement in gates

Номер патента: US214590A. Автор: . Владелец: . Дата публикации: 1879-04-22.

Improvement in gate-latches

Номер патента: US156043A. Автор: . Владелец: . Дата публикации: 1874-10-20.

Improvement in gates

Номер патента: US111676A. Автор: . Владелец: . Дата публикации: 1871-02-07.

Improvement in gates

Номер патента: US203196A. Автор: . Владелец: . Дата публикации: 1878-04-30.

Improvement in gates

Номер патента: US121181A. Автор: . Владелец: . Дата публикации: 1871-11-21.

Improvement in gates

Номер патента: US201614A. Автор: . Владелец: . Дата публикации: 1878-03-26.

Improvement in gates

Номер патента: US119661A. Автор: . Владелец: . Дата публикации: 1871-10-03.

Improvement in gates

Номер патента: US199758A. Автор: . Владелец: . Дата публикации: 1878-01-29.

Improvement in gate-latches

Номер патента: US111626A. Автор: . Владелец: . Дата публикации: 1871-02-07.

Improvement in gates

Номер патента: US96002A. Автор: . Владелец: . Дата публикации: 1869-10-19.

Improvement in gates

Номер патента: US88108A. Автор: . Владелец: . Дата публикации: 1869-03-23.

Improvement in gates

Номер патента: US128183A. Автор: . Владелец: . Дата публикации: 1872-06-18.

Improvement in gate-attachment

Номер патента: US85390A. Автор: . Владелец: . Дата публикации: 1868-12-29.

Improvement in gates

Номер патента: US59196A. Автор: . Владелец: . Дата публикации: 1866-10-30.

Improvement in gates

Номер патента: US88828A. Автор: . Владелец: . Дата публикации: 1869-04-13.

Improvement in gates

Номер патента: US131257A. Автор: . Владелец: . Дата публикации: 1872-09-10.

Improvement in gates

Номер патента: US94764A. Автор: . Владелец: . Дата публикации: 1869-09-14.

Improvement in gates

Номер патента: US61676A. Автор: . Владелец: . Дата публикации: 1867-01-29.

Improvement in gates for railway-crossings

Номер патента: US217592A. Автор: . Владелец: . Дата публикации: 1879-07-15.

Improvement in gates

Номер патента: US114177A. Автор: . Владелец: . Дата публикации: 1871-04-25.

Improvement in gates

Номер патента: US184080A. Автор: . Владелец: . Дата публикации: 1876-11-07.

Improvement in gates

Номер патента: US42422A. Автор: . Владелец: . Дата публикации: 1864-04-19.

Improvement in gate-posts

Номер патента: US86383A. Автор: . Владелец: . Дата публикации: 1869-02-02.

Improvement in gates

Номер патента: US215531A. Автор: . Владелец: . Дата публикации: 1879-05-20.

Improvement in gate-latches

Номер патента: US156345A. Автор: . Владелец: . Дата публикации: 1874-10-27.

Improvement in gates

Номер патента: US200840A. Автор: . Владелец: . Дата публикации: 1878-03-05.

Improvement in gates

Номер патента: US76190A. Автор: . Владелец: . Дата публикации: 1868-03-31.

Improvement in gates

Номер патента: US189814A. Автор: . Владелец: . Дата публикации: 1877-04-17.

Improvement in gate-latches

Номер патента: US116042A. Автор: . Владелец: . Дата публикации: 1871-06-20.

Improvement in gates pok water-wheels

Номер патента: US65140A. Автор: . Владелец: . Дата публикации: 1867-05-28.

Improvement in gate-latches

Номер патента: US119374A. Автор: . Владелец: . Дата публикации: 1871-09-26.

Improvement in gate-latches

Номер патента: US201406A. Автор: . Владелец: . Дата публикации: 1878-03-19.

Improvement in gates for railway crossings

Номер патента: US138527A. Автор: . Владелец: . Дата публикации: 1873-05-06.

Improvement in gates

Номер патента: US111124A. Автор: . Владелец: . Дата публикации: 1871-01-24.

Improvement in gates

Номер патента: US85091A. Автор: . Владелец: . Дата публикации: 1868-12-22.

Multi-functional layer for stop etch in laser coating removal

Номер патента: US12036623B2. Автор: Jesse Tice,Mark W. Knight,Patrick W. Shindo,Connor Limburg. Владелец: Northrop Grumman Systems Corp. Дата публикации: 2024-07-16.

A process for converting a metal carbide to diamond by etching in halogens

Номер патента: AU2002309542A1. Автор: Yury Gogotsi,Sascha Welz,Michael J. McNallan,Daniel Ersoy. Владелец: University of Illinois. Дата публикации: 2002-11-05.

Multi-functional layer for stop etch in laser coating removal

Номер патента: EP4416057A1. Автор: Jesse Tice,Mark W. Knight,Patrick W. Shindo,Connor Limburg. Владелец: Northrop Grumman Systems Corp. Дата публикации: 2024-08-21.

Differential etching in acid fracturing

Номер патента: US20050113263A1. Автор: John Still,Diankui Fu,Zhijun Xiao,J. Brown. Владелец: Schlumberger Technology Corp. Дата публикации: 2005-05-26.

DETERMINING CONTACT EDGE ROUGHNESS OF A CONTACT HOLE ETCHED IN A WAFER

Номер патента: US20160292845A1. Автор: CHENG CHING-REN. Владелец: . Дата публикации: 2016-10-06.

METHOD FOR RECESS ETCHING IN MICROMECHANICAL DEVICES

Номер патента: US20180346326A1. Автор: Fujii Hidetoshi. Владелец: . Дата публикации: 2018-12-06.

Treatment method for incomplete etching in PCB negative film process

Номер патента: CN112672525B. Автор: 薛蕾,胡伦洪,李仕武,梁欢欢. Владелец: Guangzhou Guanghe Technology Co Ltd. Дата публикации: 2022-05-17.

Inkjet printhead with deep reverse etch in integrated circuit wafer

Номер патента: US7018021B2. Автор: Kia Silverbrook. Владелец: SILVERBROOK RESEARCH PTY LTD. Дата публикации: 2006-03-28.

Low temperature etching in cold-wall CVD systems.

Номер патента: EP0638923A3. Автор: David Carlson,H Peter W Hey,James C Hann. Владелец: Applied Materials Inc. Дата публикации: 1995-11-08.

Process for converting a metal carbide to carbon by etching in halogens

Номер патента: US6579833B1. Автор: Yury Gogotsi,Michael J. McNallan,Daniel Ersoy. Владелец: University of Illinois. Дата публикации: 2003-06-17.

Treatment method for incomplete etching in PCB negative film process

Номер патента: CN112672525A. Автор: 薛蕾,胡伦洪,李仕武,梁欢欢. Владелец: Guangzhou Guanghe Technology Co Ltd. Дата публикации: 2021-04-16.

A kind of method utilizing plasma etching in site measurement polymeric sublayer spectrum

Номер патента: CN106092928A. Автор: 鲁广昊,鲁万龙,卜腊菊. Владелец: Xian Jiaotong University. Дата публикации: 2016-11-09.

Multi-functional layer for stop etch in laser coating removal

Номер патента: US20230113120A1. Автор: Jesse Tice,Mark W. Knight,Patrick W. Shindo,Connor Limburg. Владелец: Northrop Grumman Systems Corp. Дата публикации: 2023-04-13.

Semiconductor device

Номер патента: US7528423B2. Автор: Tetsuzo Ueda,Daisuke Ueda,Manabu Yanagihara,Yutaka Hirose,Hiroaki Ueno,Yasuhiro Uemoto,Tsuyoshi Tanaka,Masahiro Hikita. Владелец: Panasonic Corp. Дата публикации: 2009-05-05.

Gate control device, semiconductor device, and method for controlling semiconductor device

Номер патента: US09621153B2. Автор: Kentaro Ikeda,Masahiko Kuraguchi. Владелец: Toshiba Corp. Дата публикации: 2017-04-11.

Matrix substrate, display panel and display device

Номер патента: RU2745921C1. Автор: Хунфэй ЧЭН. Владелец: Боэ Текнолоджи Груп Ко., Лтд.. Дата публикации: 2021-04-02.

Anzeigevorrichtung und gate-treiberschaltkreis davon, ansteuerungsungsverfahren und virtuelle-realität-vorrichtung

Номер патента: DE102017129795B4. Автор: Ooksang YOO. Владелец: LG Display Co Ltd. Дата публикации: 2024-08-08.

Speaker module housing and speaker module

Номер патента: US20240334103A1. Автор: Jie Yuan,Siyuan Ni,Hailiang Gui. Владелец: AAC Microtech Changzhou Co Ltd. Дата публикации: 2024-10-03.

Center circular gating design for squeeze casting induction rotor core

Номер патента: US09839959B2. Автор: Qigui Wang,Richard J. Osborne,Frank Sant. Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2017-12-12.

Self-closing gate

Номер патента: WO1982001734A1. Автор: Malcolm J Ferguson. Владелец: Malcolm J Ferguson. Дата публикации: 1982-05-27.

Aerial firefighting dump gate system

Номер патента: AU2019300763A1. Автор: Victor D. Trotter. Владелец: Individual. Дата публикации: 2021-01-28.

Aerial firefighting dump gate system

Номер патента: AU2019300763B2. Автор: Victor D. Trotter. Владелец: Individual. Дата публикации: 2023-03-30.

Aerial firefighting dump gate system

Номер патента: EP3820775A1. Автор: Victor D. Trotter. Владелец: Individual. Дата публикации: 2021-05-19.

Display device

Номер патента: US20220383801A1. Автор: Soo Yeon Kim,Dae Gwang JANG. Владелец: Samsung Display Co Ltd. Дата публикации: 2022-12-01.

Method of fused metal casting

Номер патента: RU2480309C2. Автор: Герберт СМЕТАН,Клаус ЛЕЛЛИГ. Владелец: Немак Диллинген Гмбх. Дата публикации: 2013-04-27.

Aerial Firefighting Dump Gate System

Номер патента: US20200010196A1. Автор: Victor D. Trotter. Владелец: Individual. Дата публикации: 2020-01-09.

Concentric rotary fluid machine

Номер патента: US09957961B2. Автор: Jeffery Ronald Clausen,Nicholas Ryan Marchand. Владелец: Greystone Technologies Pty Ltd. Дата публикации: 2018-05-01.

Display driver circuitry with balanced stress

Номер патента: US09842551B2. Автор: Keitaro Yamashita,Ting-Kuo Chang. Владелец: Apple Inc. Дата публикации: 2017-12-12.

Display, electronic device, pixel unit and pixel unit array

Номер патента: WO2024168864A1. Автор: Keitaro Yamashita,Yasuyuki Teranishi,Qiangcan Huang. Владелец: Huawei Technologies Co., Ltd.. Дата публикации: 2024-08-22.

Improvements relating to data sorting devices

Номер патента: GB1034814A. Автор: Christopher Archibald Go Lemay. Владелец: Electrical and Musical Industries Ltd. Дата публикации: 1966-07-06.

Combined comparator and parity checker

Номер патента: GB1003922A. Автор: . Владелец: Sperry Rand Corp. Дата публикации: 1965-09-08.

METHOD FOR MANUFACTURING METAL GATE STACK STRUCTURE IN GATE-FIRST PROCESS

Номер патента: US20120003827A1. Автор: Xu Qiuxia,Li Yongliang. Владелец: . Дата публикации: 2012-01-05.

Improvements in Gates for Cages Employed in Mines.

Номер патента: GB190710464A. Автор: George Williams. Владелец: Individual. Дата публикации: 1908-02-20.

Improvements in Gate or Valve Mechanism for Grain Hoppers, Chutes and the like

Номер патента: GB190502442A. Автор: Edward Adams Munn. Владелец: Individual. Дата публикации: 1905-06-01.

Improvements in Gates.

Номер патента: GB190515035A. Автор: Charles Henry Lovering. Владелец: Individual. Дата публикации: 1905-12-14.

Improvements in Gates.

Номер патента: GB190527073A. Автор: Louis Hanson. Владелец: Individual. Дата публикации: 1906-06-28.

Improvements in Gates for the Platforms of Tram Cars and like Vehicles.

Номер патента: GB190108183A. Автор: Adolf Maurer. Владелец: Individual. Дата публикации: 1901-06-15.

Improvements in Gates or Barriers for Railways and other Purposes.

Номер патента: GB189902877A. Автор: Hermann Heinrich Wilhelm Oloff,Andreas Klaus Heinr Brueggmann. Владелец: Individual. Дата публикации: 1899-03-11.

Improvements in Gate Post "Falls" or like Attachments.

Номер патента: GB190422882A. Автор: John Hedley Smith. Владелец: Individual. Дата публикации: 1904-11-24.

Improvements in Gates for Protecting the Films of Kinetoscopes.

Номер патента: GB190625798A. Автор: Leon Lipman Bories. Владелец: Individual. Дата публикации: 1907-04-04.

Improvement in Gate Valves.

Номер патента: GB190613422A. Автор: Anna Dorthea Mogensen. Владелец: Individual. Дата публикации: 1907-01-24.

Improvements in Gates.

Номер патента: GB190319772A. Автор: Bernard Gornelius Olsen. Владелец: Individual. Дата публикации: 1903-10-15.

Gate-in-gate structure used for rear gate of tipper

Номер патента: CN201390181Y. Автор: 杜鹏程. Владелец: Hebei Hongchang Tianma Special Vehicle Co Ltd. Дата публикации: 2010-01-27.

Improvements in Gates.

Номер патента: GB191508977A. Автор: Fred Dyson. Владелец: Individual. Дата публикации: 1916-01-13.

Method for preventing fluorine ion residue in gate from creating boron penetrating into gate oxide layer

Номер патента: TW516105B. Автор: Cheng-Lieh Wang. Владелец: United Microelectronics Corp. Дата публикации: 2003-01-01.

Improvements in Gates and Gate Fittings.

Номер патента: GB190017481A. Автор: George Brownlees. Владелец: Individual. Дата публикации: 1900-11-17.

Improvements in Gate Valves.

Номер патента: GB190119197A. Автор: Alfred Metcalf Hewlett. Владелец: Individual. Дата публикации: 1902-04-24.

Improvements in Gate- or Sluice-valves.

Номер патента: GB190812708A. Автор: Stephen Evans Alley. Владелец: Individual. Дата публикации: 1908-11-12.

Improvements in Gate-valves.

Номер патента: GB190110016A. Автор: James Powell. Владелец: Individual. Дата публикации: 1901-06-15.

CHEMICAL-MECHANICAL PLANARIZATION METHOD AND METHOD FOR FABRICATING METAL GATE IN GATE-LAST PROCESS

Номер патента: US20120135589A1. Автор: Zhao Chao,Chen Dapeng,Yang Tao,Liu Jinbiao,He Xiaobin. Владелец: . Дата публикации: 2012-05-31.

Method of Manufacturing Dummy Gates in Gate Last Process

Номер патента: US20130059435A1. Автор: Zhao Chao,Chen Dapeng,Yang Tao,Yan Jiang,Li Junfeng,Lu Yihong. Владелец: . Дата публикации: 2013-03-07.

Gate circuit in gate turn off thyristor

Номер патента: JPS5226148A. Автор: Takeo Maeda,Takuji Matsumura. Владелец: HITACHI LTD. Дата публикации: 1977-02-26.

Forming methods for pseudo gate device and semiconductor device in gate-last process

Номер патента: CN104576725A. Автор: 朱慧珑,殷华湘,赵治国. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2015-04-29.

Improvements in gate valves

Номер патента: AU109470B2. Автор: . Владелец: M B John Ltd Pty. Дата публикации: 1940-01-18.

Improvements in gates

Номер патента: CA8153A. Автор: John C. Cameron. Владелец: Individual. Дата публикации: 1877-11-27.

Improvements in gates

Номер патента: CA7416A. Автор: Daniel Root. Владелец: Individual. Дата публикации: 1877-05-01.

Improvements in gates

Номер патента: CA7333A. Автор: Theodore F. Timby. Владелец: Individual. Дата публикации: 1877-04-11.

Improvements in gates or barriers andin the means for opening and closing same

Номер патента: AU1747715A. Автор: Mcmaster Fergus. Владелец: Individual. Дата публикации: 1916-05-30.

Improvements in Gate Fastenings and Supports

Номер патента: GB190617051A. Автор: Edward Reynolds. Владелец: Individual. Дата публикации: 1907-07-04.

Improvements in gates

Номер патента: AU6439769A. Автор: Edgar Morgan Keith. Владелец: National Research Development Corp UK. Дата публикации: 1971-05-27.

Improvements in gate hangings

Номер патента: CA14551A. Автор: William G. Alexander. Владелец: Individual. Дата публикации: 1882-04-05.

Improvement in gates

Номер патента: CA17390A. Автор: Thomas Sturgin. Владелец: Individual. Дата публикации: 1883-07-26.

Improvements in Gate Valves

Номер патента: GB190021466A. Автор: James Powell. Владелец: Individual. Дата публикации: 1901-03-09.

Improvements in gate latches

Номер патента: AU626027A. Автор: . Владелец: William Henry Downey. Дата публикации: 1928-02-28.

Improvement in gate-latches

Номер патента: USRE5668E. Автор: James Bull. Владелец: VAN WAGONES a WILLIAMS. Дата публикации: 1873-11-25.

Improvements in Fences and in Gates therefor.

Номер патента: GB189912656A. Автор: Robert John Worrall. Владелец: Individual. Дата публикации: 1900-08-11.

Improvements in Fences and in Gates therefor.

Номер патента: GB189622564A. Автор: Robert John Worrall. Владелец: Individual. Дата публикации: 1897-10-12.

Improvements in gates; and means for operating same

Номер патента: AU230921A. Автор: . Владелец: Gate Ls Attached Willbe Extended Above By Metal Plates Andin Such Cases Bolt. Дата публикации: 1922-03-07.

Improvements in gates or barriers andin the means for opening and closing same

Номер патента: AU1747715B. Автор: Mcmaster Fergus. Владелец: Individual. Дата публикации: 1916-05-30.

Improvement in gate-latches

Номер патента: USRE3751E. Автор: Eichmond A. Leeds. Владелец: . Дата публикации: 1869-11-30.

Improvements in gate valves

Номер патента: AU575638A. Автор: . Владелец: M B John Ltd Pty. Дата публикации: 1940-01-18.

Improvements in gate protectors

Номер патента: AU567612B. Автор: Archibald Penny John. Владелец: Individual. Дата публикации: 1913-01-28.

Improvements in Gate and Door Stops.

Номер патента: GB189602240A. Автор: Henry Richard John Denton,Joseph Round Cartwright. Владелец: Individual. Дата публикации: 1896-11-21.

Improvements in gate pivots

Номер патента: CA7212A. Автор: Lewis Baldwin,Judson R. Baldwin. Владелец: Individual. Дата публикации: 1877-03-13.

Improvements in gate opening and closing mechanism

Номер патента: AU277716A. Автор: Reinhold Bartel August. Владелец: Individual. Дата публикации: 1917-04-17.

Improvements in gates or barriers andin the means for opening and closing same

Номер патента: AU13316A. Автор: Mcmaster Fergus. Владелец: Individual. Дата публикации: 1917-01-30.

Improvement in gates for gangs for marble-saws

Номер патента: USRE5672E. Автор: . Владелец: P One. Дата публикации: 1873-12-02.

Improvements in Gates for Waterways.

Номер патента: GB189323295A. Автор: James Edward Whiting. Владелец: Individual. Дата публикации: 1894-11-10.

Improvements in gate rollers and hinges

Номер патента: CA14031A. Автор: Selwin B. Pratt. Владелец: Individual. Дата публикации: 1882-01-19.

Improvements in gates valves

Номер патента: AU133917B2. Автор: two or more or. Владелец: . Дата публикации: 2008-07-16.

Improvements in gate mechanism

Номер патента: AU1552128A. Автор: . Владелец: Waygood-Otis (australasia) Ltd Pty. Дата публикации: 1929-10-15.

Improvements in Gate-operated Locks for Elevators.

Номер патента: GB190009643A. Автор: John Fletcher. Владелец: Individual. Дата публикации: 1900-07-07.

System for automatically controlling opening and closing movements of a drive-in gate

Номер патента: PL269620A1. Автор: Franciszek Krawczyk,Zygmunt Bocian. Владелец: Samochodow Osobowych Fab. Дата публикации: 1988-07-07.

Door-in-gate device for garbage transferring cabinet

Номер патента: TW543633U. Автор: Chang-Jing Liau. Владелец: Pacific Building Systems Inter. Дата публикации: 2003-07-21.

Improvements in gate protectors

Номер патента: AU567612A. Автор: Archibald Penny John. Владелец: Individual. Дата публикации: 1913-01-28.

Improvement in gates

Номер патента: USRE8456E. Автор: Joseph Nason. Владелец: John E. Дата публикации: 1878-10-15.

System for automatically controlling opening and closing movements of a drive-in gate

Номер патента: PL156919B1. Автор: Franciszek Krawczyk,Zygmunt Bocian. Владелец: Samochodow Osobowych Fab. Дата публикации: 1992-04-30.

Improvements in Gate- Sluice- or Fullway Valves

Номер патента: GB190306986A. Автор: Charles Henzell Holgate. Владелец: Individual. Дата публикации: 1904-01-28.

ID tag reading write-in gate

Номер патента: USD490811S1. Автор: Michiaki Taniguchi. Владелец: Omron Corp. Дата публикации: 2004-06-01.

SEMICONDUCTOR DEVICE AND PROCESS FOR REDUCING DAMAGING BREAKDOWN IN GATE DIELECTRICS

Номер патента: US20120077323A1. Автор: . Владелец: Agere Systems Incorporated. Дата публикации: 2012-03-29.

METHOD FOR IMPROVING WITHIN DIE UNIFORMITY OF METAL PLUG CHEMICAL MECHANICAL PLANARIZATION PROCESS IN GATE LAST ROUTE

Номер патента: US20120178255A1. Автор: Zhao Chao,Yang Tao,Li Junfong. Владелец: . Дата публикации: 2012-07-12.

LARGE DIMENSION DEVICE AND METHOD OF MANUFACTURING SAME IN GATE LAST PROCESS

Номер патента: US20120319180A1. Автор: CHUANG Harry-Hak-Lay,ZHU Ming. Владелец: . Дата публикации: 2012-12-20.

Large Dimension Device and Method of Manufacturing Same in Gate Last Process

Номер патента: US20120319238A1. Автор: ZHU Ming,Chuang Hak-Lay. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-12-20.

METHOD FOR MANUFACTURING ELECTRODES AND WIRES IN GATE LAST PROCESS

Номер патента: US20130059434A1. Автор: Zhao Chao,Yang Tao,Yan Jiang,He Xiaobin,Li Junfeng,Lu Yihong. Владелец: . Дата публикации: 2013-03-07.

The application process of IC-card in gate control system based on finance norms

Номер патента: CN100555339C. Автор: 陆舟,于华章. Владелец: Beijing Feitian Technologies Co Ltd. Дата публикации: 2009-10-28.

Application method of IC card in gate inhibition system based on financial criterion

Номер патента: CN1885351A. Автор: 陆舟,于华章. Владелец: Beijing Feitian Technologies Co Ltd. Дата публикации: 2006-12-27.

Latch structure in gate lock

Номер патента: JPH0643391Y2. Автор: 修 浜口. Владелец: Alpha Corp. Дата публикации: 1994-11-14.

Latch structure in gate door lock

Номер патента: JPS62178675A. Автор: 浜口 修. Владелец: Alpha Corp. Дата публикации: 1987-08-05.

Pull-up type flap in gate

Номер патента: JP5697695B2. Автор: 表 実,実 表. Владелец: MYU-LOON.CO.LTD. Дата публикации: 2015-04-08.

Method for determining movement direction of RF label in gate region

Номер патента: CN105139046A. Автор: 孙耀君,蒋瑞昌. Владелец: Wuxi Wuxun Technology Co Ltd. Дата публикации: 2015-12-09.

An improvement in gates

Номер патента: CA510A. Автор: C.W. Saladee. Владелец: Individual. Дата публикации: 1870-07-15.

An improvement in gates

Номер патента: CA477A. Автор: R. Oliver,N. Oliver. Владелец: Individual. Дата публикации: 1870-06-30.

Rolling ring of gyro wheel mechanism in gate -type bucket -wheel stacker reclaimer

Номер патента: CN205634231U. Автор: 李吉龙,盖斌,郁永成. Владелец: HARBIN GENERATING COMPLETE EQUIPMENT CO Ltd. Дата публикации: 2016-10-12.

Improvements in gates

Номер патента: CA534A. Автор: H. Martin. Владелец: Individual. Дата публикации: 1870-08-01.

Lathe is used in gate valve production

Номер патента: CN214720585U. Автор: 王玉涛. Владелец: Shanghai Yuesheng Metal Welding Co ltd. Дата публикации: 2021-11-16.

System to finish surface of block for use in gate post

Номер патента: JPS5337995A. Автор: Katsuji Kinugawa. Владелец: Individual. Дата публикации: 1978-04-07.

Improvements in gate post 'falls,' or like attachments

Номер патента: AU155204A. Автор: . Владелец: Individual. Дата публикации: 1905-07-25.

Improvements in gates

Номер патента: CA11021A. Автор: Colin Kennedy. Владелец: Individual. Дата публикации: 1880-03-13.

Improvements in gates

Номер патента: CA10881A. Автор: John S. Henshaw. Владелец: Individual. Дата публикации: 1880-01-26.

Improvements in gates

Номер патента: CA10868A. Автор: Alexander Nicol. Владелец: Individual. Дата публикации: 1880-01-22.

Improvements in gates

Номер патента: AU102232B2. Автор: Murray Thomas. Владелец: Individual. Дата публикации: 1937-10-21.

Improvements in gates

Номер патента: AU421236A. Автор: Murray Thomas. Владелец: Individual. Дата публикации: 1937-10-21.

Improvements in gates

Номер патента: AU2097835A. Автор: Emmett Abbey Robert. Владелец: Individual. Дата публикации: 1936-01-30.

Improvements in gates

Номер патента: CA9162A. Автор: William C. Hooker. Владелец: HARDY EUGENE B. Дата публикации: 1878-09-07.

Improvements in gates

Номер патента: CA8998A. Автор: Jacob S. Lounsberry. Владелец: Individual. Дата публикации: 1878-07-10.

Improvements in gates

Номер патента: CA8856A. Автор: Joseph Wright. Владелец: Individual. Дата публикации: 1878-05-31.

Improvements in gates

Номер патента: AU1722710A. Автор: David Jones William. Владелец: Individual. Дата публикации: 1910-03-15.

Improvements in gate latches

Номер патента: AU191011A. Автор: Arthur Rund Thomas. Владелец: Individual. Дата публикации: 1911-09-05.

Improvements in gates or other barriers

Номер патента: AU194311A. Автор: Blacksmith West Warburton Victoria Richard Callahan. Владелец: Harry Courtney Dix. Дата публикации: 1912-07-09.

Improvements in gates

Номер патента: AU6063660A. Автор: Neil Mitchell MacDonald William. Владелец: Individual. Дата публикации: 1963-03-14.

Imppovements in gates and the like

Номер патента: AU1471523A. Автор: Amelia Hawken Gloria. Владелец: Individual. Дата публикации: 1924-04-08.

Improvements in gates

Номер патента: CA11472A. Автор: William G. Alexander. Владелец: Individual. Дата публикации: 1880-07-10.

Improvements in gate latches

Номер патента: CA11883A. Автор: Robert Standing. Владелец: Individual. Дата публикации: 1880-10-19.

Improvements in gate hinges

Номер патента: CA11653A. Автор: Thomas Crane. Владелец: Individual. Дата публикации: 1880-08-18.

Improvements in gates andthe like

Номер патента: AU2196535A. Автор: Gordon Clvdsdale Thomas. Владелец: Individual. Дата публикации: 1936-04-09.

Improvements in gate latches

Номер патента: AU959661A. Автор: Dudley Foster Kenneth. Владелец: FOSTER BROTHERS Ltd. Дата публикации: 1963-05-02.

Improvements in gates

Номер патента: AU4330464A. Автор: Stratford Boucher Hassell Arthur. Владелец: Individual. Дата публикации: 1966-11-17.

Improvements in Gate Mechanism

Номер патента: GB190709360A. Автор: Austin Elbert Miller. Владелец: Individual. Дата публикации: 1907-08-22.

Improvements in gates

Номер патента: AU777407A. Автор: Johns John'. Владелец: Individual. Дата публикации: 1919-07-09.

Improvements in gates

Номер патента: AU991107A. Автор: Hamilton Jackson Frederick. Владелец: Individual. Дата публикации: 1907-11-26.

Improvements in Fences and in Gates therefor.

Номер патента: GB190413100A. Автор: Robert John Worrall. Владелец: Individual. Дата публикации: 1905-05-25.

Improvements in gates

Номер патента: ZA72946B. Автор: G Allen. Владелец: African Gate & Fence Works. Дата публикации: 1973-11-28.

Improvements in Gates.

Номер патента: GB189421904A. Автор: Stansbury Jacob Smith. Владелец: Individual. Дата публикации: 1894-12-15.

Improvements in Gates.

Номер патента: GB189414527A. Автор: William Jackson Slack. Владелец: Individual. Дата публикации: 1895-04-27.

Improvement in gates

Номер патента: AU4487093A. Автор: Lindsay George Anthony Nelson. Владелец: Individual. Дата публикации: 1994-03-03.

Improvement in gates and guides of water-wheels

Номер патента: USRE3404E. Автор: Axd John W. Bookwaltbb. Владелец: . Дата публикации: 1869-04-27.

METAL CONTROL GATE FORMATION IN NON-VOLATILE STORAGE

Номер патента: US20120025289A1. Автор: Purayath Vinod Robert,Liang Jarrett Jun,Orimoto Takashi Whitney. Владелец: . Дата публикации: 2012-02-02.

METAL CONTROL GATE FORMATION IN NON-VOLATILE STORAGE

Номер патента: US20120187468A1. Автор: Purayath Vinod Robert,Liang Jarrett Jun,Orimoto Takashi Whitney. Владелец: . Дата публикации: 2012-07-26.

Double gate formation method of semiconductor device

Номер патента: KR19980085822A. Автор: 이동현. Владелец: 엘지반도체 주식회사. Дата публикации: 1998-12-05.

Metal gates formation method

Номер патента: CN101593686A. Автор: 郑春生,杨瑞鹏. Владелец: Semiconductor Manufacturing International Beijing Corp. Дата публикации: 2009-12-02.

Floating gate formation method of semiconductor device

Номер патента: KR980005506A. Автор: 권성운. Владелец: 김광호. Дата публикации: 1998-03-30.

A method of preventing etching in electroplating baths

Номер патента: AU282667B2. Автор: Bedi Ramdev. Владелец: M&T Chemicals Inc. Дата публикации: 1965-03-11.

A method of preventing etching in electroplating baths

Номер патента: AU3545463A. Автор: Bedi Ramdev. Владелец: M&T Chemicals Inc. Дата публикации: 1965-03-18.

A method of preventing etching in electroplating baths

Номер патента: AU3504763A. Автор: Bedi Ramdev. Владелец: M&T Chemicals Inc. Дата публикации: 1965-03-11.

METHOD AND SYSTEM FOR PERFORMING PULSE-ETCHING IN A SEMICONDUCTOR DEVICE

Номер патента: US20120302070A1. Автор: Chen Yi Nan,Liu Hsien Wen,Lin Chih Ching. Владелец: NANYA TECHNOLOGY CORPORATION. Дата публикации: 2012-11-29.

METAL GATE FILL BY OPTIMIZING ETCH IN SACRIFICIAL GATE PROFILE

Номер патента: US20130005128A1. Автор: YANG Bin,Ng Man Fai. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-01-03.

Treatment of Plastic Surfaces After Etching in Nitric Acid Containing Media

Номер патента: US20130084395A1. Автор: Chapaneri Roshan V.,Wall Anthony,Pearson Trevor,Herdman Roderick D.. Владелец: . Дата публикации: 2013-04-04.

PLASMA-ENHANCED ETCHING IN AN AUGMENTED PLASMA PROCESSING SYSTEM.

Номер патента: US20140054269A1. Автор: Bailey,Dhindsa Rajinder,Hudson Eric A.,III Andrew D.. Владелец: . Дата публикации: 2014-02-27.

Monitoring method of etching in dry etching

Номер патента: JP3261660B2. Автор: 昭彦 悳. Владелец: Ulvac Coating Corp. Дата публикации: 2002-03-04.

Method for washing back etching in integrated circuit production

Номер патента: CN100442450C. Автор: 林德成,常延武. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2008-12-10.

Retouching of etchings in intaglio printing

Номер патента: CA662973A. Автор: H. Bosman Lambertus. Владелец: Nv Quod Bonum. Дата публикации: 1963-05-14.

Metal etching in semiconductor manufacturing process

Номер патента: TW411530B. Автор: Dian-Hau Chen,Yi-Ping Li,Wei-Ren Tsai,Huei-Jen Yu,Jing-Hua Weng. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2000-11-11.

A method of preventing etching in electroplating baths

Номер патента: AU282668B2. Автор: Bedi Ramdev. Владелец: M&T Chemicals Inc. Дата публикации: 1965-03-18.

Liquid Crystal Display Device

Номер патента: US20120002150A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Liquid Crystal Display Device

Номер патента: US20120002151A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

LAYOUT FOR MULTIPLE-FIN SRAM CELL

Номер патента: US20120001197A1. Автор: LIAW Jhon Jhy,Shen Jeng-Jung. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-01-05.

P-I-N DIODE CRYSTALLIZED ADJACENT TO A SILICIDE IN SERIES WITH A DIELECTRIC MATERIAL

Номер патента: US20120001296A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

CHEMICALLY SENSITIVE SENSOR WITH LIGHTLY DOPED DRAINS

Номер патента: US20120001235A1. Автор: Fife Keith. Владелец: LIFE TECHNOLOGIES CORPORATION. Дата публикации: 2012-01-05.

Driving Method of Input/Output Device

Номер патента: US20120001847A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

INPUT/OUTPUT DEVICE AND DRIVING METHOD THEREOF

Номер патента: US20120001874A1. Автор: KUROKAWA Yoshiyuki,IKEDA Takayuki. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

REPLACEMENT GATES TO ENHANCE TRANSISTOR STRAIN

Номер патента: US20120003798A1. Автор: Bohr Mark T.. Владелец: . Дата публикации: 2012-01-05.

CCD-BASED MULTI-TRANSISTOR ACTIVE PIXEL SENSOR ARRAY

Номер патента: US20120001056A1. Автор: . Владелец: LIFE TECHNOLOGIES CORPORATION. Дата публикации: 2012-01-05.

ONE-TRANSISTOR PIXEL ARRAY WITH CASCODED COLUMN CIRCUIT

Номер патента: US20120001236A1. Автор: Fife Keith. Владелец: LIFE TECHNOLOGIES CORPORATION. Дата публикации: 2012-01-05.

TWO-TRANSISTOR PIXEL ARRAY

Номер патента: US20120001237A1. Автор: MILGREW Mark,Johnson Kim,Fife Keith. Владелец: LIFE TECHNOLOGIES CORPORATION. Дата публикации: 2012-01-05.

ARRAY COLUMN INTEGRATOR

Номер патента: US20120001615A1. Автор: . Владелец: LIFE TECHNOLOGIES CORPORATION. Дата публикации: 2012-01-05.

COLUMN ADC

Номер патента: US20120001616A1. Автор: . Владелец: LIFE TECHNOLOGIES CORPORATION. Дата публикации: 2012-01-05.

CAPACITIVE CHARGE PUMP

Номер патента: US20120001685A1. Автор: MILGREW Mark,LEVINE Peter,REARICK Todd. Владелец: LIFE TECHNOLOGIES CORPORATION. Дата публикации: 2012-01-05.

SERIALIZER CIRCUIT

Номер патента: US20120001779A1. Автор: Fife Keith,YANG Jungwook. Владелец: LIFE TECHNOLOGIES CORPORATION. Дата публикации: 2012-01-05.

DRIVING CIRCUIT AND DISPLAY APPARATUS

Номер патента: US20120001952A1. Автор: Hasegawa Hideaki,Higuchi Koji,HIRAMA Atsushi. Владелец: OKI SEMICONDUCTOR CO., LTD.. Дата публикации: 2012-01-05.

Precision cmos amplifier

Номер патента: RU2310270C1. Автор: Юрий Владимирович Агрич,Вадим Беневич Лифшиц. Владелец: Вадим Беневич Лифшиц. Дата публикации: 2007-11-10.

Cmos array chip manufacturing process

Номер патента: RU2124252C1. Автор: Ю.В. Агрич. Владелец: Агрич Юрий Владимирович. Дата публикации: 1998-12-27.

Номер патента: GB1082803A. Автор: . Владелец: . Дата публикации: 1976-02-03.