Semiconductor wafer container cleaning apparatus

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

System and method for wet cleaning a semiconductor wafer

Номер патента: US20040132318A1. Автор: Yong Kim,Yong Lee,In Jeong,Jungyup Kim. Владелец: Individual. Дата публикации: 2004-07-08.

Method for cleaning semiconductor wafer

Номер патента: US11823945B2. Автор: Ming-Hsi Yeh,Kuo-Bin Huang,Chun-Hsiang FAN,Chun-Liang Tai,Wang-Hua Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-21.

Installation for treating semiconductor wafers

Номер патента: US20050005953A1. Автор: Michel Poulenard. Владелец: Soitec SA. Дата публикации: 2005-01-13.

Wafer storage container cleaning apparatus, and wafer storage container cleaning method

Номер патента: US20240128095A1. Автор: Katsuro Wakasugi,Fumitoshi Iwasaki. Владелец: Sumco Corp. Дата публикации: 2024-04-18.

Semiconductor wafer cleaning apparatus

Номер патента: US20190088468A1. Автор: Po-Jen Shih,Wen-Hung Hsu,Ming-Sung HUNG,Chia-Lun Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-03-21.

Semiconductor wafer cleaning apparatus

Номер патента: US20240087878A1. Автор: Po-Jen Shih,Wen-Hung Hsu,Ming-Sung HUNG,Chia-Lun Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-14.

Semiconductor wafer cleaning apparatus

Номер патента: US11854793B2. Автор: Po-Jen Shih,Wen-Hung Hsu,Ming-Sung HUNG,Chia-Lun Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Automated spray cleaning apparatus for semiconductor wafers

Номер патента: US20020170579A1. Автор: Michael Lammert,Victor Watson,Michael Barsky,John DiMond. Владелец: Individual. Дата публикации: 2002-11-21.

Method of removing ink from a semiconductor wafer

Номер патента: US20020050282A1. Автор: Jason Hsia,Hsiu-Chu Hsieh,Jason Horng. Владелец: United Microelectronics Corp. Дата публикации: 2002-05-02.

Method for cleaning semiconductor wafer and manufacturing method of semiconductor wafer using the method for cleaning

Номер патента: US20200020552A1. Автор: Katsuro Wakasugi. Владелец: Sumco Corp. Дата публикации: 2020-01-16.

Centrifugal wafer carrier cleaning apparatus

Номер патента: AU4527993A. Автор: Aleksander Owczarz,Raymon F Thompson. Владелец: Semitool Inc. Дата публикации: 1994-01-04.

Apparatus and method for cleaning semiconductor wafer

Номер патента: US5966766A. Автор: Peter A. Burke,Kevin D. Shipley. Владелец: Advanced Micro Devices Inc. Дата публикации: 1999-10-19.

Method and apparatus for cleaning semiconductor wafer

Номер патента: US11955328B2. Автор: Xi Wang,HUI Wang,Fuping CHEN. Владелец: ACM Research Shanghai Inc. Дата публикации: 2024-04-09.

Method to use a laser to perform the edge clean operation on a semiconductor wafer

Номер патента: US6874510B2. Автор: Steven Reder,Michael Berman,Rennie Barber. Владелец: LSI Logic Corp. Дата публикации: 2005-04-05.

Method and apparatus for removing particles from the surface of a semiconductor wafer

Номер патента: WO2023101693A1. Автор: Kurt A. Schroder,David Alex ROSE. Владелец: NCC NANO, LLC. Дата публикации: 2023-06-08.

Method and apparatus for removing particles from the surface of a semiconductor wafer

Номер патента: US11769660B2. Автор: Kurt A. Schroder,David Alex ROSE. Владелец: Pulseforge Inc. Дата публикации: 2023-09-26.

Apparatus for washing semiconductor wafers

Номер патента: US4092176A. Автор: Hiroshi Suzuki,Shingo Yanagihara,Teruo Kozai,Shigeharu Ohara. Владелец: Nippon Electric Co Ltd. Дата публикации: 1978-05-30.

Bath system for semiconductor wafers with obliquely mounted sonic transducers

Номер патента: WO2000029135A1. Автор: Henry R. Miranda. Владелец: Miranda Henry R. Дата публикации: 2000-05-25.

Bath system for semiconductor wafers with obliquely mounted sonic transducers

Номер патента: WO2000029135A9. Автор: Henry R Miranda. Владелец: Henry R Miranda. Дата публикации: 2000-11-23.

Semiconductor wafer cleaning apparatus

Номер патента: US20020134410A1. Автор: Han-Joo Lee,So-Lip Son. Владелец: Will Be S and T Co Ltd. Дата публикации: 2002-09-26.

Semiconductor wafer treating apparatus

Номер патента: US8025069B2. Автор: Ayumu Okano. Владелец: Disco Corp. Дата публикации: 2011-09-27.

Method and single wafer processing system for processing of semiconductor wafers

Номер патента: US12103052B2. Автор: Shan Hu,Ronald Nasman,Peter D'ELIA. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-10-01.

Method and apparatus for semiconductor wafer

Номер патента: US20240001409A1. Автор: Chyi-Tsong Ni,Kuang-Wei Cheng,Cheng-Lung Wu. Владелец: Individual. Дата публикации: 2024-01-04.

Method and apparatus for semiconductor wafer cleaning

Номер патента: US11938521B2. Автор: Chyi-Tsong Ni,Kuang-Wei Cheng,Cheng-Lung Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-26.

Method and single wafer processing system for processing of semiconductor wafers

Номер патента: US20230405642A1. Автор: Shan Hu,Ronald Nasman,Peter D'ELIA. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-12-21.

Method and single wafer processing system for processing of semiconductor wafers

Номер патента: WO2023244358A1. Автор: Shan Hu,Ronald Nasman,Peter D'ELIA. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2023-12-21.

Apparatus and method for cleaning surfaces of semiconductor wafers using ozone

Номер патента: WO2004040370A3. Автор: Kim Jungyup,Kwon Jeong In,Bae Kim Yong. Владелец: Novo Res Inc. Дата публикации: 2004-12-29.

Apparatus for cleaning semiconductor wafers

Номер патента: US20040000330A1. Автор: Chang-Hyeon Nam,Young-min Kwon,Sang-oh Park,Young-Kwang Myoung,Duk-Min Ahn. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-01-01.

Semiconductor wafer cleaning apparatus

Номер патента: US6532976B1. Автор: Jae Jeong Kim,Yun Jun Huh,Suk Bin Han. Владелец: LG Semicon Co Ltd. Дата публикации: 2003-03-18.

Method of rinsing and drying semiconductor wafers in a chamber with a movable side wall

Номер патента: US6383304B1. Автор: Barry K. Florez. Владелец: Micron Technology Inc. Дата публикации: 2002-05-07.

Reactor for processing a semiconductor wafer

Номер патента: EP1234327A4. Автор: Raymon F Thompson,Brian Aegerter,Gary L Curtis,Steven L Peace,Curt T Dundas. Владелец: Semitool Inc. Дата публикации: 2006-06-14.

Method and device for determining information relating to the mass of a semiconductor wafer

Номер патента: EP3042164A1. Автор: Robert John Wilby,Adrian Kiermasz. Владелец: Metryx Ltd. Дата публикации: 2016-07-13.

Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer

Номер патента: WO2010138166A1. Автор: Eric Lenz,Enrico Magni. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2010-12-02.

Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer

Номер патента: SG176040A1. Автор: Eric Lenz,Enrico Magni. Владелец: Lam Res Corp. Дата публикации: 2011-12-29.

Method and apparatus for separating protective tape from semiconductor wafer

Номер патента: US8038816B2. Автор: Masayuki Yamamoto,Yukitoshi Hase. Владелец: Nitto Denko Corp. Дата публикации: 2011-10-18.

Method and device for determining information relating to the mass of a semiconductor wafer

Номер патента: US09903750B2. Автор: Robert John Wilby,Adrian Kiermasz. Владелец: Metryx Ltd. Дата публикации: 2018-02-27.

Wafer container with adjustable inside diameter

Номер патента: WO2011122928A1. Автор: James D. Pylant,Alan L. Waber,Christopher R. Mack. Владелец: Texchem Advanced Products Incorporated Sdn. Bhd.. Дата публикации: 2011-10-06.

Detaping process for foils taped on semiconductor wafers

Номер патента: US20140262052A1. Автор: Peter Jacob. Владелец: EM MICROLECTRONIC-MARIN SA. Дата публикации: 2014-09-18.

Process for the treatment of semiconductor wafers in a fluid

Номер патента: AU7887598A. Автор: Robert Roger Matthews. Владелец: Legacy Systems Inc. Дата публикации: 1998-10-01.

Apparatus for transporting semiconductor wafer

Номер патента: US20240312818A1. Автор: Woo Jong YOO. Владелец: Uk Robotics Co ltd. Дата публикации: 2024-09-19.

Wafer container with overlapping wall structure

Номер патента: MY160281A. Автор: James D Pylant,Alan L Waber. Владелец: TEXCHEM ADVANCED PRODUCTS INCORPORATED SDN BHD. Дата публикации: 2017-02-28.

Wafer container with recessed latch

Номер патента: US20110049006A1. Автор: James D. Pylant,Alan L. Waber. Владелец: Texchem Advanced Products Inc Sdn Bhd. Дата публикации: 2011-03-03.

Wafer container with recessed latch

Номер патента: EP2470455A1. Автор: James D. Pylant,Alan L. Waber. Владелец: Texchem Advanced Products Inc Sdn Bhd. Дата публикации: 2012-07-04.

Wafer container with recessed latch

Номер патента: WO2011053109A1. Автор: James D. Pylant,Alan L. Waber. Владелец: Texchem Advanced Products Incorporated Sdn. Bhd.. Дата публикации: 2011-05-05.

Cmp system and method for efficiently processing semiconductor wafers

Номер патента: WO2003011518A9. Автор: In-kwon Jeong. Владелец: Oriol Inc. Дата публикации: 2003-12-11.

Method and device for determining information relating to the mass of a semiconductor wafer

Номер патента: WO2015033108A1. Автор: Robert John Wilby,Adrian Kiermasz. Владелец: METRYX LIMITED. Дата публикации: 2015-03-12.

Method and apparatus for separating protective tape from semiconductor wafer

Номер патента: US20100147442A1. Автор: Masayuki Yamamoto,Yukitoshi Hase. Владелец: Nitto Denko Corp. Дата публикации: 2010-06-17.

Storage container for semiconductor wafers

Номер патента: EP1672686A3. Автор: Tadahiro Obayashi. Владелец: MIRAIAL CO LTD. Дата публикации: 2008-01-02.

Methods for performing wafer preparation operations on vertically oriented semiconductor wafers

Номер патента: WO2001074533A3. Автор: Oliver David Jones,David T Frost. Владелец: Lam Res Corp. Дата публикации: 2002-01-31.

Semiconductor wafer cassette warehouse transportation structure system

Номер патента: US11764091B2. Автор: James Teng. Владелец: Eddie And Sons Technology Co Ltd. Дата публикации: 2023-09-19.

Process and apparatus for the treatment of semiconductor wafers in a fluid

Номер патента: AU7332994A. Автор: Robert Roger Matthews. Владелец: Legacy Systems Inc. Дата публикации: 1995-02-13.

Heating method of semiconductor wafer

Номер патента: US4571486A. Автор: Yoshiki Mimura,Tetsuji Arai. Владелец: Ushio Denki KK. Дата публикации: 1986-02-18.

Apparatus for transferring semiconductor wafers

Номер патента: US4611966A. Автор: Lester R. Johnson. Владелец: Individual. Дата публикации: 1986-09-16.

Apparatus for removing a ring-shaped reinforcement edge from a ground semiconductor wafer

Номер патента: US9905445B2. Автор: Walter Schober. Владелец: MECHATRONIC SYSTEMTECHNIK GMBH. Дата публикации: 2018-02-27.

Process and system for cleaning surfaces of semiconductor wafers

Номер патента: WO2005019490A2. Автор: Walter H. Whitlock. Владелец: The Boc Group Inc.. Дата публикации: 2005-03-03.

Process and system for cleaning surfaces of semiconductor wafers

Номер патента: EP1656220A2. Автор: Walter H. Whitlock. Владелец: Boc Group Inc. Дата публикации: 2006-05-17.

Apparatus for removing a ring-shaped reinforcement edge from a ground semiconductor wafer

Номер патента: US20160163571A1. Автор: Walter Schober. Владелец: MECHATRONIC SYSTEMTECHNIK GMBH. Дата публикации: 2016-06-09.

Semiconductor wafer transport system

Номер патента: EP2517236A1. Автор: Thomas A. Torack,John A. Pitney,Lance G. Hellwig. Владелец: SunEdison Inc. Дата публикации: 2012-10-31.

Semiconductor wafer transport system

Номер патента: WO2011077338A1. Автор: Thomas A. Torack,John A. Pitney,Lance G. Hellwig. Владелец: MEMC ELECTRONIC MATERIALS, INC.. Дата публикации: 2011-06-30.

Semiconductor wafer cassette roller type transportation structure system

Номер патента: US11929275B2. Автор: James Teng. Владелец: Eddie And Sons Technology Co Ltd. Дата публикации: 2024-03-12.

Equipment for cleaning, etching and drying semiconductor wafer and its using method

Номер патента: US5896875A. Автор: Kenji Yoneda. Владелец: Matsushita Electronics Corp. Дата публикации: 1999-04-27.

Process and apparatus for etching semiconductor wafers

Номер патента: MY112147A. Автор: R Vandamme Roland,F Erk Henry. Владелец: Memc Electronic Mat Inc. Дата публикации: 2001-04-30.

Magnetic sensing robotics for automated semiconductor wafer processing systems

Номер патента: US5668452A. Автор: Danine Villarreal,Anthony Sayka. Владелец: VLSI Technology Inc. Дата публикации: 1997-09-16.

Semiconductor wafer handling system

Номер патента: US6149498A. Автор: Theodore G. Van Kessel,Chris R. Whitaker. Владелец: International Business Machines Corp. Дата публикации: 2000-11-21.

Method for cutting protective tape of semiconductor wafer and apparatus for cutting the protective tape

Номер патента: US20080184855A1. Автор: Masayuki Yamamoto,Yasuji Kaneshima. Владелец: Individual. Дата публикации: 2008-08-07.

Method of manufacturing semiconductor wafer and cleaning scrubber

Номер патента: US12070779B2. Автор: Pei-Yi Su,Cheng-Chieh Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-27.

Method for Monitoring Real-time Semiconductor Wafer Surface Temperature In Wafer Cleaning Apparatus

Номер патента: KR101931969B1. Автор: 안종팔. Владелец: 에이제이텍 주식회사. Дата публикации: 2018-12-24.

High pressure compatible vacuum check for semiconductor wafer including lift mechanism

Номер патента: EP1560680A2. Автор: Maximilian A. Biberger,Thomas R. Sutton. Владелец: Supercritical Systems Inc. Дата публикации: 2005-08-10.

Support system for semiconductor wafers and methods thereof

Номер патента: WO2005067030A1. Автор: Brian Wilk,Frank Joyce,Douglas Kreager,Thomas A. Repko. Владелец: Intel Corporation. Дата публикации: 2005-07-21.

Semiconductor wafer thinned by stealth lasing

Номер патента: US20230238338A1. Автор: Chee Keong Loh,Foo You Chow,Ridzuan Hanapi,Boon Soo Lim. Владелец: Western Digital Technologies Inc. Дата публикации: 2023-07-27.

Semiconductor wafer thinned by stealth lasing

Номер патента: US20220020705A1. Автор: Chee Keong Loh,Foo You Chow,Ridzuan Hanapi,Boon Soo Lim. Владелец: Western Digital Technologies Inc. Дата публикации: 2022-01-20.

Support system for semiconductor wafers and methods thereof

Номер патента: US20050139228A1. Автор: Brian Wilk,Thomas Repko,Frank Joyce,Douglas Kreager. Владелец: Intel Corp. Дата публикации: 2005-06-30.

Method for processing semiconductor wafers

Номер патента: US12131924B2. Автор: Peng Chen,Houde Zhou,Liquan Cai. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-10-29.

Method for forming scribe line on semiconductor wafer, and scribe line forming device

Номер патента: US20040214408A1. Автор: Haruo Wakayama. Владелец: Mitsuboshi Diamond Industrial Co Ltd. Дата публикации: 2004-10-28.

Semiconductor wafer dicing fixture

Номер патента: CA1053383A. Автор: Salvatore R. Cuomo (Jr.). Владелец: International Business Machines Corp. Дата публикации: 1979-04-24.

Method of sticking semiconductor wafer and its sticking device

Номер патента: US5849139A. Автор: Osamu Morikawa,Kiyoharu Miyakawa. Владелец: Komatsu Electronic Metals Co Ltd. Дата публикации: 1998-12-15.

Semiconductor wafer assembly and machining apparatus having chuck tables for holding the same

Номер патента: US20010049256A1. Автор: Toshiaki Takahashi,Kazuhisa Arai. Владелец: Individual. Дата публикации: 2001-12-06.

Protective film and back grinding method for semiconductor wafer

Номер патента: US20230298924A1. Автор: Eiji Hayashishita. Владелец: Mitsui Chemicals Tohcello Inc. Дата публикации: 2023-09-21.

Semiconductor wafer anchoring device

Номер патента: US5842690A. Автор: Seok-jun Lee,Young-Kyou Park,Che-young Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1998-12-01.

Semiconductor wafer thinned by horizontal stealth lasing

Номер патента: US20230411169A1. Автор: YI WU,Junrong Yan,Keming Zhou,Zhonghua Qian,Kailei Zhang. Владелец: Western Digital Technologies Inc. Дата публикации: 2023-12-21.

Semiconductor wafer thinned by horizontal stealth lasing

Номер патента: WO2023244340A1. Автор: YI WU,Junrong Yan,Keming Zhou,Zhonghua Qian,Kailei Zhang. Владелец: WESTERN DIGITAL TECHNOLOGIES, INC.. Дата публикации: 2023-12-21.

Apparatus for edge trimming of semiconductor wafers

Номер патента: US11848225B2. Автор: Jing-Cheng Lin. Владелец: Micron Technology Inc. Дата публикации: 2023-12-19.

Semiconductor wafer thinned by stealth lasing

Номер патента: US11862583B2. Автор: Chee Keong Loh,Foo You Chow,Ridzuan Hanapi,Boon Soo Lim. Владелец: Western Digital Technologies Inc. Дата публикации: 2024-01-02.

Method for processing semiconductor wafers

Номер патента: US20220157627A1. Автор: Peng Chen,Houde Zhou,Liquan Cai. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2022-05-19.

Methods for edge trimming of semiconductor wafers and related apparatus

Номер патента: US20200027773A1. Автор: Jing-Cheng Lin. Владелец: Micron Technology Inc. Дата публикации: 2020-01-23.

Loading device of chemical mechanical polishing equipment for semiconductor wafers

Номер патента: WO2007061170A1. Автор: Young Su Heo,Chang Il Kim,Young Min Na. Владелец: Doosan Mecatec Co., Ltd.. Дата публикации: 2007-05-31.

Semiconductor wafer handler

Номер патента: US7914694B2. Автор: Christopher L. Schutte,George T. Wallace. Владелец: Texas Instruments Inc. Дата публикации: 2011-03-29.

Carrier film with conductive adhesive for dicing of semiconductor wafers and dicing method employing same

Номер патента: US4961804A. Автор: Joseph A. Aurichio. Владелец: Investment Holding Corp. Дата публикации: 1990-10-09.

Method for Monitering Real-time Semiconductor Wafer Surface Temperature In Wafer Cleaning Apparatus

Номер патента: KR101973712B1. Автор: 안종팔. Владелец: 에이제이텍 주식회사. Дата публикации: 2019-04-29.

Method and apparatus for thin-layer chemical processing of semiconductor wafers

Номер патента: EP1639629A1. Автор: Sophia Wen. Владелец: Individual. Дата публикации: 2006-03-29.

Semiconductor wafer cleaning system

Номер патента: EP1872391A1. Автор: Jin-No 233-702 Kkonmoebeodeulmaeul Apartment YOON,Jin-Tae 109-103 Jugong Greenvil 1258 KIM. Владелец: Doosan Mecatec Co Ltd. Дата публикации: 2008-01-02.

Method for cleaning semiconductor wafer

Номер патента: US20090293919A1. Автор: Takeo Katoh,Ryuichi Tanimoto,Kazushige Takaishi. Владелец: Sumco Corp. Дата публикации: 2009-12-03.

Method and apparatus for removing particles from the surface of a semiconductor wafer

Номер патента: US20230178362A1. Автор: Kurt A. Schroder,David Alex ROSE. Владелец: NCC Nano LLC. Дата публикации: 2023-06-08.

Method and apparatus for removing particles from the surface of a semiconductor wafer

Номер патента: WO2023101692A1. Автор: Kurt A. Schroder,David Alex ROSE. Владелец: NCC NANO, LLC. Дата публикации: 2023-06-08.

Method for removing contaminants from a semiconductor wafer

Номер патента: US20010009814A1. Автор: J. Rolfson. Владелец: Individual. Дата публикации: 2001-07-26.

Device for performing surface treatment on semiconductor wafers

Номер патента: WO2003007343A3. Автор: Ronald Hoyer,Mohamad Jahanbani,Stefan Ruemmelin. Владелец: Motorola Inc. Дата публикации: 2003-10-16.

Device for performing surface treatment on semiconductor wafers

Номер патента: EP1407478A2. Автор: Ronald Hoyer,Mohamad Jahanbani,Stefan Ruemmelin. Владелец: Motorola Inc. Дата публикации: 2004-04-14.

Device for performing surface treatment on semiconductor wafers

Номер патента: US20030005596A1. Автор: Ronald Hoyer,Mohamad Jahanbani,Stefan Ruemmelin. Владелец: Motorola Inc. Дата публикации: 2003-01-09.

Method for cleaning semiconductor wafer

Номер патента: US20200027721A1. Автор: Tatsuo Abe,Kensaku Igarashi. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2020-01-23.

Singulation of silicon carbide semiconductor wafers

Номер патента: US20240234155A1. Автор: Aira Lourdes VILLAMOR. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2024-07-11.

Semiconductor wafer and method of wafer thinning using grinding phase and separation phase

Номер патента: US20180040469A1. Автор: Michael J. Seddon. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2018-02-08.

Semiconductor wafer and method of wafer thinning

Номер патента: US20190006169A1. Автор: Michael J. Seddon. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2019-01-03.

Semiconductor wafer and method of wafer thinning

Номер патента: US20210257208A1. Автор: Michael J. Seddon. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2021-08-19.

Method of grinding back surface of semiconductor wafer and semiconductor wafer grinding apparatus

Номер патента: SG131917A1. Автор: Tomoo Hayashi,Motoi Nezu. Владелец: Tokyo Seimitsu Co Ltd. Дата публикации: 2007-05-28.

Semiconductor chip, semiconductor wafer, semiconductor device and method of manufacturing the semiconductor device

Номер патента: US20020013012A1. Автор: Masao Mitani,Jin Murayama. Владелец: Individual. Дата публикации: 2002-01-31.

Process for manufacturing semiconductor wafers containing a gas-phase epitaxial layer in a deposition chamber

Номер патента: US20240117523A1. Автор: Thomas Stettner. Владелец: SILTRONIC AG. Дата публикации: 2024-04-11.

Method of machining semiconductor wafer-use polishing pad and semiconductor wafer-use polishing pad

Номер патента: EP1447841A4. Автор: Nobuo Kawahashi,Kou Hasegawa,Hiroshi Shiho. Владелец: JSR Corp. Дата публикации: 2007-08-15.

Semiconductor wafer dicing process

Номер патента: US12100619B2. Автор: Janet Hopkins,Martin Hanicinec,Oliver Ansell. Владелец: SPTS Technologies Ltd. Дата публикации: 2024-09-24.

Method for manufacturing semiconductor wafer

Номер патента: US09991110B2. Автор: Kazuaki Kozasa,Katsuhisa Sugimori,Syunya Kobuchi. Владелец: Sumco Corp. Дата публикации: 2018-06-05.

Methods of processing semiconductor wafers using double side grinding operations

Номер патента: US20240253173A1. Автор: Tsunehiro Muronoi. Владелец: GlobalWafers Co Ltd. Дата публикации: 2024-08-01.

Methods of processing semiconductor wafers using double side grinding operations

Номер патента: WO2024158728A1. Автор: Tsunehiro Muronoi. Владелец: GlobalWafers Co., Ltd.. Дата публикации: 2024-08-02.

Semiconductor wafer processing method

Номер патента: US20090061599A1. Автор: Kazuma Sekiya,Yusuke Kimura. Владелец: Disco Corp. Дата публикации: 2009-03-05.

Apparatus and method for grinding, lapping and polishing semiconductor wafers

Номер патента: WO2000047366A2. Автор: KOBAYASHI Masayuki. Владелец: Shin-Etsu Handotai Europe Limited. Дата публикации: 2000-08-17.

Apparatus and method for grinding, lapping and polishing semiconductor wafers

Номер патента: WO2000047366A3. Автор: KOBAYASHI Masayuki. Владелец: Shin Etsu Handotai Europ Ltd. Дата публикации: 2000-11-16.

Singulation of silicon carbide semiconductor wafers

Номер патента: US11942327B2. Автор: Aira Lourdes VILLAMOR. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2024-03-26.

Process for producing a large number of semiconductor chips from a semiconductor wafer

Номер патента: US20020016047A1. Автор: Toshiyuki Tateishi. Владелец: Individual. Дата публикации: 2002-02-07.

Method of lapping semiconductor wafer and semiconductor wafer

Номер патента: US11456168B2. Автор: Daisuke Hashimoto,Tomohiro Hashii,Satoshi Matagawa. Владелец: Sumco Corp. Дата публикации: 2022-09-27.

Method of lapping semiconductor wafer and semiconductor wafer

Номер патента: US20190181001A1. Автор: Daisuke Hashimoto,Tomohiro Hashii,Satoshi Matagawa. Владелец: Sumco Corp. Дата публикации: 2019-06-13.

Grinding apparatus for semiconductor wafers

Номер патента: US6168499B1. Автор: Kwon-yuong Jang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2001-01-02.

Method and system for in-situ optimization for semiconductor wafers in a chemical mechanical polishing process

Номер патента: US6159075A. Автор: Liming Zhang. Владелец: VLSI Technology Inc. Дата публикации: 2000-12-12.

Sensing device and method of leveling a semiconductor wafer

Номер патента: US5944580A. Автор: Yong-Kwon Kim,Jun-Yong Kim. Владелец: LG Semicon Co Ltd. Дата публикации: 1999-08-31.

Laser induced semiconductor wafer patterning

Номер патента: US20220406601A1. Автор: Sudarsan Uppili,Carl Johnson,Vipindas Pala,Chan Wu,John Trepl II. Владелец: Monolithic Power Systems Inc. Дата публикации: 2022-12-22.

Method for polishing a semiconductor wafer on both sides

Номер патента: US20200039020A1. Автор: Vladimir Dutschke. Владелец: SILTRONIC AG. Дата публикации: 2020-02-06.

Method for Producing Semiconductor Wafers and a System for Determining a Cut Position in a Semiconductor Ingot

Номер патента: US20070243695A1. Автор: Makoto Iida. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2007-10-18.

Semiconductor wafer polishing machine

Номер патента: US5876272A. Автор: Kenji Sakai,Takao Inaba,Masaaki Oguri. Владелец: Tokyo Seimitsu Co Ltd. Дата публикации: 1999-03-02.

Semiconductor wafer cutting blade and method

Номер патента: WO2007005823A3. Автор: John Paul Harris Jr. Владелец: John Paul Harris Jr. Дата публикации: 2007-08-16.

Method Of Producing Semiconductor Wafer

Номер патента: US20090280623A1. Автор: Kazunari Kurita. Владелец: Sumco Corp. Дата публикации: 2009-11-12.

Method of producing semiconductor wafer

Номер патента: EP2116323B1. Автор: Kazunari Kurita. Владелец: Sumco Corp. Дата публикации: 2012-02-01.

Method of producing semiconductor wafer

Номер патента: US8124501B2. Автор: Kazunari Kurita. Владелец: Sumco Corp. Дата публикации: 2012-02-28.

Semiconductor wafer cutting blade and method

Номер патента: WO2007005823A2. Автор: John Paul Harris, Jr.. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2007-01-11.

Method for manufacturing semiconductor wafer

Номер патента: US20240165765A1. Автор: Ryo Hasegawa. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-05-23.

Production method for semiconductor wafer

Номер патента: EP1632993A1. Автор: K. c/o Sumco Corporation Asakawa. Владелец: Sumco Corp. Дата публикации: 2006-03-08.

Chemicomechanical polishing device for a semiconductor wafer

Номер патента: US6116993A. Автор: Morimitsu Tanaka. Владелец: NEC Corp. Дата публикации: 2000-09-12.

Semiconductor wafer manufacturing method and wafer

Номер патента: US7250368B2. Автор: Nobuyuki Hayashi,Takahiro Kida,Seiichi Miyazaki,Katsunori Arai,Kazuhiko Nishimura. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2007-07-31.

Semiconductor wafer processing method

Номер патента: US20200020585A1. Автор: Masatoshi Wakahara,Frank Wei. Владелец: Disco Corp. Дата публикации: 2020-01-16.

Apparatus for polishing semiconductor wafers

Номер патента: US4132037A. Автор: Anthony C. Bonora. Владелец: Siltec Corp. Дата публикации: 1979-01-02.

Semiconductor wafer with assisting dicing structure and dicing method thereof

Номер патента: US8629532B2. Автор: Shih-Hsun Hsu,Hsien-Wei Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-01-14.

Method and apparatus for breaking semiconductor wafers

Номер патента: WO2007024402A2. Автор: William H. Baylis,John E. Tyler. Владелец: Dynatex International (California Corporation). Дата публикации: 2007-03-01.

Method and apparatus for breaking semiconductor wafers

Номер патента: EP1941540A2. Автор: William H. Baylis,John E. Tyler. Владелец: Dynatex International (California Corporation). Дата публикации: 2008-07-09.

Semiconductor wafer processing method

Номер патента: US7550387B2. Автор: Kazuma Sekiya,Yusuke Kimura. Владелец: Disco Corp. Дата публикации: 2009-06-23.

Method of slicing a semiconductor wafer and an apparatus

Номер патента: US5458526A. Автор: Takeshi Doi,Shuichi Tsukada. Владелец: Tokyo Seimitsu Co Ltd. Дата публикации: 1995-10-17.

Polishing pad and apparatus for polishing a semiconductor wafer

Номер патента: US6077153A. Автор: Takashi Fujita,Yuzo Kozai,Motoyuki Ohara. Владелец: Sumitomo Metal Industries Ltd. Дата публикации: 2000-06-20.

Methods for processing semiconductor wafers having a polycrystalline finish

Номер патента: EP3304580A1. Автор: Tracy M. Ragan,Guoqiang D. Zhang,Mark S. CROOKS. Владелец: SunEdison Semiconductor Ltd. Дата публикации: 2018-04-11.

Method and device for polishing semiconductor wafer

Номер патента: EP1129821B1. Автор: Makoto Kobayashi,Hiroyuki Takamatsu. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2008-05-21.

Apparatus and method for cleaning semiconductor wafers

Номер патента: US12068149B2. Автор: HUI Wang,Jun Wang,Jian Wang,Jun Wu,Fuping CHEN,Deyun Wang,Zhiyou Fang,Guanzhong Lu. Владелец: ACM Research Shanghai Inc. Дата публикации: 2024-08-20.

Apparatus and method for cleaning semiconductor wafers

Номер патента: US20240355614A1. Автор: HUI Wang,Jun Wang,Jian Wang,Jun Wu,Fuping CHEN,Deyun Wang,Zhiyou Fang,Guanzhong Lu. Владелец: ACM Research Shanghai Inc. Дата публикации: 2024-10-24.

Wafer container with external passive getter module

Номер патента: US20180138065A1. Автор: Matthew A. Fuller,Jeffrey J. King,John Burns,Martin Forbes. Владелец: Entegris Inc. Дата публикации: 2018-05-17.

System and method for contactless handling of semiconductor wafers

Номер патента: WO2024210830A1. Автор: Christoph Winkler. Владелец: PTW Asia Pte Ltd. Дата публикации: 2024-10-10.

Systems, apparatus, articles of manufacture, and methods to inspect semiconductor wafers

Номер патента: US20240219326A1. Автор: Oliver Patterson,Kale Beckwitt. Владелец: Intel Corp. Дата публикации: 2024-07-04.

Evacuated wafer container

Номер патента: US5255783A. Автор: John B. Goodman,Kirk J. Mikkelsen. Владелец: Fluoroware Inc. Дата публикации: 1993-10-26.

Secure inspection and marking of semiconductor wafers for trusted manufacturing thereof

Номер патента: US20210134682A1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2021-05-06.

Semiconductor wafer weighing apparatus and methods

Номер патента: WO2015150733A1. Автор: Robert John Wilby,Adrian Kiermasz. Владелец: METRYX LIMITED. Дата публикации: 2015-10-08.

Semiconductor wafer baking apparatus

Номер патента: US20020066725A1. Автор: Dae Lee,Byung Lee. Владелец: Individual. Дата публикации: 2002-06-06.

Method for controlling airflow on a backside of a semiconductor wafer during spin processing

Номер патента: US20020112371A1. Автор: Brian Bliven,Roy Winston Pascal. Владелец: Individual. Дата публикации: 2002-08-22.

Spin etching method for semiconductor wafer

Номер патента: US20090209110A1. Автор: Osamu Nagai,Ayumu Okano. Владелец: Disco Corp. Дата публикации: 2009-08-20.

Semiconductor wafer evaluation method and semiconductor wafer production method

Номер патента: EP3904825A1. Автор: Hironori Tanaka,Masashi Nishimura. Владелец: Sumco Corp. Дата публикации: 2021-11-03.

Semiconductor wafer detection device and droplet guide member

Номер патента: US20240213061A1. Автор: Kunimasa Matsushita,Tomoaki Fujimoto. Владелец: Ebara Corp. Дата публикации: 2024-06-27.

Wheel and conveyor system for transporting semiconductor wafers

Номер патента: US20020174881A1. Автор: Michael Ravkin,Brian Bliven. Владелец: Lam Research Corp. Дата публикации: 2002-11-28.

Semiconductor wafer and method for producing same

Номер патента: US09929018B2. Автор: Florian Bieck,Sven-Manfred Spiller,Carolinda Sukmadevi Asfhandy. Владелец: Disco Corp. Дата публикации: 2018-03-27.

Method and apparatus for collecting chemicals from semiconductor wafer

Номер патента: US20100132739A1. Автор: Ichiro Sato,Mariko Wakuda. Владелец: Komatsu Denshi Kinzouku KK. Дата публикации: 2010-06-03.

Method and Apparatus for Collecting Chemicals From Semiconductor Wafer

Номер патента: US20070204881A1. Автор: Ichiro Sato,Mariko Wakuda. Владелец: Komatsu Electronic Metals Co Ltd. Дата публикации: 2007-09-06.

Method of calibrating a semiconductor wafer drying apparatus

Номер патента: US20020148826A1. Автор: Yoshio Iwamoto,Philip Schmidt,Craig Spohr,James Lenk,Leslie Stanton. Владелец: Individual. Дата публикации: 2002-10-17.

Double-sided marking of semiconductor wafers and method of using a double-sided marked semiconductor wafer

Номер патента: GB201014264D0. Автор: . Владелец: DOUBLECHECK SEMICONDUCTORS Pte Ltd. Дата публикации: 2010-10-13.

Method for drying a semiconductor wafer, a mixture for drying, and a dryer

Номер патента: US20020023370A1. Автор: Yasushi Sasaki. Владелец: NEC Corp. Дата публикации: 2002-02-28.

Cleaning apparatus for semiconductor wafer and method of cleaning semiconductor wafer

Номер патента: US20230033913A1. Автор: Michihiko Tomita,Kazuhiro Ohkubo,Yuki NAKAO,Kaito NODA. Владелец: Sumco Corp. Дата публикации: 2023-02-02.

Method of thermally treating semiconductor wafers in furnace and wafer hanger useful therein

Номер патента: US5043301A. Автор: Mituo Ohdate. Владелец: Mitsubishi Electric Corp. Дата публикации: 1991-08-27.

Method of manufacturing semiconductor device and cleaning apparatus

Номер патента: US20190378733A1. Автор: Yohei Hamaguchi,Shin AOYAMA,Tetsuya Tayama. Владелец: Renesas Electronics Corp. Дата публикации: 2019-12-12.

Temperature Controller for Semiconductor Wafer and Temperature Control Method for Semiconductor Wafer

Номер патента: US20150212528A1. Автор: Kazuhiro Mimura. Владелец: Kelk Ltd. Дата публикации: 2015-07-30.

Systems and methods for semiconductor wafer transport

Номер патента: US20240258142A1. Автор: Yen-Yu Chen,Wen-hao Cheng,Hsuan-Chih Chu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-01.

Wafer container and wafer aligning apparatus

Номер патента: US5468112A. Автор: Katsumi Ishii,Masao Takikawa. Владелец: Tokyo Electron Tohoku Ltd. Дата публикации: 1995-11-21.

Wafer boats for supporting semiconductor wafers in a furnace

Номер патента: US20240304482A1. Автор: Sumeet S. Bhagavat,Qingmin Liu. Владелец: GlobalWafers Co Ltd. Дата публикации: 2024-09-12.

Improved rails for semiconductor wafer carriers

Номер патента: EP1735818A1. Автор: Steven A. Brown,Thomas Werninghaus,Manuel Gonzales,Claudia Kopel. Владелец: Poco Graphite Inc. Дата публикации: 2006-12-27.

Wafer boats for supporting semiconductor wafers in a furnace

Номер патента: US12046495B2. Автор: Sumeet S. Bhagavat,Qingmin Liu. Владелец: GlobalWafers Co Ltd. Дата публикации: 2024-07-23.

Apparatus and methods for processing bonding semiconductor wafers

Номер патента: US20240194478A1. Автор: Scott LEFEVRE,Satohiko Hoshino,Yuji MIMURA. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-06-13.

Low thermal mass semiconductor wafer support

Номер патента: EP2311080A1. Автор: Lance G. Hellwig,Brian L. Gilmore. Владелец: SunEdison Inc. Дата публикации: 2011-04-20.

Apparatus for cleaning semiconductor wafers

Номер патента: WO2001033609A2. Автор: Philip R. Schmidt,Jon Seilkop,Craig Spohr. Владелец: MEMC ELECTRONIC MATERIALS, INC.. Дата публикации: 2001-05-10.

Apparatus for cleaning semiconductor wafers

Номер патента: WO2001033609B1. Автор: Philip R Schmidt,Jon Seilkop,Craig Spohr. Владелец: Memc Electronic Materials. Дата публикации: 2002-01-03.

Low Thermal Mass Semiconductor Wafer Plate

Номер патента: US20120074081A1. Автор: Lance G. Hellwig,Brian Lawrence Gilmore. Владелец: SunEdison Inc. Дата публикации: 2012-03-29.

Method of automatically setting purge mode of stb and system for manufacturing semiconductor wafer

Номер патента: US20230402296A1. Автор: Young Woo Kim. Владелец: Semes Co Ltd. Дата публикации: 2023-12-14.

Semiconductor wafer

Номер патента: US20090290158A1. Автор: Tomohiro Hashii. Владелец: Sumco Corp. Дата публикации: 2009-11-26.

Semiconductor wafer processing methods and apparatus

Номер патента: US9818658B2. Автор: Robert John Wilby,Adrian Kiermasz. Владелец: Metryx Ltd. Дата публикации: 2017-11-14.

Semiconductor wafer processing methods and apparatus

Номер патента: EP3078057A1. Автор: Robert John Wilby,Adrian Kiermasz. Владелец: Metryx Ltd. Дата публикации: 2016-10-12.

Semiconductor wafer carriers

Номер патента: US20180190524A1. Автор: Emmanuel Chua Abas,Arnold Villamor Castillo,Carl Anthony Pangan Pondoyo,Emil Alcaraz Pares,Vergil Rodriguez Sandoval. Владелец: SunPower Corp. Дата публикации: 2018-07-05.

Surface Protection Adhesive Tape for Semiconductor Wafer Backgrinding, And Semiconductor Wafer Grinding Method

Номер патента: MY196409A. Автор: YOKOI Hirotoki. Владелец: Furukawa Electric Co Ltd. Дата публикации: 2023-03-29.

Semiconductor wafer mass metrology apparatus and semiconductor wafer mass metrology method

Номер патента: EP3782188A1. Автор: Gregor Elliott,Eric Tonnis. Владелец: Metryx Ltd. Дата публикации: 2021-02-24.

Semiconductor wafer mass metrology apparatus and semiconductor wafer mass metrology method

Номер патента: WO2019201603A1. Автор: Eric Tonnis,Gregor ELLIOT. Владелец: METRYX LIMITED. Дата публикации: 2019-10-24.

Semiconductor wafer processing device

Номер патента: US20220392781A1. Автор: Tae Jong Yu,Jin Seok Lee,Bong Ju Lee,Min Joon Kim,Sung Il Cho,Tae Sun Shin. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-12-08.

Method for cleaning semiconductor wafer

Номер патента: US20200105517A1. Автор: Tatsuo Abe,Kensaku Igarashi. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2020-04-02.

Secure inspection and marking of semiconductor wafers for trusted manufacturing thereof

Номер патента: US11804411B2. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2023-10-31.

Monocrystalline semiconductor wafer and method for producing a semiconductor wafer

Номер патента: US20180342383A1. Автор: Herbert Becker,Klaus Roettger,Leszek Mistur,Andreas Muehe. Владелец: SILTRONIC AG. Дата публикации: 2018-11-29.

Processing method for semiconductor wafers

Номер патента: US5035750A. Автор: Takeki Hata,Masuo Tada,Takaaki Fukumoto,Toshiaki Ohmori. Владелец: Taiyo Sanso Co Ltd. Дата публикации: 1991-07-30.

High performance multi-zone illuminator module for semiconductor wafer processing

Номер патента: US5446825A. Автор: Mehrdad M. Moslehi,Cecil J. Davis,Robert T. Matthews. Владелец: Texas Instruments Inc. Дата публикации: 1995-08-29.

Heat treatment method for semiconductor wafer

Номер патента: US10763127B2. Автор: Kenji Meguro,Taishi WAKABAYASHI,Miho NIITANI. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2020-09-01.

Method for processing semiconductor wafer

Номер патента: US20240087942A1. Автор: Yuichi Nakao,Ryosuke Yamada. Владелец: ROHM CO LTD. Дата публикации: 2024-03-14.

Method and device for placing semiconductor wafer

Номер патента: US20230061549A1. Автор: Yung-Yao Lee,Chen Yi Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-03-02.

Storage container for integrated circuit semiconductor wafers

Номер патента: US5553711A. Автор: Chih-Ching Lin,Jin-Chys Tai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 1996-09-10.

Semiconductor wafer transport method and semiconductor wafer transport apparatus

Номер патента: EP2458627A3. Автор: Masayuki Yamamoto,Yukitoshi Hase. Владелец: Nitto Denko Corp. Дата публикации: 2014-09-03.

Semiconductor wafer transport method and semiconductor wafer transport apparatus

Номер патента: SG181262A1. Автор: YAMAMOTO Masayuki,Hase Yukitoshi. Владелец: Nitto Denko Corp. Дата публикации: 2012-06-28.

Semiconductor wafer cassette transportation apparatus and stocker used therein

Номер патента: US6129496A. Автор: Junji Iwasaki,Junichi Katsube,Yasushi Itami. Владелец: Mitsubishi Electric Corp. Дата публикации: 2000-10-10.

Method and apparatus for drying semiconductor wafers without forming water markers thereon

Номер патента: US6055743A. Автор: Yi-Nan Chen,Wen-Chi Hsu. Владелец: Nanya Technology Corp. Дата публикации: 2000-05-02.

Apparatus and Method of separating a semiconductor wafer from a support

Номер патента: EP1523030A2. Автор: Saburo Miyamoto,Yukitoshi Hase. Владелец: Nitto Denko Corp. Дата публикации: 2005-04-13.

Method and system for processing semiconductor wafer

Номер патента: US20120156885A1. Автор: Yu-Tsung Lai,Jiunn-Hsiung Liao,Chang-Hsiao Lee. Владелец: United Microelectronics Corp. Дата публикации: 2012-06-21.

System and method for integrated oxide removal and processing of a semiconductor wafer

Номер патента: US20010031556A1. Автор: Sylvia Pas. Владелец: Texas Instruments Inc. Дата публикации: 2001-10-18.

Etching method and apparatus for semiconductor wafers

Номер патента: US20040157452A1. Автор: Yoshihiro Ogawa,Hiroshi Tomita,Hisashi Okuchi,Hiroyasu Iimori. Владелец: Individual. Дата публикации: 2004-08-12.

Method for producing semiconductor wafer

Номер патента: US20120295383A1. Автор: Susumu Sugano. Владелец: Showa Denko KK. Дата публикации: 2012-11-22.

Apparatus, system and method for providing a semiconductor wafer leveling rim

Номер патента: EP4107777A1. Автор: Jeroen Bosboom. Владелец: Jabil Inc. Дата публикации: 2022-12-28.

Air blow apparatus for a semiconductor wafer

Номер патента: US6018884A. Автор: Hisaya Fukunaga,Katsutoshi Kurogi. Владелец: Komatsu Electronic Metals Co Ltd. Дата публикации: 2000-02-01.

Quartz tank for wet semiconductor wafer processing

Номер патента: US6022052A. Автор: L. Brian Dunn. Владелец: Micron Technology Inc. Дата публикации: 2000-02-08.

Polishing device and method of polishing semiconductor wafer

Номер патента: US20160207165A1. Автор: Yuichiro Fujiyama,Takumi Takahashi,Shiguma KATO,Taku Maruo. Владелец: Toshiba Corp. Дата публикации: 2016-07-21.

Sacrificial Carrier Dicing of Semiconductor Wafers

Номер патента: US20160079117A1. Автор: David J. Russell,Douglas O. Powell,Richard S. Graf,David J. West. Владелец: International Business Machines Corp. Дата публикации: 2016-03-17.

Sacrificial Carrier Dicing of Semiconductor Wafers

Номер патента: US20160079111A1. Автор: David J. Russell,Douglas O. Powell,Richard S. Graf,David J. West. Владелец: International Business Machines Corp. Дата публикации: 2016-03-17.

Semiconductor wafer metrology apparatus and method

Номер патента: US9310244B2. Автор: Robert John Wilby. Владелец: Metryx Ltd. Дата публикации: 2016-04-12.

Stationary and pivotable trays for semiconductor wafer transfer

Номер патента: US20030094212A1. Автор: Yin-Cheng Ma. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2003-05-22.

Polishing device and method of polishing semiconductor wafer

Номер патента: US9550273B2. Автор: Yuichiro Fujiyama,Takumi Takahashi,Shiguma KATO,Taku Maruo. Владелец: Toshiba Corp. Дата публикации: 2017-01-24.

Semiconductor wafer metrology apparatus and method

Номер патента: EP2201340B1. Автор: Robert John Wilby. Владелец: Metryx Ltd. Дата публикации: 2011-05-18.

Semiconductor wafer identification

Номер патента: EP1449162A2. Автор: Karl Mautz,Jason Zeakes. Владелец: Motorola Inc. Дата публикации: 2004-08-25.

Semiconductor Wafer Metrology Apparatus and Method

Номер патента: US20140231152A1. Автор: Robert John Wilby. Владелец: METRYX LIMITED. Дата публикации: 2014-08-21.

Semiconductor wafer metrology apparatus and method

Номер патента: EP2201340A1. Автор: Robert John Wilby. Владелец: Metryx Ltd. Дата публикации: 2010-06-30.

Method for improving semiconductor wafer processing

Номер патента: US6010916A. Автор: Stephen D. Horton,Theodros W. Mariam. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-01-04.

Inspection of defects on the circumference of semiconductor wafers

Номер патента: US20010053557A1. Автор: Keun-Hyung Park. Владелец: Selight Co Ltd. Дата публикации: 2001-12-20.

Apparatus for drying semiconductor wafers using isopropyl alcohol

Номер патента: US5855077A. Автор: Yong-Sun Ko,Chang-Hyun Nam. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-01-05.

Method and apparatus for endpoint detection in a semiconductor wafer etching system

Номер патента: US5151584A. Автор: Manoocher Birang,Peter Ebbing. Владелец: Applied Materials Inc. Дата публикации: 1992-09-29.

Method and apparatus for supporting semiconductor wafers

Номер патента: WO2004008493A9. Автор: Dale R Dubois. Владелец: Aviza Tech Inc. Дата публикации: 2004-07-22.

Apparatus for transporting semiconductor wafers

Номер патента: US20240178026A1. Автор: Ludwig Lamprecht,Thoralf Vogel. Владелец: SILTRONIC AG. Дата публикации: 2024-05-30.

Apparatus for dry processing a semiconductor wafer

Номер патента: CA1286794C. Автор: David W. Palmer. Владелец: MONARCH TECHNOLOGIES CORPORATION. Дата публикации: 1991-07-23.

Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers

Номер патента: US3953265A. Автор: Roderic Kermit Hood. Владелец: International Business Machines Corp. Дата публикации: 1976-04-27.

Apparatus to reduce contaminants from semiconductor wafers

Номер патента: US20020124428A1. Автор: Alan Ouye. Владелец: Applied Materials Inc. Дата публикации: 2002-09-12.

Method and apparatus to reduce contaminants from semiconductor wafers

Номер патента: EP1218925A1. Автор: Alan Hiroshi Ouye. Владелец: Applied Materials Inc. Дата публикации: 2002-07-03.

Method for determining corrective film pattern to reduce semiconductor wafer bow

Номер патента: EP4364190A1. Автор: Matt Mclaughlin,Jonathan L. Herlocker,Ryan J. STODDARD. Владелец: Tignis Inc. Дата публикации: 2024-05-08.

Compact apparatus and method for storing and loading semiconductor wafer carriers

Номер патента: US5964561A. Автор: Dan Marohl. Владелец: Applied Materials Inc. Дата публикации: 1999-10-12.

Aqueous hydrofluoric acid vapor processing of semiconductor wafers

Номер патента: US5332445A. Автор: Eric J. Bergman. Владелец: Semitool Inc. Дата публикации: 1994-07-26.

Apparatus for coating a semiconductor wafer with a photoresist

Номер патента: US6019843A. Автор: Sung-il Kim,Sung-hyeon Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-02-01.

Semiconductor wafer temperature measurement and control thereof using gas temperature measurement

Номер патента: US5992046A. Автор: Peter Weigand,Naohiro Shoda. Владелец: Toshiba Corp. Дата публикации: 1999-11-30.

Gettering method for a semiconductor wafer

Номер патента: US4980300A. Автор: Moriya Miyashita,Shintaro Yoshii,Keiko Sakuma. Владелец: Toshiba Corp. Дата публикации: 1990-12-25.

Semiconductor wafer metrology apparatus and method

Номер патента: US20100285614A1. Автор: Robert John Wilby. Владелец: Metryx Ltd. Дата публикации: 2010-11-11.

Semiconductor wafer metrology apparatus and method

Номер патента: WO2009044113A2. Автор: Robert John Wilby. Владелец: METRYX LIMITED. Дата публикации: 2009-04-09.

Semiconductor wafer metrology apparatus and method

Номер патента: EP2201394A2. Автор: Robert John Wilby. Владелец: Metryx Ltd. Дата публикации: 2010-06-30.

Semiconductor Wafer Metrology Apparatus

Номер патента: US20130118816A1. Автор: Robert John Wilby. Владелец: Metryx Ltd. Дата публикации: 2013-05-16.

Semiconductor wafer metrology apparatus and method

Номер патента: WO2009044113A3. Автор: Robert John Wilby. Владелец: Metryx Ltd. Дата публикации: 2009-06-04.

Method and system for drying semiconductor wafers in a spin coating process

Номер патента: US20030143866A1. Автор: David Hall. Владелец: Texas Instruments Inc. Дата публикации: 2003-07-31.

Vertical semiconductor wafer carrier

Номер патента: US20090071918A1. Автор: Peter G. Tolchinsky,Christopher Parker,Panchapakesan Ramanarayanan,Karson Knutson. Владелец: Intel Corp. Дата публикации: 2009-03-19.

Processing system for semiconductor wafers

Номер патента: US20230279552A1. Автор: Viljami Pore. Владелец: ASM IP Holding BV. Дата публикации: 2023-09-07.

Semiconductor wafer processing system

Номер патента: US11769673B2. Автор: Wieland Pethe,Dirk Noack. Владелец: GlobalFoundries US Inc. Дата публикации: 2023-09-26.

System and method for heating semiconductor wafers

Номер патента: US20230298916A1. Автор: Yen-Yu Chen,Wen-hao Cheng,Hsuan-Chih Chu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-21.

Method and apparatus for preparing semiconductor wafers for measurement

Номер патента: WO2001059831A2. Автор: Michial Duff Howell,Barry Roy Bowman. Владелец: Therma-Wave, Inc.. Дата публикации: 2001-08-16.

System for Processing Semiconductor Wafer Storage Cassettes, Combinations, and Method of Transporting

Номер патента: US20240047252A1. Автор: Erik ter Vrugt. Владелец: ASM IP Holding BV. Дата публикации: 2024-02-08.

Method and apparatus for storing a semiconductor wafer after CMP

Номер патента: GB2349741A. Автор: Hidemitsu Aoki,Shinya Yamasaki. Владелец: NEC Corp. Дата публикации: 2000-11-08.

Motor drive assembly for a semiconductor wafer processing system

Номер патента: US6098641A. Автор: Aleksander Owczarz. Владелец: Semitool Inc. Дата публикации: 2000-08-08.

Method for wafer position data retrieval in semiconductor wafer manufacturing

Номер патента: EP1309988B1. Автор: Silka Haschke,Andreas Wintergerst. Владелец: Infineon Technologies SC300 GmbH and Co KG. Дата публикации: 2007-10-10.

Method for separating semiconductor wafer into chips

Номер патента: US8236605B2. Автор: Ming-Ching Wu. Владелец: Domintech Co Ltd. Дата публикации: 2012-08-07.

Method for separating semiconductor wafer into chips

Номер патента: US20110269296A1. Автор: Ming-Ching Wu. Владелец: Domintech Co Ltd. Дата публикации: 2011-11-03.

Method for wafer position data retrieval in semiconductor wafer manufacturing

Номер патента: EP1309988A1. Автор: Silka Haschke,Andreas Wintergerst. Владелец: Infineon Technologies SC300 GmbH and Co KG. Дата публикации: 2003-05-14.

Semiconductor wafer cassette

Номер патента: US20030075518A1. Автор: Yu-Pin Tsai,Fu-Tang Chu,Ching-Feng Tseng,Chih-Min Pao. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2003-04-24.

Semiconductor wafer and method for manufacturing semiconductor wafer

Номер патента: US20230163015A1. Автор: Masao TAKATA. Владелец: Mitsubishi Electric Corp. Дата публикации: 2023-05-25.

Method and device for processing semiconductor wafer

Номер патента: US6999830B2. Автор: Masahiro Mochizuki,Shinji Arai,Takamasa Chikuma. Владелец: Tokyo Electron Ltd. Дата публикации: 2006-02-14.

Linear induction semiconductor wafer transportation apparatus

Номер патента: US4624617A. Автор: David Belna. Владелец: Individual. Дата публикации: 1986-11-25.

Particle contamination apparatus for semiconductor wafer processing

Номер патента: US5496409A. Автор: Ping I. Chen. Владелец: United Microelectronics Corp. Дата публикации: 1996-03-05.

Semiconductor wafer processing system

Номер патента: US5246524A. Автор: Kazuhiro Noda,Toshiyuki Sekido,Shigeji Kuroda,Matsuro Kinbara. Владелец: Nitto Denko Corp. Дата публикации: 1993-09-21.

Apparatus and methods for rerecirculating etching solution during semiconductor wafer processing

Номер патента: US6001216A. Автор: Seung-Kun Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-12-14.

Method and apparatus for vertical transfer of a semiconductor wafer cassette

Номер патента: US5788458A. Автор: Anthony C. Bonora,Joshua T. Oen,Michael A. Neads. Владелец: Asyst Technologies Inc. Дата публикации: 1998-08-04.

Semiconductor wafer support ring for heat treatment

Номер патента: US20180274862A1. Автор: Qingmin Liu,Shawn George Thomas,William Lynn Luter. Владелец: GlobalWafers Co Ltd. Дата публикации: 2018-09-27.

Temperature Controller of Semiconductor Wafer

Номер патента: US20180053668A1. Автор: Kazuhiro Mimura. Владелец: Kelk Ltd. Дата публикации: 2018-02-22.

Container for transporting semiconductor wafer

Номер патента: US20230317485A1. Автор: Kenichi Hirose. Владелец: Achilles Corp. Дата публикации: 2023-10-05.

Door assembly for semiconductor wafer manufacturing

Номер патента: WO1999043582A8. Автор: Jeffrey M Denker. Владелец: PRI Automation Inc. Дата публикации: 1999-11-04.

Process and apparatus for transferring an object and for processing semiconductor wafers

Номер патента: US5478195A. Автор: YASUTSUGU Usami. Владелец: HITACHI LTD. Дата публикации: 1995-12-26.

Apparatus for determining warp in semiconductor wafer cassettes

Номер патента: US5798532A. Автор: Daniel S. Linehan. Владелец: Integrated Device Technology Inc. Дата публикации: 1998-08-25.

Semiconductor wafer and method of probe testing

Номер патента: US20180190552A1. Автор: Michael J. Seddon,Heng Chen Lee. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2018-07-05.

Method for wafer bonding and compound semiconductor wafer

Номер патента: US12068296B2. Автор: Stefan Hampl,Kerstin Kaemmer,Marco Haubold,Norbert Thyssen. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2024-08-20.

Semiconductor wafer transfer arm

Номер патента: US20220157640A1. Автор: Ridzuan Hanapi,Muhammad Alif Shafiq Arof,Marc Jan Apilado. Владелец: Western Digital Technologies Inc. Дата публикации: 2022-05-19.

Method of processing a semiconductor wafer

Номер патента: US20210151342A1. Автор: Bo Hua CHEN,Yan Ting SHEN,Fu Tang Chu,Wen Han YANG. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2021-05-20.

Wafer holder for supporting a semiconductor wafer during a thermal treatment process

Номер патента: EP2327091A1. Автор: Thomas A. Torack,John A. Pitney. Владелец: SunEdison Inc. Дата публикации: 2011-06-01.

Semiconductor wafer aligning system and method using same

Номер патента: US6333636B1. Автор: Jae-Soon Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2001-12-25.

Methods of processing epitaxial semiconductor wafers

Номер патента: US20240218562A1. Автор: Manabu Hamano. Владелец: GlobalWafers Co Ltd. Дата публикации: 2024-07-04.

Semiconductor wafer chuck and method

Номер патента: US20140322919A1. Автор: Jason Boyd,David Campion,Travis Deleve,Jacob Stafford. Владелец: JST Manufacturing Inc. Дата публикации: 2014-10-30.

Semiconductor wafer tray positioning

Номер патента: US20030107125A1. Автор: Jeng-Yang Pan,Hung-Fa Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2003-06-12.

Methods of processing epitaxial semiconductor wafers

Номер патента: WO2024145454A2. Автор: Manabu Hamano. Владелец: GlobalWafers Co., Ltd.. Дата публикации: 2024-07-04.

Semiconductor wafer and (micro) transfer printing process

Номер патента: US20240355775A1. Автор: Ralf Lerner,Niclas Heise. Владелец: X Fab Global Services GmbH. Дата публикации: 2024-10-24.

Endeffectors for handling semiconductor wafers

Номер патента: WO2005010956A2. Автор: Paul Mantz. Владелец: MATTSON TECHNOLOGY, INC.. Дата публикации: 2005-02-03.

Methods of processing epitaxial semiconductor wafers

Номер патента: WO2024145454A3. Автор: Manabu Hamano. Владелец: GlobalWafers Co., Ltd.. Дата публикации: 2024-08-08.

Height adjustable semiconductor wafer support

Номер патента: US20230326785A1. Автор: Chun Liang Chen,Ming Shing,Yichi YEN,Kuo Lun Lo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-12.

Semiconductor wafer processing tapes

Номер патента: US20010016257A1. Автор: Richard Bennett,Greggory Bennett,Louis Winslow,Cheryl Moore,Karunasena Alahapperuma. Владелец: 3M Innovative Properties Co. Дата публикации: 2001-08-23.

Manufacturing method for semiconductor chips and semiconductor wafer

Номер патента: WO2006075725A3. Автор: Kiyoshi Arita,Teruaki Nishinaka. Владелец: Teruaki Nishinaka. Дата публикации: 2007-02-08.

Crosslinking a back grinding tape for a semiconductor wafer

Номер патента: US20240222183A1. Автор: Ankur Harish Shah,Venkateswarlu Bhavanasi,Wen How Sim,Harjashan Veer Singh. Владелец: Micron Technology Inc. Дата публикации: 2024-07-04.

Susceptor having a hollow in its wall facing the orientation flat of a semiconductor wafer

Номер патента: US9922863B2. Автор: Kazuhiko Horino. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2018-03-20.

Susceptor having a hollow in its wall facing the orientation flat of a semiconductor wafer

Номер патента: US09922863B2. Автор: Kazuhiko Horino. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2018-03-20.

Semiconductor wafer and semiconductor chip

Номер патента: US20210066202A1. Автор: Mika Fujii. Владелец: Kioxia Corp. Дата публикации: 2021-03-04.

Process for manufacturing semiconductor wafers containing a gas-phase epitaxial layer in a deposition chamber

Номер патента: US20240186168A1. Автор: Thomas Stettner. Владелец: SILTRONIC AG. Дата публикации: 2024-06-06.

Semiconductor Wafer Dicing Method

Номер патента: US20230402323A1. Автор: Junrong Yan,Chee Keong Chin,Cheng Chang,Zhonghua Qian,Zhengjie ZHU. Владелец: Western Digital Technologies Inc. Дата публикации: 2023-12-14.

Apparatus for Manufacturing Semiconductor Wafers

Номер патента: CA2080028A1. Автор: Jürgen Becker,Glen Cameron Hillier. Владелец: Northern Telecom Ltd. Дата публикации: 1994-04-08.

Temperature-based semiconductor wafer singulation

Номер патента: US20230245928A1. Автор: Jeniffer ASPURIA,Jose Franco ALICANTE,Jesus BAUTISTA, Jr.. Владелец: Texas Instruments Inc. Дата публикации: 2023-08-03.

Carrier for a semiconductor wafer and use of the carrier

Номер патента: US5911461A. Автор: Dieter Seifert,Bernd Sauter. Владелец: Wacker Siltronic AG. Дата публикации: 1999-06-15.

Methods of aligning a semiconductor wafer for singulation

Номер патента: US20220172994A1. Автор: Michael J. Seddon,Takashi Noma. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2022-06-02.

Methods of aligning a semiconductor wafer for singulation

Номер патента: US20210028064A1. Автор: Michael J. Seddon,Takashi Noma. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2021-01-28.

Methods of aligning a semiconductor wafer for singulation

Номер патента: US20200243392A1. Автор: Michael J. Seddon,Takashi Noma. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2020-07-30.

Manufacturing method of semiconductor device, processing method of semiconductor wafer, semiconductor wafer

Номер патента: US8883613B2. Автор: Tamotsu Owada. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2014-11-11.

Semiconductor wafer processing apparatus

Номер патента: US20030082914A1. Автор: Hiroshi Haji,Kiyoshi Arita,Tetsuhiro Iwai,Yutaka Koma. Владелец: Individual. Дата публикации: 2003-05-01.

Semiconductor wafer holding assembly

Номер патента: US20030019583A1. Автор: John Lawson,Stanislaw Kopacz. Владелец: Tokyo Electron Ltd. Дата публикации: 2003-01-30.

Semiconductor device, semiconductor wafer and semiconductor device manufacturing method

Номер патента: US20180301366A1. Автор: Masamichi Yanagida,Nobuyoshi Matsuura. Владелец: Ubiq Semiconductor Corp. Дата публикации: 2018-10-18.

Production method of semiconductor device, semiconductor wafer, and semiconductor device

Номер патента: US20140203411A1. Автор: Toru Onishi,Kunihito Kato. Владелец: Toyota Motor Corp. Дата публикации: 2014-07-24.

Semiconductor inspection device and method of inspecting a semiconductor wafer

Номер патента: US20220115252A1. Автор: Noriaki Noji. Владелец: Fuji Electric Co Ltd. Дата публикации: 2022-04-14.

Method for thinning a semiconductor wafer

Номер патента: US5476566A. Автор: Daniel Cavasin. Владелец: Motorola Inc. Дата публикации: 1995-12-19.

Semiconductor wafer holder and electroplating system for plating a semiconductor wafer

Номер патента: US20110036722A1. Автор: Junichiro Yoshioka,Yoshitaka Mukaiyama. Владелец: Individual. Дата публикации: 2011-02-17.

Thinned semiconductor wafer

Номер патента: US10615127B2. Автор: Michael J. Seddon. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2020-04-07.

Methods relating to the reconstruction of semiconductor wafers for wafer-level processing

Номер патента: US20060240582A1. Автор: Yong Tan,Wuu Tay. Владелец: Individual. Дата публикации: 2006-10-26.

Semiconductor Wafer and Method for Forming Semiconductor

Номер патента: US20190229069A1. Автор: Yuki Tanaka,Hiroshi Shikauchi,Shinji Kudoh,Tomonori Hotate. Владелец: Sanken Electric Co Ltd. Дата публикации: 2019-07-25.

Thinned semiconductor wafer

Номер патента: US20190019668A1. Автор: Michael J. Seddon. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2019-01-17.

Thinned semiconductor wafer

Номер патента: US20200185334A1. Автор: Michael J. Seddon. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2020-06-11.

Semiconductor wafer and method of probe testing

Номер патента: US20220084895A1. Автор: Michael J. Seddon. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2022-03-17.

Semiconductor wafer assembly and method of processing semiconductor wafer

Номер патента: US20080296733A1. Автор: Satoshi Yamanaka,Kiyotaka Kizaki. Владелец: Disco Corp. Дата публикации: 2008-12-04.

Transferring device for semiconductor wafers

Номер патента: US5052884A. Автор: Kazufumi Igari. Владелец: Tokyo Aircraft Instrument Co Ltd. Дата публикации: 1991-10-01.

Semiconductor wafer-securing adhesive tape.

Номер патента: MY111332A. Автор: Nakayama Koji,IWAMOTO Kazushige,Ishiwata Shinichi,Hasebe Morikuni,Shiramatsu Eiji,Mougi Kenji. Владелец: Furukawa Electric Co Ltd. Дата публикации: 1999-11-30.

Apparatus for detecting position of a notch in a semiconductor wafer

Номер патента: US5438209A. Автор: Satoshi Yamamoto,Kenji Kamei. Владелец: Dainippon Screen Manufacturing Co Ltd. Дата публикации: 1995-08-01.

Pressure-sensitive adhesive sheet for semiconductor wafer processing

Номер патента: US11898071B2. Автор: Hiroki Kono,Mariko TESHIBA. Владелец: Nitto Denko Corp. Дата публикации: 2024-02-13.

Wafer-fixing tape, method of processing a semiconductor wafer, and semiconductor chip

Номер патента: US20180012788A1. Автор: Masami Aoyama,Yoshifumi Oka. Владелец: Furukawa Electric Co Ltd. Дата публикации: 2018-01-11.

Semiconductor wafer processing tapes

Номер патента: EP1070347A1. Автор: Richard E. Bennett,Greggory S. Bennett,Louis E. Winslow,Karunasena A. Alahapperuma. Владелец: Minnesota Mining and Manufacturing Co. Дата публикации: 2001-01-24.

Height adjustable semiconductor wafer support

Номер патента: US11715665B2. Автор: Chun Liang Chen,Ming Shing,Yichi YEN,Kuo Lun Lo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-08-01.

Manufacturing method for semiconductor chips and semiconductor wafer

Номер патента: WO2006075725A2. Автор: Kiyoshi Arita,Teruaki Nishinaka. Владелец: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.. Дата публикации: 2006-07-20.

Focus ring, apparatus and method for processing semiconductor wafer

Номер патента: US20240096686A1. Автор: Chia-Jen Chang,Hsin-Chung Ho. Владелец: Hon Young Semiconductor Corp. Дата публикации: 2024-03-21.

Semiconductor wafer processing tapes

Номер патента: MY122223A. Автор: Richard Earl Bennett,Karunasena Alahapperuma,Greggory Scott Bennett,Louis Edward Winslaw. Владелец: Minnesota Mining & Mfg. Дата публикации: 2006-03-31.

Magnetic semiconductor wafers with handling apparatus and method

Номер патента: US5224581A. Автор: Peter Ebbing,Jack Ford. Владелец: Applied Materials Inc. Дата публикации: 1993-07-06.

Semiconductor wafer support with graded thermal mass

Номер патента: US5848889A. Автор: James V. Tietz,David S. Ballance,Benjamin Bierman. Владелец: Applied Materials Inc. Дата публикации: 1998-12-15.

Semiconductor wafer orientation device

Номер патента: US5052886A. Автор: Masayuki Moroi. Владелец: Texas Instruments Inc. Дата публикации: 1991-10-01.

Susceptor for securing semiconductor wafer

Номер патента: US20150027366A1. Автор: Kazuhiko Horino. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2015-01-29.

Method of cutting semiconductor wafer and protective sheet used in the cutting method

Номер патента: EP1570519A1. Автор: Kiyoshi Arita. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2005-09-07.

Method for removing particles on semiconductor wafers

Номер патента: US20020166571A1. Автор: Kazutaka Nakayama,Toshihito Tsuga,Minoru Fube. Владелец: Texas Instruments Inc. Дата публикации: 2002-11-14.

Method for producing semiconductor wafers

Номер патента: US20230243069A1. Автор: Timo Mueller,Michael SKROBANEK,Gudrun Kissinger,Michael Gehmlich,Karl Mangelberger. Владелец: SILTRONIC AG. Дата публикации: 2023-08-03.

Method of processing a semiconductor wafer and preprocessed semiconductor wafer

Номер патента: US20030082857A1. Автор: John Maltabes,Karl Mautz,Tim Stanley. Владелец: Motorola Inc. Дата публикации: 2003-05-01.

Method for cleaning semiconductor wafer

Номер патента: US20230154742A1. Автор: Kensaku Igarashi. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-05-18.

Methods of Compensating for Misalignment of Bonded Semiconductor Wafers

Номер патента: US20200286859A1. Автор: Mitsunari Sukekawa. Владелец: Micron Technology Inc. Дата публикации: 2020-09-10.

Apparatus and method for collecting impurities on a semiconductor wafer

Номер патента: US6939410B2. Автор: Jong-Cheol Jeong,Yong-Kyun Ko,Byung-Woo Son. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2005-09-06.

Method of cleaning semiconductor wafer and method of manufacturing semiconductor wafer

Номер патента: US20240290665A1. Автор: Ryosuke Takahashi,Mami Kubota,Sayaka Makise. Владелец: Sumco Corp. Дата публикации: 2024-08-29.

Method of processing a semiconductor wafer and preprocessed semiconductor wafer

Номер патента: WO2003071586A3. Автор: John Maltabes,Karl Mautz,Timothy Daryl Stanley. Владелец: Motorola Inc. Дата публикации: 2004-02-19.

Method of processing a semiconductor wafer and preprocessed semiconductor wafer

Номер патента: WO2003071586A2. Автор: John Maltabes,Karl Mautz,Timothy Daryl Stanley. Владелец: MOTOROLA, INC.. Дата публикации: 2003-08-28.

Method for testing semiconductor wafers using temporary sacrificial bond pads

Номер патента: PH12016501263A1. Автор: Howard H Roberts Jr. Владелец: Celerint LLC. Дата публикации: 2016-08-15.

Method of manufacturing semiconductor wafer and semiconductor device

Номер патента: US20240363363A1. Автор: Haruo Sudo,Ken Hayakawa. Владелец: GlobalWafers Japan Co Ltd. Дата публикации: 2024-10-31.

Semiconductor substrate, semiconductor wafer, and method for manufacturing semiconductor wafer

Номер патента: EP4383315A1. Автор: Kohei Sasaki,Chia-hung Lin. Владелец: Novel Crystal Technology Inc. Дата публикации: 2024-06-12.

Semiconductor wafer for semiconductor components and production method

Номер патента: US20090051013A1. Автор: Hans-Joachim Schulze. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2009-02-26.

Methods of manufacturing superconducting via through semiconductor wafer

Номер патента: WO2024150003A1. Автор: ZHONG Ren,Yi Shu. Владелец: Oxford Instruments Nanotechnology Tools Limited. Дата публикации: 2024-07-18.

Method for manufacturing bonded semiconductor wafer

Номер патента: EP4425531A1. Автор: Junya Ishizaki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-09-04.

Semiconductor wafer for semiconductor components and production method

Номер патента: US20110062558A1. Автор: Hans-Joachim Schulze. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2011-03-17.

Semiconductor wafer made of single-crystal silicon and process for the production thereof

Номер патента: WO2021259588A1. Автор: Andreas Sattler,Jürgen Vetterhöffer. Владелец: SILTRONIC AG. Дата публикации: 2021-12-30.

Method for manufacturing junction semiconductor wafer

Номер патента: EP4421887A1. Автор: Junya Ishizaki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-08-28.

Method for reducing nonuniformity of forward voltage of semiconductor wafer

Номер патента: US20160005622A1. Автор: Shinya Iwasaki. Владелец: Toyota Motor Corp. Дата публикации: 2016-01-07.

Manufacturing method of semiconductor wafer and semiconductor wafer manufactured by this method

Номер патента: US20070128836A1. Автор: Syouji Nogami. Владелец: Sumco Corp. Дата публикации: 2007-06-07.

Methods for forming shallow junctions in semiconductor wafers

Номер патента: EP1019952A1. Автор: Daniel F. Downey. Владелец: Varian Semiconductor Equipment Associates Inc. Дата публикации: 2000-07-19.

Laser scribe on front side of semiconductor wafer

Номер патента: US20040211750A1. Автор: Byron Palla. Владелец: Texas Instruments Inc. Дата публикации: 2004-10-28.

Method of manufacturing a semiconductor wafer device having separated conductive patterns in peripheral area

Номер патента: US20050285271A1. Автор: Kenichi Watanabe. Владелец: Fujitsu Ltd. Дата публикации: 2005-12-29.

Nitride semiconductor wafer production method and nitride semiconductor wafer

Номер патента: EP4411032A1. Автор: Kazunori Hagimoto. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-08-07.

Semiconductor Wafer With A Heteroepitaxial Layer And A Method For Producing The Wafer

Номер патента: US20090236695A1. Автор: Peter Storck,Martin Vorderwestner. Владелец: SILTRONIC AG. Дата публикации: 2009-09-24.

Treatment Liquid for Semiconductor Wafers, Which Contains Hypochlorite Ions

Номер патента: US20210062115A1. Автор: Takafumi Shimoda,Yuki Kikkawa,Takayuki Negishi,Seiji Tono. Владелец: Tokuyama Corp. Дата публикации: 2021-03-04.

Methods of manufacturing superconducting via through semiconductor wafer

Номер патента: GB2626184A. Автор: SHU YI,Ren Zhong. Владелец: OXFORD INSTRUMENTS NANOTECHNOLOGY TOOLS LTD. Дата публикации: 2024-07-17.

Semiconductor wafer and manufacturing method

Номер патента: US20160293712A1. Автор: Hans-Joachim Schulze,Helmut Oefner. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-10-06.

Roughening of a Metallization Layer on a Semiconductor Wafer

Номер патента: US20200402851A1. Автор: Carsten Von Koblinski,Tobias Polster. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2020-12-24.

Method for Producing Semiconductor Wafer

Номер патента: US20080003785A1. Автор: Kiyoshi Mitani,Isao Yokokawa,Nobuhiko Noto. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2008-01-03.

Gettering regions and methods of forming gettering regions within a semiconductor wafer

Номер патента: US20020022346A1. Автор: Fernando Gonzalez,Jeffrey Honeycutt. Владелец: Individual. Дата публикации: 2002-02-21.

Method of forming ohmic electrodes on semiconductor wafer

Номер патента: US5882995A. Автор: Hideyuki Tsuji,Toshiyuki Shinozaki. Владелец: Sharp Corp. Дата публикации: 1999-03-16.

Hydrophilization treatment liquid for semiconductor wafer surface

Номер патента: US12060498B2. Автор: Yuichi Sakanishi. Владелец: Daicel Corp. Дата публикации: 2024-08-13.

Metallization of semiconductor wafer

Номер патента: EP4256605A1. Автор: Lan Wang,Erwei Liu,Fangzhong Shen,Kai-Ulrich Boldt. Владелец: Heraeus Deutschland GmbH and Co KG. Дата публикации: 2023-10-11.

Semiconductor wafer and method of manufacturing semiconductor element

Номер патента: US20180102410A1. Автор: Tatsuya Ito,Hiroshi Shibata. Владелец: Toyota Motor Corp. Дата публикации: 2018-04-12.

Method of forming an alignment key on a semiconductor wafer

Номер патента: US20010009294A1. Автор: Jae-Hwan Kim,Dong-Hoon Chung. Владелец: Individual. Дата публикации: 2001-07-26.

Semiconductor Wafer, Semiconductor Chip and Method of Fabricating a Semiconductor Wafer

Номер патента: US20190318995A1. Автор: Oliver Blank. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2019-10-17.

Semiconductor wafer and semiconductor chip

Номер патента: US12046514B2. Автор: Mika Fujii. Владелец: Kioxia Corp. Дата публикации: 2024-07-23.

Method of producing a protective layer of sio2 on the surface of a semiconductor wafer

Номер патента: US3681132A. Автор: Erich Pammer,Peter Heidegger. Владелец: SIEMENS AG. Дата публикации: 1972-08-01.

Method of forming a freestanding semiconductor wafer

Номер патента: WO2013144709A2. Автор: Jean-Pierre Faurie,Bernard Beaumont. Владелец: SAINT-GOBAIN CRISTAUX ET DETECTEURS. Дата публикации: 2013-10-03.

Method of forming a freestanding semiconductor wafer

Номер патента: US20130288455A1. Автор: Jean-Pierre Faurie,Bernard Beaumont. Владелец: Individual. Дата публикации: 2013-10-31.

Method of forming a freestanding semiconductor wafer

Номер патента: EP2831906A2. Автор: Jean-Pierre Faurie,Bernard Beaumont. Владелец: Saint Gobain Cristaux and Detecteurs SAS. Дата публикации: 2015-02-04.

Semiconductor wafers and methods of fabricating semiconductor devices

Номер патента: US20090050885A1. Автор: Shin Kim,Young-Min Lee,Yun-rae Cho,Min-Keun Kwak. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-02-26.

Semiconductor Wafer Cleaning Solution and Cleaning Method Thereof

Номер патента: US20240209290A1. Автор: Chun-Li Chou,Hsuan-I Chou. Владелец: Star Tracking Material Co Ltd. Дата публикации: 2024-06-27.

Method for manufacturing the storage node of a capacitor on a semiconductor wafer

Номер патента: US6190990B1. Автор: Houng-Chi Wei. Владелец: Mosel Vitelic Inc. Дата публикации: 2001-02-20.

Process for improving the surface roughness of a semiconductor wafer

Номер патента: EP1697981A1. Автор: Christophe Maleville,Ludovic Ecarnot,Eric Neyret. Владелец: Soitec SA. Дата публикации: 2006-09-06.

Sealed cavity embedded in a semiconductor wafer

Номер патента: EP4258341A3. Автор: James G. Fiorenza,Yingqi Jiang. Владелец: Analog Devices Inc. Дата публикации: 2024-01-17.

Semiconductor wafer and method for processing a semiconductor wafer

Номер патента: US20240194743A1. Автор: Kristina Vogt,Bernhard Polzinger,Christian Foerster,Jens Buettner. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2024-06-13.

Adjustable brush cleaning apparatus for semiconductor wafers and associated methods

Номер патента: US8992692B2. Автор: John H. Zhang. Владелец: STMicroelectronics lnc USA. Дата публикации: 2015-03-31.

Semiconductor wafer with a high density of prime integrated circuit dies contained therein

Номер патента: US20240006251A1. Автор: Tran KONONOVA. Владелец: PSemi Corp. Дата публикации: 2024-01-04.

Semiconductor wafer with a high density of prime integrated circuit dies contained therein

Номер патента: WO2024006139A1. Автор: Tran KONONOVA. Владелец: pSemi Corporation. Дата публикации: 2024-01-04.

Method for depositing films on semiconductor wafers

Номер патента: US20160093487A1. Автор: Gijs Dingemans,Frank Huussen,Steven R.A. Van Aerde. Владелец: ASM IP Holding BV. Дата публикации: 2016-03-31.

Method for producing compound semiconductor wafer and compound semiconductor device

Номер патента: US7576352B2. Автор: Hisashi Yamada,Noboru Fukuhara. Владелец: Sumika Epi Solution Co Ltd. Дата публикации: 2009-08-18.

Modification of electrical properties for semiconductor wafers

Номер патента: US20070117404A1. Автор: Steven Shank,Michael Triplett,Casey Grant,Heidi Greer. Владелец: Individual. Дата публикации: 2007-05-24.

Semiconductor wafer having a bank on a scribe line

Номер патента: US20010035567A1. Автор: Moyuru Fujii. Владелец: NEC Corp. Дата публикации: 2001-11-01.

Method of producing semiconductor wafer

Номер патента: US20100009521A1. Автор: Takashi Nakayama,Takaaki Shiota,Wataru Itou. Владелец: Sumco Corp. Дата публикации: 2010-01-14.

Semiconductor wafer processing method

Номер патента: US7731567B2. Автор: Setsuo Yamamoto,Shinnosuke Sekiya,Naoya Sukegawa,Naruto Fuwa. Владелец: Disco Corp. Дата публикации: 2010-06-08.

Method of cleaning semiconductor wafers after lapping

Номер патента: US5976983A. Автор: Seiichi Miyazaki,Sumiyoshi Okada. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 1999-11-02.

Method for converting a reclaim wafer into a semiconductor wafer

Номер патента: US20010039101A1. Автор: Guido Wenski. Владелец: Wacker Siltronic AG. Дата публикации: 2001-11-08.

Method of making semiconductor wafers

Номер патента: US6043156A. Автор: Masahiko Maeda,Kenji Kawate,Fumitaka Kai. Владелец: Komatsu Electronic Metals Co Ltd. Дата публикации: 2000-03-28.

Semiconductor wafer with alignment marks and method for manufacturing semiconductor device

Номер патента: US4418467A. Автор: Hiroshi Iwai. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1983-12-06.

Precision breaking of semiconductor wafer into chips by applying an etch process

Номер патента: US6075280A. Автор: Hao-Chieh Yung,Gene Jing-Chiang Chang. Владелец: Winbond Electronics Corp. Дата публикации: 2000-06-13.

Optically detectable reference feature for processing a semiconductor wafer

Номер патента: US11721638B2. Автор: Oliver Blank. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2023-08-08.

Semiconductor wafer having epitaxial layer

Номер патента: US20210376088A1. Автор: Christian HÄGER,Norbert Werner. Владелец: SILTRONIC AG. Дата публикации: 2021-12-02.

Method for testing semiconductor wafers using temporary sacrificial bond pads

Номер патента: MY193922A. Автор: Howard H Roberts Jr. Владелец: Celerint LLC. Дата публикации: 2022-11-01.

Stress relief in semiconductor wafers

Номер патента: US11990425B2. Автор: Soo Doo Chae,Hojin Kim,Stephen Mancini. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-05-21.

Direct gas-phase doping of semiconductor wafers using an organic dopant source of phosphorus

Номер патента: US5641707A. Автор: Mehrdad M. Moslehi. Владелец: Texas Instruments Inc. Дата публикации: 1997-06-24.

Method for cleaning semiconductor wafer

Номер патента: US11862456B2. Автор: Kensaku Igarashi. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-01-02.

Semiconductor wafer

Номер патента: US20090294918A1. Автор: Takeo Katoh,Kazushige Takaishi. Владелец: Sumco Corp. Дата публикации: 2009-12-03.

Method of making a load resistor of a static random access memory on a semiconductor wafer

Номер патента: US6046080A. Автор: Yi-Tyng Wu. Владелец: United Microelectronics Corp. Дата публикации: 2000-04-04.

Method for treating a semiconductor wafer

Номер патента: WO2010150135A3. Автор: Kei Kinoshita. Владелец: LAM RESEARCH AG. Дата публикации: 2011-05-12.

Semiconductor wafer

Номер патента: US20070166146A1. Автор: Kazuma Sekiya. Владелец: Disco Corp. Дата публикации: 2007-07-19.

Process for the automatic adjustment of semiconductor wafers

Номер патента: US4090068A. Автор: Dietrich Widmann,Johann Binder. Владелец: SIEMENS AG. Дата публикации: 1978-05-16.

Method of diffusing an impurity into semiconductor wafers

Номер патента: US3948695A. Автор: Ichiro Takei,Noboru Ryugo,Keizo Inaniwa. Владелец: HITACHI LTD. Дата публикации: 1976-04-06.

Method of producing semiconductor wafer and semiconductor wafer

Номер патента: US20110281423A1. Автор: Tsuyoshi Nakano,Junya Hada. Владелец: Sumitomo Chemical Co Ltd. Дата публикации: 2011-11-17.

Method of purifying alkaline solution and method of etching semiconductor wafers

Номер патента: MY119496A. Автор: Hideo Kudo,Masami Nakano,Isao Uchiyama,Toshio Ajito. Владелец: Shinetsu Handotai Kk. Дата публикации: 2005-06-30.

Method for manufacturing semiconductor wafer

Номер патента: GB2489301A. Автор: Chao Zhao,Huicai Zhong,Qingqing Liang. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-09-26.

Semiconductor wafer and process for its production

Номер патента: SG146535A1. Автор: Thomas Schroeder,Peter Storck,Hans-Joachim Muessig. Владелец: SILTRONIC AG. Дата публикации: 2008-10-30.

Gettering method for semiconductor wafers

Номер патента: US4971920A. Автор: Ayako Maeda,Moriya Miyashita. Владелец: Toshiba Corp. Дата публикации: 1990-11-20.

Method for checking ion implantation state, and method for manufacturing semiconductor wafer

Номер патента: EP2565909A1. Автор: Isao Yokokawa. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2013-03-06.

Methods for processing semiconductor wafers having a polycrystalline finish

Номер патента: US20180323079A1. Автор: HUI Wang,Alexis Grabbe,Alex Chu. Владелец: GlobalWafers Co Ltd. Дата публикации: 2018-11-08.

Discontinuous thin semiconductor wafer surface features

Номер патента: EP2427905A1. Автор: Arvind Chandrasekaran. Владелец: Qualcomm Inc. Дата публикации: 2012-03-14.

Discontinuous Thin Semiconductor Wafer Surface Features

Номер патента: US20100283131A1. Автор: Arvind Chandrasekaran. Владелец: Qualcomm Inc. Дата публикации: 2010-11-11.

Discontinuous thin semiconductor wafer surface features

Номер патента: WO2010129908A1. Автор: Arvind Chandrasekaran. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2010-11-11.

Discontinuous thin semiconductor wafer surface features

Номер патента: US20130084686A1. Автор: Arvind Chandrasekaran. Владелец: Qualcomm Inc. Дата публикации: 2013-04-04.

Semiconductor wafer arrangement of a semiconductor wafer

Номер патента: US6707114B1. Автор: Charles E. May,Hemanshu Bhatt. Владелец: LSI Logic Corp. Дата публикации: 2004-03-16.

Singulating semiconductor wafers

Номер патента: US20230253251A1. Автор: Yang Liu,Joseph O. Liu,Qing Ran. Владелец: Texas Instruments Inc. Дата публикации: 2023-08-10.

Semiconductor wafer

Номер патента: US11011630B2. Автор: Takenori Osada,Taiki Yamamoto. Владелец: Sumitomo Chemical Co Ltd. Дата публикации: 2021-05-18.

Process for CVD deposition of tungsten layer on semiconductor wafer

Номер патента: US5028565A. Автор: Mei Chang,David Cheng,David N. Wang,Cissy Leung. Владелец: Applied Materials Inc. Дата публикации: 1991-07-02.

Process for forming tungsten silicide on semiconductor wafer using dichlorosilane gas

Номер патента: US5565382A. Автор: Mei Chang,Meng C. Tseng,Susan Telford. Владелец: Applied Materials Inc. Дата публикации: 1996-10-15.

Method for producing a semiconductor wafer having shallow and deep buried contacts

Номер патента: US5232874A. Автор: Charles H. Dennison,Howard E. Rhodes. Владелец: Micron Technology Inc. Дата публикации: 1993-08-03.

Method of processing semiconductor wafers to build in back surface damage

Номер патента: WO2000036637A1. Автор: Yun-Biao Xin. Владелец: MEMC ELECTRONIC MATERIALS, INC.. Дата публикации: 2000-06-22.

Method of dividing a semiconductor wafer

Номер патента: US3673016A. Автор: Dieter Gerstner. Владелец: Telefunken Patentverwertungs GmbH. Дата публикации: 1972-06-27.

Methods for coating the backside of semiconductor wafers

Номер патента: EP2304781A1. Автор: Hoseung Yoo. Владелец: Henkel Corp. Дата публикации: 2011-04-06.

Process for the backside-gettering surface treatment of semiconductor wafers

Номер патента: US4587771A. Автор: Franz Kuhn-Kuhnenfeld,Alfred Buchner,Walter Auer. Владелец: Wacker Siltronic AG. Дата публикации: 1986-05-13.

Method for cleaning the surface of a semiconductor wafer

Номер патента: US6303482B1. Автор: Chan-Lon Yang,Chih-Ning Wu. Владелец: United Microelectronics Corp. Дата публикации: 2001-10-16.

Semiconductor wafer and method for cutting the same

Номер патента: US7863711B2. Автор: Ki Young Um. Владелец: LG ELECTRONICS INC. Дата публикации: 2011-01-04.

Adaptively plasma source and method of processing semiconductor wafer using the same

Номер патента: EP1800333A1. Автор: Nam-Hun Kim. Владелец: Adaptive Plasma Technology Corp. Дата публикации: 2007-06-27.

Semiconductor wafer, semiconductor chip and method of manufacturing semiconductor chip

Номер патента: US20080258144A1. Автор: Hiroshi Yamamoto. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2008-10-23.

Semiconductor wafer having bevel portion

Номер патента: US10553677B2. Автор: Yeon-Sook Kim,Doek-gil Ko,In-ji LEE,Woo-seung JUNG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-02-04.

Semiconductor wafer, semiconductor chip and method of manufacturing semiconductor chip

Номер патента: US7755084B2. Автор: Hiroshi Yamamoto. Владелец: Oki Semiconductor Co Ltd. Дата публикации: 2010-07-13.

Semiconductor wafer having bevel portion

Номер патента: US20190198613A1. Автор: Yeon-Sook Kim,Doek-gil Ko,In-ji LEE,Woo-seung JUNG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-06-27.

Method to produce a semiconductor wafer for versatile products

Номер патента: US20170133271A1. Автор: Eran Rotem,Itay Peled,Rami Zemach. Владелец: Marvell Israel MISL Ltd. Дата публикации: 2017-05-11.

Method and apparatus for depositing a layer on a semiconductor wafer by vapor deposition in a process chamber

Номер патента: MY166009A. Автор: Brenninger Georg. Владелец: SILTRONIC AG. Дата публикации: 2018-05-21.

Method for producing nitride semiconductor wafer

Номер патента: EP4321658A1. Автор: Junya Ishizaki,Kazunori Hagimoto,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-02-14.

Manufacturing method of semiconductor device, semiconductor wafer, and semiconductor device

Номер патента: US20160268217A1. Автор: Kenji Konomi. Владелец: Toshiba Corp. Дата публикации: 2016-09-15.

Method for producing nitride semiconductor wafer

Номер патента: US20240162041A1. Автор: Junya Ishizaki,Kazunori Hagimoto,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-05-16.

Method for Manufacturing Semiconductor Wafer

Номер патента: US20090047526A1. Автор: Koji Matsumoto,Masanobu Miyao,Masahiko Nakamae,Masaharu Ninomiya,Taizoh Sadoh. Владелец: Kyushu University NUC. Дата публикации: 2009-02-19.

Method of passivating semiconductor wafers

Номер патента: US6025262A. Автор: Trung Tri Doan,Mark E. Tuttle. Владелец: Micron Technology Inc. Дата публикации: 2000-02-15.

Semiconductor wafer fabrication

Номер патента: CA1222575A. Автор: Steven J. Wright,Christopher J. Heslop,Robert E. Hines. Владелец: British Telecommunications plc. Дата публикации: 1987-06-02.

Method of porosifying part of a semiconductor wafer

Номер патента: US11810779B2. Автор: Bernhard Goller,Ingo Muri,Iris Moder,Sophia Friedler. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2023-11-07.

A semiconductor wafer

Номер патента: EP4336548A1. Автор: Pierre Muller,Alexis Durand,Christophe Entringer,Zeng Wang,Yves Dupraz,Arthur Hugh MACDOUGALL. Владелец: EM Microelectronic Marin SA. Дата публикации: 2024-03-13.

Semiconductor wafer with high thermal conductivity

Номер патента: EP1994562A1. Автор: Michael R. Seacrist. Владелец: SunEdison Inc. Дата публикации: 2008-11-26.

Partially removing a semiconductor wafer

Номер патента: EP3667706A2. Автор: Bernhard Goller,Ingo Muri,Iris Moder,Sophia Friedler. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2020-06-17.

Method of porosifying part of a semiconductor wafer

Номер патента: US20220310380A1. Автор: Bernhard Goller,Ingo Muri,Iris Moder,Sophia Friedler. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2022-09-29.

Semiconductor wafer

Номер патента: US20240088066A1. Автор: Pierre Muller,Alexis Durand,Christophe Entringer,Zeng Wang,Yves Dupraz,Arthur Hugh MACDOUGALL. Владелец: EM Microelectronic Marin SA. Дата публикации: 2024-03-14.

Metallization of semiconductor wafer

Номер патента: US20240030039A1. Автор: Lan Wang,Erwei Liu,Fangzhong Shen,Kai-Ulrich Boldt. Владелец: Heraeus Deutschland GmbH and Co KG. Дата публикации: 2024-01-25.

Semiconductor wafer made of single-crystal silicon and process for the production thereof

Номер патента: US20230235479A1. Автор: Andreas Sattler,Juergen Vetterhoeffer. Владелец: SILTRONIC AG. Дата публикации: 2023-07-27.

Semiconductor wafer and manufacturing method therefor

Номер патента: US5225235A. Автор: Tetsujiro Yoshiharu,Haruo Kamise. Владелец: Kyushu Electronic Metal Co Ltd. Дата публикации: 1993-07-06.

Method of processing a semiconductor wafer using a contact etch stop

Номер патента: US5298463A. Автор: David A. Cathey,Gurtej S. Sandhu. Владелец: Micron Technology Inc. Дата публикации: 1994-03-29.

Method for partially removing a semiconductor wafer

Номер патента: US11404262B2. Автор: Bernhard Goller,Ingo Muri,Iris Moder,Sophia Friedler. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2022-08-02.

Semiconductor wafer and semiconductor chip

Номер патента: US20230290685A1. Автор: Mika Fujii. Владелец: Kioxia Corp. Дата публикации: 2023-09-14.

Semiconductor wafer and semiconductor chip

Номер патента: US11694928B2. Автор: Mika Fujii. Владелец: Kioxia Corp. Дата публикации: 2023-07-04.

Semiconductor wafer

Номер патента: EP3751023A1. Автор: Taiki Yamamoto,Keitaro Ikejiri. Владелец: Sumitomo Chemical Co Ltd. Дата публикации: 2020-12-16.

Semiconductor wafer

Номер патента: US20210242017A1. Автор: Taiki Yamamoto,Keitaro Ikejiri. Владелец: Sumitomo Chemical Co Ltd. Дата публикации: 2021-08-05.

Method for Partially Removing a Semiconductor Wafer

Номер патента: US20200168449A1. Автор: Bernhard Goller,Ingo Muri,Iris Moder,Sophia Friedler. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2020-05-28.

Semiconductor wafer and manufacturing method for semiconductor chip

Номер патента: EP3989265A1. Автор: Takayuki Iwasa. Владелец: JVCKenwood Corp. Дата публикации: 2022-04-27.

Semiconductor wafer and method of manufacturing semiconductor chip

Номер патента: US20220108958A1. Автор: Takayuki Iwasa. Владелец: JVCKenwood Corp. Дата публикации: 2022-04-07.

Chemical vapor deposition technique for depositing titanium silicide on semiconductor wafers

Номер патента: US5278100A. Автор: Trung T. Doan,Gurtej S. Sandhu. Владелец: Micron Technology Inc. Дата публикации: 1994-01-11.

Method for fabricating via holes in a semiconductor wafer

Номер патента: US4348253A. Автор: Saligrama N. Subbarao,Ho-Chung Huang. Владелец: RCA Corp. Дата публикации: 1982-09-07.

Method for reducing corrosion in openings on a semiconductor wafer

Номер патента: US5650356A. Автор: Thomas S. Roche,Gordon M. Grivna,Gregory W. Grynkewich. Владелец: Motorola Inc. Дата публикации: 1997-07-22.

Method of evaluating and thermally processing semiconductor wafer

Номер патента: US5985678A. Автор: Makoto Kiyama. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 1999-11-16.

Diffusion of dopant into a semiconductor wafer

Номер патента: US4588454A. Автор: Wadie N. Khadder,Jia-Tarng Wang. Владелец: Linear Technology LLC. Дата публикации: 1986-05-13.

Semiconductor wafer and manufacturing method thereof

Номер патента: US7224031B2. Автор: Hiroaki Uchida. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2007-05-29.

Method of reducing the formation of watermarks on semiconductor wafers

Номер патента: US6074935A. Автор: Ravikumar Ramachandran. Владелец: SIEMENS AG. Дата публикации: 2000-06-13.

Method of planarizing a surface of a semiconductor wafer

Номер патента: US20040018733A1. Автор: Baek Hak. Владелец: 1st Silicon (Malaysia) Sdn Bhd. Дата публикации: 2004-01-29.

Sealed cavity embedded in a semiconductor wafer

Номер патента: US20230299172A1. Автор: James G. Fiorenza,Yingqi Jiang. Владелец: Analog Devices Inc. Дата публикации: 2023-09-21.

Semiconductor wafer comprising a monocrystalline group-iiia nitride layer

Номер патента: EP3251147A1. Автор: Thomas Schröder,Sarad Bahadur Thapa,Maik Häberlen,Marvin Zöllner. Владелец: SILTRONIC AG. Дата публикации: 2017-12-06.

Reducing reflectivity on a semiconductor wafer by annealing titanium and aluminum

Номер патента: US20020045341A1. Автор: Allen Mcteer. Владелец: Micron Technology Inc. Дата публикации: 2002-04-18.

Semiconductor wafer and method of concurrently testing circuits formed thereon

Номер патента: US20150287656A1. Автор: Dewey Killingsworth. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2015-10-08.

Sealed cavity embedded in a semiconductor wafer

Номер патента: EP4258341A2. Автор: James G. Fiorenza,Yingqi Jiang. Владелец: Analog Devices Inc. Дата публикации: 2023-10-11.

Semiconductor wafer manufacturing apparatus

Номер патента: US20240018687A1. Автор: Shigeyuki Takagi,Hirotaka Mori,Hiroaki FUJIBAYASHI,Takayuki SATOMURA. Владелец: Mirise Technologies Corp. Дата публикации: 2024-01-18.

Fabrication method of semiconductor wafer

Номер патента: US20040185665A1. Автор: Daisuke Kishimoto,Katsunori Ueno,Ryosuke Shimizu,Susumu Iwamoto,Satoshi Oka. Владелец: Fuji Electric Holdings Ltd. Дата публикации: 2004-09-23.

Method for manufacturing compound semiconductor wafer and compound semiconductor device

Номер патента: US20060001044A1. Автор: Hisashi Yamada,Noboru Fukuhara. Владелец: Sumika Epi Solution Co Ltd. Дата публикации: 2006-01-05.

Method for manufacturing compound semiconductor wafer and compound semiconductor device

Номер патента: EP1542288A4. Автор: Hisashi Yamada,Noboru Fukuhara. Владелец: Sumika Epi Solution Co Ltd. Дата публикации: 2008-05-21.

Method for manufacturing compound semiconductor wafer and compound semiconductor device

Номер патента: US7208387B2. Автор: Hisashi Yamada,Noboru Fukuhara. Владелец: Sumika Epi Solution Co Ltd. Дата публикации: 2007-04-24.

Notched compound semiconductor wafer

Номер патента: US20060113558A1. Автор: Ryuichi Toba,Naoya Sunachi. Владелец: Dowa Mining Co Ltd. Дата публикации: 2006-06-01.

Semiconductor Wafer

Номер патента: US20180374921A1. Автор: Simone Lavanga,Albert Birner,Helmut Brech. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-12-27.

Semiconductor wafer and method of manufacturing the same

Номер патента: US20210296277A1. Автор: Jun Iijima,Hiroshi Nakaki. Владелец: Kioxia Corp. Дата публикации: 2021-09-23.

Method of manufacturing a mixed crystal semiconductor wafer

Номер патента: GB2069234B. Автор: . Владелец: Mitsubishi Monsanto Chemical Co. Дата публикации: 1984-02-29.

Semiconductor wafer, semiconductor device, and gas concentration measuring device

Номер патента: US12034101B2. Автор: Kengo SASAYAMA. Владелец: Asahi Kasei Microdevices Corp. Дата публикации: 2024-07-09.

Method for classifying semiconductor wafers

Номер патента: EP4182967A1. Автор: Cyrus Emil TABERY,Dimitra GKOROU,Vahid BASTANI,Reza SAHRAEIAN. Владелец: ASML Netherlands BV. Дата публикации: 2023-05-24.

Method for classifying semiconductor wafers

Номер патента: US20230316103A1. Автор: Cyrus Emil TABERY,Dimitra GKOROU,Vahid BASTANI,Reza SAHRAEIAN. Владелец: ASML Netherlands BV. Дата публикации: 2023-10-05.

Semiconductor Wafer Composed Of Monocrystalline Silicon And Method For Producing It

Номер патента: US20160053405A1. Автор: Timo Mueller,Gudrun Kissinger,Andreas Sattler,Dawid Kot. Владелец: SILTRONIC AG. Дата публикации: 2016-02-25.

Method for evaluating semiconductor wafer

Номер патента: US20100022038A1. Автор: Kazuhiko Yoshida,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2010-01-28.

Secure semiconductor wafer inspection utilizing film thickness

Номер патента: US20220228995A1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2022-07-21.

Secure semiconductor wafer inspection utilizing film thickness

Номер патента: US20210148834A1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2021-05-20.

Method for manufacturing semiconductor wafer

Номер патента: SG139533A1. Автор: Kazuma Sekiya,Kazuhisa Arai. Владелец: Disco Corp. Дата публикации: 2008-02-29.

Process for producing semiconductor wafers

Номер патента: US20210111080A1. Автор: Michael Boy,Christina KRUEGLER. Владелец: SILTRONIC AG. Дата публикации: 2021-04-15.

Process for producing semiconductor wafers

Номер патента: US11972986B2. Автор: Michael Boy,Christina KRUEGLER. Владелец: SILTRONIC AG. Дата публикации: 2024-04-30.

Semiconductor wafer and method of specifying crystallographic axis orientation thereof

Номер патента: US20010020750A1. Автор: Akira Mori,Teiichirou Chiba. Владелец: KOMATSU LTD. Дата публикации: 2001-09-13.

A pressure regulated semiconductor wafer cooling apparatus and method and a pressure regulating apparatus

Номер патента: WO2021161181A1. Автор: Kaustubh Kale. Владелец: Edwards Vacuum LLC. Дата публикации: 2021-08-19.

Semiconductor Wafer

Номер патента: US20230228807A1. Автор: Yasuhiko Nakanishi,Shigeru Kanazawa,Masahiro Nada,Shoko Tatsumi. Владелец: Nippon Telegraph and Telephone Corp. Дата публикации: 2023-07-20.

Semiconductor wafer configured for single touch-down testing

Номер патента: US20240128134A1. Автор: Hiroyuki Ogawa,Takashi Murai,Toru Miwa. Владелец: SanDisk Technologies LLC. Дата публикации: 2024-04-18.

Guided inspection of a semiconductor wafer based on spatial density analysis

Номер патента: US20190293569A1. Автор: Yotam SOFER,Ariel HIRSZHORN. Владелец: Applied Materials Israel Ltd. Дата публикации: 2019-09-26.

Semiconductor wafer configured for single touch-down testing

Номер патента: US20240125846A1. Автор: Hiroyuki Ogawa,Takashi Murai,Toru Miwa,Nisha Padattil Kuliyampattil. Владелец: SanDisk Technologies LLC. Дата публикации: 2024-04-18.

Semiconductor wafer configured for single touch-down testing

Номер патента: US20240128132A1. Автор: Hiroyuki Ogawa,Takashi Murai,Toru Miwa,Nisha Padattil Kuliyampattil. Владелец: SanDisk Technologies LLC. Дата публикации: 2024-04-18.

Inspection system of semiconductor wafer and method of driving the same

Номер патента: US11754510B2. Автор: Doyoung Yoon,Jeongho Ahn,Dongryul Lee,Dongchul Ihm,Chungsam Jun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-09-12.

Semiconductor wafer boat for a vertical furnace

Номер патента: WO2006081104A3. Автор: Puneet Gupta,Larry Wayne Shive,Brian Lawrence Gilmore. Владелец: Brian Lawrence Gilmore. Дата публикации: 2006-09-14.

Inspection system of semiconductor wafer and method of driving the same

Номер патента: US12130242B2. Автор: Doyoung Yoon,Jeongho Ahn,Dongryul Lee,Dongchul Ihm,Chungsam Jun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-10-29.

A semiconductor wafer temperature control apparatus

Номер патента: GB2592189A. Автор: Kale Kaustubh. Владелец: Edwards Vacuum LLC. Дата публикации: 2021-08-25.

Semiconductor wafer fabrication

Номер патента: WO2023022653A2. Автор: Feng Zhao,Qing Wang,Guoyang Xu,Baiming Guo,Jichi MA,Wei Ting Chen,Alexander MIGLO. Владелец: ams Sensors Asia Pte. Ltd.. Дата публикации: 2023-02-23.

Semiconductor wafer with probe pads located in saw street

Номер патента: US20230282529A1. Автор: Guillaume Alexandre Blin,Engin Ibrahim Pehlivanoglu. Владелец: Skyworks Solutions Inc. Дата публикации: 2023-09-07.

Semiconductor wafer and multi-chip parallel testing method

Номер патента: US12066486B2. Автор: Chih-Chiang LAI. Владелец: Winbond Electronics Corp. Дата публикации: 2024-08-20.

Method of characterizing group III-V epitaxial semiconductor wafers incorporating an etch stop layer

Номер патента: US5639343A. Автор: Daniel Mark Dobkin. Владелец: Watkins Johnson Co. Дата публикации: 1997-06-17.

Semiconductor wafer and a method for manufacturing a semiconductor wafer

Номер патента: US20050167857A1. Автор: Soichi Nadahara,Masao Iwase. Владелец: Toshiba Corp. Дата публикации: 2005-08-04.

Method and system for diagnosing a semiconductor wafer

Номер патента: US12062166B2. Автор: Yen-Liang Chen,Jun-Xiu Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-13.

Semiconductor wafer and method of concurrently testing circuits formed thereon

Номер патента: US09997423B2. Автор: Dewey Killingsworth. Владелец: NXP USA Inc. Дата публикации: 2018-06-12.

A semiconductor wafer temperature control apparatus

Номер патента: EP4103898A1. Автор: Kaustubh Kale. Владелец: Edwards Vacuum LLC. Дата публикации: 2022-12-21.

A semiconductor wafer temperature control apparatus

Номер патента: US20230056446A1. Автор: Kaustubh Kale. Владелец: Edwards Vacuum LLC. Дата публикации: 2023-02-23.

A semiconductor wafer temperature control apparatus

Номер патента: WO2021161183A1. Автор: Kaustubh Kale. Владелец: Edwards Vacuum LLC. Дата публикации: 2021-08-19.

Fixture for burn-in testing of semiconductor wafers

Номер патента: US5461328A. Автор: Brian Higgins,Kevin M. Devereaux,Mark Bunn. Владелец: Micron Technology Inc. Дата публикации: 1995-10-24.

Method of evaluating semiconductor wafer

Номер патента: US20230194438A1. Автор: Keiichiro Mori,Motoi Kurokami. Владелец: Sumco Corp. Дата публикации: 2023-06-22.

Semiconductor wafer evaluation method and semiconductor wafer manufacturing method

Номер патента: US11955390B2. Автор: Hirotaka Kato,Yasuyuki Hashimoto,Takahiro Nagasawa. Владелец: Sumco Corp. Дата публикации: 2024-04-09.

Semiconductor wafer examination system

Номер патента: US7035447B2. Автор: Kunihiko Take. Владелец: Sony Corp. Дата публикации: 2006-04-25.

Semiconductor wafer, semiconductor device, and gas concentration measuring device

Номер патента: US20210288225A1. Автор: Kengo SASAYAMA. Владелец: Asahi Kasei Microdevices Corp. Дата публикации: 2021-09-16.

Method for structuring an insulating layer on a semiconductor wafer

Номер патента: US11830962B2. Автор: Alexander Frey,Benjamin HAGEDORN. Владелец: AZUR SPACE SOLAR POWER GMBH. Дата публикации: 2023-11-28.

Method for structuring an insulating layer on a semiconductor wafer

Номер патента: US20240079515A1. Автор: Alexander Frey,Benjamin HAGEDORN. Владелец: AZUR SPACE SOLAR POWER GMBH. Дата публикации: 2024-03-07.

Semiconductor wafer

Номер патента: US5147824A. Автор: Takao Yasue. Владелец: Mitsubishi Electric Corp. Дата публикации: 1992-09-15.

Back side to front side alignment on a semiconductor wafer with special structures

Номер патента: EP4261884A3. Автор: Dirk Offenberg. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2024-02-14.

Back side to front side alignment on a semiconductor wafer with special structures

Номер патента: EP4261884A2. Автор: Dirk Offenberg. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2023-10-18.

Back Side to Front Side Alignment on a Semiconductor Wafer with Special Structures

Номер патента: US20230296994A1. Автор: Dirk Offenberg. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2023-09-21.

Method and apparatus for the treatment of a semiconductor wafer

Номер патента: SG137777A1. Автор: Brian Murphy,Reinhold Wahlich,Diego Feijoo. Владелец: SILTRONIC AG. Дата публикации: 2007-12-28.

Technique for inspecting semiconductor wafers

Номер патента: US20180336671A1. Автор: Ishai Schwarzband,Yan AVNIEL,Sergey Khristo. Владелец: Applied Materials Israel Ltd. Дата публикации: 2018-11-22.

Semiconductor wafer with process control modules

Номер патента: US20020117735A1. Автор: Paul Hubmer,Heimo Scheucher,Joachim Schober. Владелец: US Philips Corp. Дата публикации: 2002-08-29.

Semiconductor wafer with process control modules

Номер патента: US6680523B2. Автор: Paul Hubmer,Heimo Scheucher,Joachim H. Schober. Владелец: Individual. Дата публикации: 2004-01-20.

Pressure sensitive adhesive sheet for semiconductor wafer processing

Номер патента: US20030008139A1. Автор: Kazuyoshi Ebe,Koichi Nagamoto. Владелец: Lintec Corp. Дата публикации: 2003-01-09.

Semiconductor wafer, semiconductor circuit, substrate for testing and test system

Номер патента: US20110148454A1. Автор: Daisuke Watanabe,Toshiyuki Okayasu. Владелец: Advantest Corp. Дата публикации: 2011-06-23.

System and methods for a radiant heat cap in a semiconductor wafer reactor

Номер патента: WO2022147235A1. Автор: Chieh Hu,Chun-Chin Tu,Lunghsing Hsu. Владелец: GlobalWafers Co., Ltd.. Дата публикации: 2022-07-07.

System and methods for a radiant heat cap in a semiconductor wafer reactor

Номер патента: EP4271865A1. Автор: Chieh Hu,Chun-Chin Tu,Lunghsing Hsu. Владелец: GlobalWafers Co Ltd. Дата публикации: 2023-11-08.

Semiconductor wafer examination method and semiconductor chip manufacturing method

Номер патента: US20070259458A1. Автор: Hideki Yuzawa,Kazuhiro Kijima. Владелец: Seiko Epson Corp. Дата публикации: 2007-11-08.

Structure for reducing compound semiconductor wafer distortion

Номер патента: US10158212B1. Автор: Chang-Hwang Hua,Wen Chu. Владелец: WIN Semiconductors Corp. Дата публикации: 2018-12-18.

Structure for reducing compound semiconductor wafer distortion

Номер патента: US20180366913A1. Автор: Chang-Hwang Hua,Wen Chu. Владелец: WIN Semiconductors Corp. Дата публикации: 2018-12-20.

Thin adhesive sheet for working semiconductor wafers

Номер патента: US6010782A. Автор: Eiji Shigemura,Yoshinari Satoda,Gosei Uemura. Владелец: Nitto Electric Industrial Co Ltd. Дата публикации: 2000-01-04.

Clamped semiconductor wafers and semiconductor devices

Номер патента: US20230326887A1. Автор: Jayavel Pachamuthu,Daniel Linnen,Kirubakaran Periyannan. Владелец: Western Digital Technologies Inc. Дата публикации: 2023-10-12.

Semiconductor wafer and semiconductor device having multilayered nitride semiconductor layer

Номер патента: US8569796B2. Автор: Tetsuji Matsuo. Владелец: Sanken Electric Co Ltd. Дата публикации: 2013-10-29.

Clamped semiconductor wafers and semiconductor devices

Номер патента: WO2023200699A1. Автор: Jayavel Pachamuthu,Daniel Linnen,Kirubakaran Periyannan. Владелец: WESTERN DIGITAL TECHNOLOGIES, INC.. Дата публикации: 2023-10-19.

Bifacial semiconductor wafer

Номер патента: US20240063092A1. Автор: Cong Zhang,Hope Chiu,Sara Shi. Владелец: Western Digital Technologies Inc. Дата публикации: 2024-02-22.

Bifacial semiconductor wafer

Номер патента: WO2024039432A1. Автор: Cong Zhang,Hope Chiu,Sara Shi. Владелец: WESTERN DIGITAL TECHNOLOGIES, INC.. Дата публикации: 2024-02-22.

A pressure regulated semiconductor wafer cooling apparatus and method and a pressure regulating apparatus

Номер патента: EP4104206A1. Автор: Kaustubh Kale. Владелец: Edwards Vacuum LLC. Дата публикации: 2022-12-21.

Semiconductor wafer and semiconductor device having multilayered nitride semiconductor layer

Номер патента: US20120181577A1. Автор: Tetsuji Matsuo. Владелец: Sanken Electric Co Ltd. Дата публикации: 2012-07-19.

Label for semiconductor wafer

Номер патента: US5800906A. Автор: Jin-Pyo Lee,Jae-Sung Lee,Nam-Cheol Kim,Seong-won Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1998-09-01.

Guided inspection of a semiconductor wafer based on spatial density analysis

Номер патента: US11060981B2. Автор: Yotam SOFER,Ariel HIRSZHORN. Владелец: Applied Materials Israel Ltd. Дата публикации: 2021-07-13.

Method of measuring electrical characteristics of semiconductor wafer

Номер патента: US20120032699A1. Автор: Masahiko Hata,Noboru Fukuhara. Владелец: Sumitomo Chemical Co Ltd. Дата публикации: 2012-02-09.

Method for detecting position of defect on semiconductor wafer

Номер патента: US20090009755A1. Автор: Satoshi Ikeda,Masayuki Yamamoto. Владелец: Nitto Denko Corp. Дата публикации: 2009-01-08.

Method for determining suitability of a resist in semiconductor wafer fabrication

Номер патента: US20090011524A1. Автор: Thomas Wallow,Bruno M. LaFontaine. Владелец: Advanced Micro Devices Inc. Дата публикации: 2009-01-08.

Semiconductor wafer and method for producing same

Номер патента: US20200350269A1. Автор: Katsuyuki Tsuchida,Takuto Watanabe. Владелец: JX Nippon Mining and Metals Corp. Дата публикации: 2020-11-05.

Semiconductor wafer and method for producing same

Номер патента: EP3730671A1. Автор: Katsuyuki Tsuchida,Takuto Watanabe. Владелец: JX Nippon Mining and Metals Corp. Дата публикации: 2020-10-28.

Apparatus and method for depositing charge on a semiconductor wafer

Номер патента: US5594247A. Автор: Thomas G. Miller,Roger L. Verkuil,Gregory S. Horner. Владелец: Keithley Instruments LLC. Дата публикации: 1997-01-14.

Apparatus for aligning a semiconductor wafer with an inspection contactor

Номер патента: US5999268A. Автор: Takashi Sato,Kunio Sano,Toshihiro Yonezawa. Владелец: Tokyo Electron Ltd. Дата публикации: 1999-12-07.

Method of evaluating a semiconductor wafer

Номер патента: US5897327A. Автор: Yasuhiro Shimada,Hisami Motoura,Eiichi Asano. Владелец: Komatsu Electronic Metals Co Ltd. Дата публикации: 1999-04-27.

Optical inspection equipment for semiconductor wafers with precleaning

Номер патента: WO2000019518A1. Автор: Allan Rosencwaig,Lanhua Wei. Владелец: Therma-Wave, Inc.. Дата публикации: 2000-04-06.

Stress-Reduced Silicon Photonics Semiconductor Wafer

Номер патента: US20230369242A1. Автор: Edward Preisler,William Krieger,Oleg Martynov. Владелец: Newport Fab dba Tower Semiconductor Newport Beach LLC. Дата публикации: 2023-11-16.

Semiconductor wafer and manufacturing process thereof

Номер патента: US20050282361A1. Автор: Wei-Jung Chen,Yung-Ching Chang,Cheng-Yu Fang,Chien-Peng Yu,Jaw-Shin Huang. Владелец: Advanced Analog Technology Inc. Дата публикации: 2005-12-22.

Semiconductor wafer

Номер патента: US9589902B2. Автор: Hiroshi Morita,Masaki Suzuki,Yasunobu Matsumoto,Makoto ASOU. Владелец: Ablic Inc. Дата публикации: 2017-03-07.

Method for cleaning semiconductor wafers to improve dice to substrate solderability

Номер патента: US5833758A. Автор: Jack H. Linn,Mark A. Kwoka. Владелец: HARRIS CORP. Дата публикации: 1998-11-10.

Obverse/reverse discriminative rectangular nitride semiconductor wafer

Номер патента: US20040188804A1. Автор: Tetsuya Hirano,Masahiro Nakayama. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2004-09-30.

Semiconductor wafer and multi-chip parallel testing method

Номер патента: US20220357392A1. Автор: Chih-Chiang LAI. Владелец: Winbond Electronics Corp. Дата публикации: 2022-11-10.

Manufacturing method of using hydrogen plasma processing on a semiconductor wafer

Номер патента: US9559141B2. Автор: Kotaro Horikoshi,Tatsunori Murata. Владелец: Renesas Electronics Corp. Дата публикации: 2017-01-31.

Photo sensor in a photo diode on a semiconductor wafer

Номер патента: US6566722B1. Автор: Anchor Chen,Liang-Hua Lin. Владелец: United Microelectronics Corp. Дата публикации: 2003-05-20.

Semiconductor wafer, method for manufacturing light receiving sensor, and light receiving sensor

Номер патента: US20150279887A1. Автор: Atsushi Matsuo,Akira Uematsu. Владелец: Seiko Epson Corp. Дата публикации: 2015-10-01.

Semiconductor wafer, method for manufacturing light receiving sensor, and light receiving sensor

Номер патента: US9691803B2. Автор: Atsushi Matsuo,Akira Uematsu. Владелец: Seiko Epson Corp. Дата публикации: 2017-06-27.

Semiconductor wafer

Номер патента: US5477062A. Автор: Kiyoshi Natsume. Владелец: Yamaha Corp. Дата публикации: 1995-12-19.

Semiconductor wafer

Номер патента: US20090001521A1. Автор: Kiyotaka Yonekawa. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2009-01-01.

Apparatus for manufacturing semiconductor wafers

Номер патента: US5221356A. Автор: Jürgen Becker,Glen C. Hillier. Владелец: Northern Telecom Ltd. Дата публикации: 1993-06-22.

Semiconductor wafer fault analysis system and operation method thereof

Номер патента: US11741596B2. Автор: Min-Chul Park,Changwook Jeong,Jisu Ryu,Ami MA. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-08-29.

Method of information recording on a semiconductor wafer

Номер патента: US4672578A. Автор: Masaru Miyazaki,Kunihiro Yagi,Chusuke Munakata,Shiyouzou Yoneda. Владелец: HITACHI LTD. Дата публикации: 1987-06-09.

Ring assembly and semiconductor wafer etching device

Номер патента: US20240234103A1. Автор: Hyunchul Jung,Dongjoon Oh,Unbyoung Kang,Jumyong Park,Hyunsu Hwang,Sanghoo Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-11.

Semiconductor wafer defect inspection method and apparatus

Номер патента: US20080073523A1. Автор: Naohiro Takahashi,Tamihide Yasumoto. Владелец: Fujitsu Ltd. Дата публикации: 2008-03-27.

Method of semiconductor wafer testing

Номер патента: US6013537A. Автор: Daniel M. Kuchta. Владелец: International Business Machines Corp. Дата публикации: 2000-01-11.

Method and apparatus for processing a semiconductor wafer

Номер патента: US4915807A. Автор: Jeffrey A. McKee. Владелец: Texas Instruments Inc. Дата публикации: 1990-04-10.

Apparatus and method for sequentially polishing and loading/unloading semiconductor wafers

Номер патента: WO2002085571A1. Автор: In-kwon Jeong. Владелец: Oriol, Inc.. Дата публикации: 2002-10-31.

Apparatus and method for sequentially polishing and loading/unloading semiconductor wafers

Номер патента: US20080038992A1. Автор: In Jeong. Владелец: Oriol Inc. Дата публикации: 2008-02-14.

Semiconductor wafer polishing apparatus, and method of polishing semiconductor wafer

Номер патента: US20070128990A1. Автор: Akira Kubo. Владелец: NEC Electronics Corp. Дата публикации: 2007-06-07.

Polishing method for semiconductor wafer and polishing pad used therein

Номер патента: MY122396A. Автор: Hisashi Masumura,Kiyoshi Suzuki,Teruaki Fukami. Владелец: Shinetsu Handotai Kk. Дата публикации: 2006-04-29.

Polishing method for semiconductor wafer and polishing pad used therein

Номер патента: GB9902373D0. Автор: . Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 1999-03-24.

Wafer carrier for semiconductor wafer polishing machine

Номер патента: MY116260A. Автор: Natalicio John. Владелец: Speedfam IPEC Corp. Дата публикации: 2003-12-31.

Semiconductor wafer sawing system and method

Номер патента: WO2008079792A1. Автор: Patricio Vergara Ancheta, Jr.,Heintje Sardonas Vilaga,Ella Chan Sarmiento. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2008-07-03.

Semiconductor Wafer Sawing System and Method

Номер патента: US20080153260A1. Автор: Heintje Sardonas Vilaga,Ella Chan Sarmiento,Patricio Vergara Ancheta. Владелец: Texas Instruments Inc. Дата публикации: 2008-06-26.

Semiconductor Wafer Sawing System and Method

Номер патента: US20090263954A1. Автор: Heintje Sardonas Vilaga,Ella Chan Sarmiento,Patricio Vergara Ancheta. Владелец: Texas Instruments Inc. Дата публикации: 2009-10-22.

Method of manufacturing semiconductor wafers

Номер патента: US20230073379A1. Автор: Bahman Soltani,Tomoki Kawazu,Yutaro Isshiki,Sodai NOMURA,Nobuyuki NUNOME,Shiro OKITA,Riku ONISHI. Владелец: Denso Corp. Дата публикации: 2023-03-09.

Method of manufacturing semiconductor wafers

Номер патента: EP4144497A1. Автор: Bahman Soltani,Tomoki Kawazu,Yutaro Isshiki,Sodai NOMURA,Nobuyuki NUNOME,Shiro OKITA,Riku ONISHI. Владелец: Denso Corp. Дата публикации: 2023-03-08.

Polishing device and polishing method for semiconductor wafer

Номер патента: US20030207654A1. Автор: Masayuki Hamayasu. Владелец: Mitsubishi Electric Corp. Дата публикации: 2003-11-06.

Method and device for grinding both surfaces of semiconductor wafers

Номер патента: EP2127810A1. Автор: Yuichi Kakizono,Yasunori Yamada,Kazushige Takaishi. Владелец: Sumco Corp. Дата публикации: 2009-12-02.

Apparatus and method for polishing semiconductor wafers

Номер патента: WO2008079449A2. Автор: In-kwon Jeong. Владелец: Inopla Inc.. Дата публикации: 2008-07-03.

Semiconductor wafer laser cutter lens plate holder

Номер патента: US20240227085A1. Автор: Aristeo Lanon Realica,Armando Valadez Anguiano,Jose Luis De La Torre Marquez. Владелец: Skyworks Solutions Inc. Дата публикации: 2024-07-11.

Method and apparatus for grinding notches of semiconductor wafer

Номер патента: US5185965A. Автор: Haruo Ozaki. Владелец: Emtec Co Ltd. Дата публикации: 1993-02-16.

Method and apparatus for scribing and/or breaking semiconductor wafers

Номер патента: IL112346A. Автор: . Владелец: Dynatex Int. Дата публикации: 1998-08-16.

An apparatus for and method of polishing a semiconductor wafer using chemical mechanical planarization

Номер патента: WO2008023288A2. Автор: Eoin O'dea. Владелец: Eoin O'dea. Дата публикации: 2008-02-28.

Rotary union for semiconductor wafer applications

Номер патента: US20020086617A1. Автор: John Garcia, Andrew Yednak. Владелец: Speedfam IPEC Corp. Дата публикации: 2002-07-04.

Laser machining equipment for grinding semiconductor wafers

Номер патента: US20220080542A1. Автор: Zhen Zhang,Huaming Wang,Xinxin Li,Yingchun Guan. Владелец: BEIHANG UNIVERSITY. Дата публикации: 2022-03-17.

Method for sawing semiconductor wafer

Номер патента: US7521338B2. Автор: Patricio Vergara Ancheta, Jr.,Heintje Sardonas Vilaga,Ella Chan Sarmiento. Владелец: Texas Instruments Inc. Дата публикации: 2009-04-21.

Polishing pad cluster for polishing a semiconductor wafer

Номер патента: US5575707A. Автор: Homayoun Talieh,David E. Weldon. Владелец: Ontrak Systems Inc. Дата публикации: 1996-11-19.

Process for treating polishing cloths used for semiconductor wafers

Номер патента: US5167667A. Автор: Helene Prigge,Josef Lang. Владелец: Wacker Siltronic AG. Дата публикации: 1992-12-01.

Semiconductor wafer tilt compensation by wafer rotation and wafer tilt averaging

Номер патента: US6884554B2. Автор: Chih-Wei Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2005-04-26.

Apparatus and method for determining electrical properties of a semiconductor wafer

Номер патента: EP1363323A3. Автор: William H. Howland. Владелец: Solid State Measurements Inc. Дата публикации: 2009-01-07.

Determining the maximum number of dies fitting on a semiconductor wafer

Номер патента: US20040180276A1. Автор: Huan-Yung Chang,Yu-Feng Tai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2004-09-16.

Method for processing semiconductor wafer

Номер патента: US20240362887A1. Автор: Katherine Chiang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Diffusion of dopants into semiconductor wafers

Номер патента: GB1345995A. Автор: . Владелец: SIEMENS AG. Дата публикации: 1974-02-06.

Method of processing a semiconductor wafer such as to make prototypes and related apparatus

Номер патента: US9377678B2. Автор: Alan Lee,Xi Ge. Владелец: STMICROELECTRONICS PTE LTD. Дата публикации: 2016-06-28.

Apparatus for patterning a semiconductor wafer

Номер патента: US20030218727A1. Автор: Gerhard Kunkel,Oliver Genz,Jürgen Preuninger. Владелец: Individual. Дата публикации: 2003-11-27.

Method and system for automatically determining electrical properties of a semiconductor wafer or sample

Номер патента: EP1760459A3. Автор: Robert J. Hillard. Владелец: Solid State Measurements Inc. Дата публикации: 2007-05-02.

Apparatus and method for patterning a semiconductor wafer

Номер патента: US20020127501A1. Автор: Gerhard Kunkel,Oliver Genz,Jürgen Preuninger. Владелец: Infineon Technologies North America Corp. Дата публикации: 2002-09-12.

Semiconductor wafer test system

Номер патента: US6603316B2. Автор: Hideo Oishi. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2003-08-05.

Monitoring system for manufacturing semiconductor wafers

Номер патента: US20080118142A1. Автор: Po-Chun Chang. Владелец: Individual. Дата публикации: 2008-05-22.

Touchdown monitoring for individual dies of a semiconductor wafer

Номер патента: US9347979B2. Автор: Robert Schütz,Stefan Pesl. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-05-24.

Method and apparatus for improving resolution of objects in a semiconductor wafer

Номер патента: US20030054604A1. Автор: Edwin Pell. Владелец: Mentor Graphics Corp. Дата публикации: 2003-03-20.

Method and apparatus for improving resolution of objects in a semiconductor wafer

Номер патента: WO2002086962A1. Автор: Edwin A. Pell, Iii. Владелец: MENTOR GRAPHICS CORPORATION. Дата публикации: 2002-10-31.

Method of producing an epitaxially coated semiconductor wafer of monocrystalline silicon

Номер патента: US20240352620A1. Автор: Walter Heuwieser,Karl Mangelberger. Владелец: SILTRONIC AG. Дата публикации: 2024-10-24.

Process for manufacturing semiconductor wafers containing a gas-phase epitaxial layer in a deposition chamber

Номер патента: US20240200226A1. Автор: Joerg Haberecht,Ronny HENGST. Владелец: SILTRONIC AG. Дата публикации: 2024-06-20.

Vertical thermal processor for semiconductor wafers

Номер патента: WO1991010759A1. Автор: Worm Lund,Steve Thompson,Raymon F. Thompson,Donald W. Heidt,Larry Michael Beasley. Владелец: Semitherm. Дата публикации: 1991-07-25.

Method for producing a high resolution resist pattern on a semiconductor wafer

Номер патента: US20080292996A1. Автор: Thomas Wallow,Uzodinma Okoroanyanwu. Владелец: Advanced Micro Devices Inc. Дата публикации: 2008-11-27.

Apparatus for providing depletion-free uniform thickness cvd thin-film on semiconductor wafers

Номер патента: CA1217936A. Автор: Morris Simson,Robert F. Sarkozy. Владелец: BTU Engineering Corp. Дата публикации: 1987-02-17.

Fixture for burn-in testing of semiconductor wafers, and a semiconductor wafer

Номер патента: US5424651A. Автор: Robert S. Green,Larren G. Weber. Владелец: Individual. Дата публикации: 1995-06-13.

Wafer-level method of hot-carrier reliability test for semiconductor wafers

Номер патента: US6051984A. Автор: Jiuun-Jer Yang,Honda Huang. Владелец: Winbond Electronics Corp. Дата публикации: 2000-04-18.

Rotational positioner and methods for semiconductor wafer test systems

Номер патента: US20080174330A1. Автор: Frank Parrish. Владелец: Teradyne Inc. Дата публикации: 2008-07-24.

Rotational positioner and methods for semiconductor wafer test systems

Номер патента: WO2008091550A1. Автор: Frank Parrish. Владелец: Teradyne, Inc.. Дата публикации: 2008-07-31.

Method of measuring semiconductor wafers with an oxide enhanced probe

Номер патента: US20060219658A1. Автор: William Howland. Владелец: Solid State Measurements Inc. Дата публикации: 2006-10-05.

Apparatus for testing semiconductor wafers

Номер патента: US6064216A. Автор: Salman Akram,David R. Hembree,Alan G. Wood,Warren M. Farnworth,James M. Wark,John O. Jacobson. Владелец: Micron Technology Inc. Дата публикации: 2000-05-16.

Method and device for electrically contacting components in a semiconductor wafer

Номер патента: US11796567B2. Автор: Roland Zeisel,Michael Bergler. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2023-10-24.

Improved silicon semiconductor wafer test

Номер патента: WO1996021852A1. Автор: Steve I. Petvai,Michael P. Buet. Владелец: Buet Michael P. Дата публикации: 1996-07-18.

Probe apparatus for testing semiconductor wafers

Номер патента: GB2293268A. Автор: Sano Kunio. Владелец: Tokyo Electron Yamanashi Ltd. Дата публикации: 1996-03-20.

Non-invasive electrical measurement of semiconductor wafers

Номер патента: AU2117101A. Автор: Robert G. Mazur,Robert J. Hillard. Владелец: Solid State Measurements Inc. Дата публикации: 2001-04-30.

Automatic mercury probe for use with a semiconductor wafer

Номер патента: US7253649B1. Автор: James T. Chen. Владелец: Four Dimensions Inc. Дата публикации: 2007-08-07.

Non-invasive electrical measurement of semiconductor wafers

Номер патента: EP1256006A1. Автор: Robert G. Mazur,Robert J. Hillard. Владелец: Solid State Measurements Inc. Дата публикации: 2002-11-13.

Non-invasive electrical measurement of semiconductor wafers

Номер патента: EP1256006A4. Автор: Robert G Mazur,Robert J Hillard. Владелец: Solid State Measurements Inc. Дата публикации: 2005-05-11.

Large area semiconductor wafers

Номер патента: US5363798A. Автор: Max N. Yoder. Владелец: US Department of Navy. Дата публикации: 1994-11-15.

Method and apparatus for measuring registration between layers of a semiconductor wafer

Номер патента: WO1990009558A1. Автор: Stephen W. Into. Владелец: Interactive Video Systems, Inc.. Дата публикации: 1990-08-23.

Measurement method and measurement apparatus for measuring thickness of semiconductor wafer

Номер патента: US20230349688A1. Автор: Hiroyuki Itoh,Atsushi Morosawa,Keiji Isamoto. Владелец: Santec Corp. Дата публикации: 2023-11-02.

Electrochemical anodization fixture for semiconductor wafers

Номер патента: US4043894A. Автор: Stephen R. Gibbs. Владелец: Burroughs Corp. Дата публикации: 1977-08-23.

Apparatus for projecting a series of images onto dies of a semiconductor wafer

Номер патента: CA1184673A. Автор: Martin E. Lee. Владелец: General Signal Corp. Дата публикации: 1985-03-26.

Semiconductor Wafer Of Single Crystalline Silicon and Process For Its Manufacture

Номер патента: US20090224366A1. Автор: Wilfried von Ammon. Владелец: SILTRONIC AG. Дата публикации: 2009-09-10.

Characterizing semiconductor wafers with enhanced S parameter contour mapping

Номер патента: US20020113613A1. Автор: Steven Laureanti. Владелец: Ericsson Inc. Дата публикации: 2002-08-22.

Susceptor for semiconductor wafer processing

Номер патента: US5584936A. Автор: Michael A. Pickering,Lee E. Burns. Владелец: CVD Inc. Дата публикации: 1996-12-17.

Apparatus for the exact mutual alignment of a mask and semiconductor wafer in a lithographic apparatus

Номер патента: US4825086A. Автор: Karl-Heinz Mueller. Владелец: SIEMENS AG. Дата публикации: 1989-04-25.

Apparatus for projecting a series of images onto dies of a semiconductor wafer

Номер патента: CA1171555A. Автор: Ronald S. Hershel. Владелец: General Signal Corp. Дата публикации: 1984-07-24.

Interferometer for measuring thickness variations of semiconductor wafers

Номер патента: US5909282A. Автор: Andrew W. Kulawiec. Владелец: Tropel Corp. Дата публикации: 1999-06-01.

Apparatus for measuring the electrical characteristics of a semiconductor wafer

Номер патента: US5179333A. Автор: Takao Ohta,Shoichi Washizuka. Владелец: Toshiba Corp. Дата публикации: 1993-01-12.

Probe card and measuring method for semiconductor wafers

Номер патента: US20070241765A1. Автор: Yosuke Kawamata. Владелец: Elpida Memory Inc. Дата публикации: 2007-10-18.

Touchdown Monitoring for Individual Dies of a Semiconductor Wafer

Номер патента: US20150091595A1. Автор: Robert Schütz,Stefan Pesl. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2015-04-02.

Nondestructive method for profiling imperfection levels in high resistivity semiconductor wafers

Номер патента: US4581576A. Автор: Faa-Ching Wang. Владелец: Hewlett Packard Co. Дата публикации: 1986-04-08.

Optical inspection system for semiconductor wafers

Номер патента: US4659220A. Автор: Joseph J. Bronte,Roland C. Herbert,Henri A. Khoury. Владелец: International Business Machines Corp. Дата публикации: 1987-04-21.

Single crystalline a-plane nitride semiconductor wafer having orientation flat

Номер патента: EP1777325A2. Автор: Ki Soo Lee,Hyun Min Shin. Владелец: Samsung Corning Precision Glass Co Ltd. Дата публикации: 2007-04-25.

System for detecting surface defects in semiconductor wafers

Номер патента: US20030147069A1. Автор: Syun-Ming Jang,Lain-Jong Li,Chung-Chi Ko. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2003-08-07.

Method for heating a semiconductor wafer in a process chamber, and process chamber

Номер патента: EP1305820A1. Автор: Peggy John,Iraj Shahvandi,Olivier Vatel. Владелец: Motorola Inc. Дата публикации: 2003-05-02.

System and method for testing one or more dies on a semiconductor wafer

Номер патента: US7242208B2. Автор: Bing Ren,Tao Wang,David SuitWai Ma,James J. Dietz. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2007-07-10.

Evaluating sidewall coverage in a semiconductor wafer

Номер патента: US20050099190A1. Автор: Jiping Li,Peter Borden. Владелец: Applied Materials Inc. Дата публикации: 2005-05-12.

Reactor apparatus for semiconductor wafer processing

Номер патента: WO1986002289A1. Автор: Charles L. Ramiller,Martin L. Hammond. Владелец: Tetron, Inc.. Дата публикации: 1986-04-24.

Method and apparatus for determining generation lifetime of product semiconductor wafers

Номер патента: EP1610373A3. Автор: Robert J. Hillard. Владелец: Solid State Measurements Inc. Дата публикации: 2008-10-15.

Method for producing semiconductor wafers from monocrystalline silicon

Номер патента: US20220298670A1. Автор: Walter Heuwieser,Karl Mangelberger,Juergen Vetterhoeffer. Владелец: SILTRONIC AG. Дата публикации: 2022-09-22.

Semiconductor wafer device and method for testing the same

Номер патента: US20110109343A1. Автор: Shigeru Matsuda. Владелец: Toshiba Corp. Дата публикации: 2011-05-12.

Method for measuring semiconductor wafer profile and device for measuring the same used therefor

Номер патента: US20110123092A1. Автор: Shinji Sakamoto. Владелец: Sumco Corp. Дата публикации: 2011-05-26.

Semiconductor wafer having test modules including pin matrix selectable test devices

Номер патента: US20110050275A1. Автор: Doug Weiser,Martin B. Mollat,Fan-Chi Frank Hou. Владелец: Texas Instruments Inc. Дата публикации: 2011-03-03.

Defect detection method of semiconductor wafer patterns

Номер патента: CA1242815A. Автор: Pak K. Leung. Владелец: Northern Telecom Ltd. Дата публикации: 1988-10-04.

Cassette for storing a plurality of semiconductor wafers

Номер патента: MY137395A. Автор: Masatoshi Nanjo. Владелец: Disco Corp. Дата публикации: 2009-01-30.

Temperature-controlled pedestal for semiconductor wafer processing

Номер патента: WO2024206517A1. Автор: Jingbin Feng,Aaron Durbin,Bradley Taylor STRENG,Norman M BOURDON. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2024-10-03.

Semiconductor cleaning apparatus

Номер патента: IL121010A. Автор: . Владелец: Sizary Ltd. Дата публикации: 2002-11-10.

Enclosed sealable purgible semiconductor wafer holder

Номер патента: MY112298A. Автор: E Holliday James,M Gallaghier Gary. Владелец: Entegris Inc. Дата публикации: 2001-05-31.

Apparatus for molding a semiconductor wafer and process therefor

Номер патента: SG178623A1. Автор: CHEW Hwee Seng Jimmy,Dingwei Xia. Владелец: Advanced Systems Automation Ltd. Дата публикации: 2012-03-29.

Apparatus for molding a semiconductor wafer and process therefor

Номер патента: SG178622A1. Автор: CHEW Hwee Seng Jimmy,Dingwei Xia. Владелец: Advanced Systems Automation Ltd. Дата публикации: 2012-03-29.

Method and apparatus for chemical processing semiconductor wafers

Номер патента: WO1997014178B1. Автор: . Владелец: . Дата публикации: 1997-05-15.